Sample records for a-plane sapphire substrates

  1. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  2. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  3. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    NASA Technical Reports Server (NTRS)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  4. Growth and Crystal Orientation of ZnTe on m-Plane Sapphire with Nanofaceted Structure

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, Wei-Che; Kobayashi, Masakazu; Asahi, Toshiaki

    2017-04-01

    ZnTe thin films on sapphire substrate with nanofaceted structure have been studied. The nanofaceted structure of the m-plane (10-10) sapphire was obtained by heating the substrate at above 1100°C in air, and the r-plane (10-12) and S-plane (1-101) were confirmed. ZnTe layers were prepared on the nanofaceted m-plane sapphire substrates by molecular beam epitaxy (MBE). The effect of the nanofaceted structure on the orientation of the thin films was examined based on x-ray diffraction (XRD) pole figures. Transmission electron microscopy (TEM) was also employed to characterize the interface structures. The ZnTe layer on the nanofaceted m-plane sapphire substrate exhibited (331)-plane orientation, compared with (211)-plane without the nanofaceted structure. After thermal treatment, the m-plane surface vanished and (211) layer could not be formed because of the lack of surface lattice matching. On the other hand, (331)-plane thin film was formed on the nanofaceted m-plane sapphire substrate, since the (111) ZnTe domains were oriented on the S-facet. The orientation of the ZnTe epilayer depended on the atomic ordering on the surface and the influence of the S-plane.

  5. YBCO High-Temperature Superconducting Filters on M-Plane Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Sabataitis, J. C.; Mueller, C. H.; Miranda, F. A.; Warner, J.; Bhasin, K. B.

    1996-01-01

    Since the discovery of High Temperature Superconductors (HTS) in 1986, microwave circuits have been demonstrated using HTS films on various substrates. These HTS-based circuits have proven to operate with less power loss than their metallic film counterparts at 77 K. This translates into smaller and lighter microwave circuits for space communication systems such as multiplexer filter banks. High quality HTS films have conventionally been deposited on lanthanum aluminate (LaAlO3) substrates. However, LaAlO3 has a relative dielectric constant (epsilon(sub r)) of 24. With a epsilon(sub r) approx. 9.4-11.6, sapphire (Al2O3) would be a preferable substrate for the fabrication of HTS-based components since the lower dielectric constant would permit wider microstrip lines to be used in filter design, since the lower dielectric constant would permit wider microstrip lines to be used for a given characteristic impedance (Z(sub 0)), thus lowering the insertion losses and increasing the power handling capabilities of the devices. We report on the fabrication and characterization of YBa2Cu3O(7-delta) (YBCO) on M-plane sapphire bandpass filters at 4.0 GHz. For a YBCO 'hairpin' filter, a minimum insertion loss of 0.5 dB was measured at 77 K as compared with 1.4 dB for its gold counterpart. In an 'edge-coupled' configuration, the insertion loss went down from 0.9 dB for the gold film to 0.8 dB for the YBCO film at the same temperature.

  6. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  7. Orange a-plane InGaN/GaN light-emitting diodes grown on r-plane sapphire substrates.

    PubMed

    Seo, Yong Gon; Baik, Kwang Hyeon; Song, Hooyoung; Son, Ji-Su; Oh, Kyunghwan; Hwang, Sung-Min

    2011-07-04

    We report on orange a-plane light-emitting diodes (LEDs) with InGaN single quantum well (SQW) grown on r-plane sapphire substrates by metal organic chemical vapor deposition (MOCVD). The peak wavelength and the full-width at half maximum (FWHM) at a drive current of 20mA were 612.2 nm and 72 nm, respectively. The device demonstrated a blue shift in emission wavelength from 614.6 nm at 10 mA to 607.5 nm at 100 mA, representing a net shift of 7.1 nm over a 90 mA range, which is the longest wavelength compared with reported values in nonpolar LEDs. The polarization ratio values obtained from the orange LED varied between 0.36 and 0.44 from 10 to 100mA and a weak dependence of the polarization ratio on the injection current was observed.

  8. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  9. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  10. Phase formation and strain relaxation of Ga2O3 on c-plane and a-plane sapphire substrates as studied by synchrotron-based x-ray diffraction

    NASA Astrophysics Data System (ADS)

    Cheng, Zongzhe; Hanke, Michael; Vogt, Patrick; Bierwagen, Oliver; Trampert, Achim

    2017-10-01

    Heteroepitaxial Ga2O3 was deposited on c-plane and a-plane oriented sapphire by plasma-assisted molecular beam epitaxy and probed by ex-situ and in-situ synchrotron-based x-ray diffraction. The investigation on c-plane sapphire determined a critical thickness of around 33 Å, at which the monoclinic β-phase forms on top of the hexagonal α-phase. A 143 Å thick single phase α-Ga2O3 was observed on a-plane sapphire, much thicker than the α-Ga2O3 on c-plane sapphire. The α-Ga2O3 relaxed very fast in the first 30 Å in both out-of-plane and in-plane directions as measured by the in-situ study.

  11. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  12. Zeta potential orientation dependence of sapphire substrates.

    PubMed

    Kershner, Ryan J; Bullard, Joseph W; Cima, Michael J

    2004-05-11

    The zeta potential of planar sapphire substrates for three different crystallographic orientations was measured by a streaming potential technique in the presence of KCl and (CH3)4NCl electrolytes. The streaming potential was measured for large single crystalline C-plane (0001), A-plane (1120), and R-plane (1102) wafers over a full pH range at three or more ionic strengths ranging from 1 to 100 mM. The roughness of the epi-polished wafers was verified using atomic force microscopy to be on the order of atomic scale, and X-ray photoelectron spectroscopy (XPS) was used to ensure that the samples were free of silica and other contaminants. The results reveal a shift in the isoelectric point (iep) of the three samples by as much as two pH units, with the R-plane surface exhibiting the most acidic behavior and the C-plane samples having the highest iep. The iep at all ionic strengths was tightly centered around a single pH for each wafer. These values of iep are substantially different from the range of pH 8-10 consistently reported in the literature for alpha-Al2O3 particles. Particle zeta potential measurements were performed on a model powder using phase analysis light scattering, and the iep was confirmed to occur at pH 8. Modified Auger parameters (MAP) were calculated from XPS spectra of a monolayer of iridium metal deposited on the sapphire by electron beam deposition. A shift in MAP consistent with the observed differences in iep of the surfaces confirms the effect of surface structure on the transfer of charge between the Ir and sapphire, hence accounting for the changes in acidity as a function of crystallographic orientation.

  13. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  14. Lattice-Matched Semiconductor Layers on Single Crystalline Sapphire Substrate

    NASA Technical Reports Server (NTRS)

    Choi, Sang; King, Glen; Park, Yeonjoon

    2009-01-01

    SiGe is an important semiconductor alloy for high-speed field effect transistors (FETs), high-temperature thermoelectric devices, photovoltaic solar cells, and photon detectors. The growth of SiGe layer is difficult because SiGe alloys have different lattice constants from those of the common Si wafers, which leads to a high density of defects, including dislocations, micro-twins, cracks, and delaminations. This innovation utilizes newly developed rhombohedral epitaxy of cubic semiconductors on trigonal substrates in order to solve the lattice mismatch problem of SiGe by using trigonal single crystals like sapphire (Al2O3) as substrate to give a unique growth-orientation to the SiGe layer, which is automatically controlled at the interface upon sapphire (0001). This technology is different from previous silicon on insulator (SOI) or SGOI (SiGe on insulator) technologies that use amorphous SiO2 as the growth plane. A cubic semiconductor crystal is a special case of a rhombohedron with the inter-planar angle, alpha = 90 deg. With a mathematical transformation, all rhombohedrons can be described by trigonal crystal lattice structures. Therefore, all cubic lattice constants and crystal planes (hkl) s can be transformed into those of trigonal crystal parameters. These unique alignments enable a new opportunity of perfect lattice matching conditions, which can eliminate misfit dislocations. Previously, these atomic alignments were thought to be impossible or very difficult. With the invention of a new x-ray diffraction measurement method here, growth of cubic semiconductors on trigonal crystals became possible. This epitaxy and lattice-matching condition can be applied not only to SiGe (111)/sapphire (0001) substrate relations, but also to other crystal structures and other materials, including similar crystal structures which have pointgroup rotational symmetries by 120 because the cubic (111) direction has 120 rotational symmetry. The use of slightly miscut (less than

  15. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  16. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  17. Wafer scale BN on sapphire substrates for improved graphene transport.

    PubMed

    Vangala, Shivashankar; Siegel, Gene; Prusnick, Timothy; Snure, Michael

    2018-06-11

    Wafer scale (2") BN grown by metal organic chemical vapor deposition (MOCVD) on sapphire was examined as a weakly interacting dielectric substrate for graphene, demonstrating improved transport properties over conventional sapphire and SiO 2 /Si substrates. Chemical vapor deposition grown graphene was transferred to BN/sapphire substrates for evaluation of more than 30 samples using Raman and Hall effects measurements. A more than 2x increase in Hall mobility and 10x reduction in sheet carrier density was measured for graphene on BN/sapphire compared to sapphire substrates. Through control of the MOCVD process, BN films with roughness ranging from <0.1 nm to >1 nm were grown and used to study the effects of substrate roughness on graphene transport. Arrays of graphene field effect transistors were fabricated on 2" BN/sapphire substrates demonstrating scalability and device performance enhancement.

  18. Improved output power of GaN-based light-emitting diodes grown on a nanopatterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Chan, Chia-Hua; Hou, Chia-Hung; Tseng, Shao-Ze; Chen, Tsing-Jen; Chien, Hung-Ta; Hsiao, Fu-Li; Lee, Chien-Chieh; Tsai, Yen-Ling; Chen, Chii-Chang

    2009-07-01

    This letter describes the improved output power of GaN-based light-emitting diodes (LEDs) formed on a nanopatterned sapphire substrate (NPSS) prepared through etching with a self-assembled monolayer of 750-nm-diameter SiO2 nanospheres used as the mask. The output power of NPSS LEDs was 76% greater than that of LEDs on a flat sapphire substrate. Three-dimensional finite-difference time-domain calculation predicted a 40% enhancement in light extraction efficiency of NPSS LEDs. In addition, the reduction of full widths at half maximum in the ω-scan rocking curves for the (0 0 2) and (1 0 2) planes of GaN on NPSS suggested improved crystal quality.

  19. Direct spontaneous growth and interfacial structural properties of inclined GaN nanopillars on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adikimenakis, A.; Aretouli, K. E.; Tsagaraki, K.

    2015-06-28

    The spontaneous growth of GaN nanopillars (NPs) by direct plasma-assisted molecular beam epitaxy on nitridated r-plane sapphire substrates has been studied. The emanation of metal-polarity NPs from inside an a-plane nonpolar GaN film was found to depend on both the substrate nitridation and the growth conditions. The density of NPs increased with increasing the duration of the nitridation process and the power applied on the radio-frequency plasma source, as well as the III/V flux ratio, while variation of the first two parameters enhanced the roughness of the substrate's surface. Transmission electron microscopy (TEM) techniques were employed to reveal the structuralmore » characteristics of the NPs and their nucleation mechanism from steps on the sapphire surface and/or interfacial semipolar GaN nanocrystals. Lattice strain measurements showed a possible Al enrichment of the first 5–6 monolayers of the NPs. By combining cross-sectional and plan-view TEM observations, the three-dimensional model of the NPs was constructed. The orientation relationship and interfacial accommodation between the NPs and the nonpolar a-plane GaN film were also elucidated. The NPs exhibited strong and narrow excitonic emission, suggesting an excellent structural quality.« less

  20. Synthesis of high quality graphene on capped (1 1 1) Cu thin films obtained by high temperature secondary grain growth on c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kim, Youngwoo; Moyen, Eric; Yi, Hemian; Avila, José; Chen, Chaoyu; Asensio, Maria C.; Lee, Young Hee; Pribat, Didier

    2018-07-01

    We propose a novel growth technique, in which graphene is synthesized on capped Cu thin films deposited on c-plane sapphire. The cap is another sapphire plate which is just laid upon the Cu thin film, in direct contact with it. Thanks to this ‘contact cap’, Cu evaporation can be suppressed at high temperature and the 400 nm-thick Cu films can be annealed above 1000 °C, resulting in (1 1 1)-oriented grains of millimeter size. Following this high temperature annealing, graphene is grown by chemical vapor deposition during the same pump-down operation, without removing the contact cap. The orientation and doping type of the as-grown graphene were first studied, using low energy electron diffraction, as well as high resolution angle-resolved photoemission spectroscopy. In particular, the orientation relationships between the graphene and copper thin film with respect to the sapphire substrate were precisely determined. We find that the graphene sheets exhibit a minimal rotational disorder, with ~90% of the grains aligned along the copper high symmetry direction. Detailed transport measurements were also performed using field-effect transistor structures. Carrier mobility values as high as 8460 cm2 V‑1 s‑1 have been measured on top gate transistors fabricated directly on the sapphire substrate, by etching the Cu film from underneath the graphene sheets. This is by far the best carrier mobility value obtained to date for graphene sheets synthesized on a thin film-type metal substrate.

  1. Transport properties and c/a ratio of V{sub 2}O{sub 3} thin films grown on C- and R-plane sapphire substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Joe; Limelette, Patrice; Funakubo, Hiroshi

    2015-12-14

    We prepared V{sub 2}O{sub 3} thin films on C- or R-plane sapphire (Al{sub 2}O{sub 3}) substrates by a pulsed laser deposition method. X-ray diffraction analyses confirmed that single-phase V{sub 2}O{sub 3} films were epitaxially grown on both C- and R-planes under an Ar gas ambient of 2 × 10{sup −2} mbar at a substrate temperature of 873 K. Depending on the deposition conditions, c/a ratios at room temperature of (0001)-oriented V{sub 2}O{sub 3} films widely ranged from 2.79 to 2.88. Among them, the films of 2.81 ≤ c/a ≤ 2.84 showed complex metal (M)–insulator (I)–M transition during cooling from 300 to 10 K, while those of larger c/a ratiosmore » were accompanied by metallic properties throughout this temperature range. All the films on R-plane substrates underwent simple M-I transition at ∼150 K, which was more abrupt than the films on C-plane, whereas their c/a ratios were narrowly distributed. The distinct difference of M-I transition properties between C- and R-plane films is explained by the intrinsic a- and c-axes evolution through the transition from M to I phases.« less

  2. Temperature-modulated annealing of c-plane sapphire for long-range-ordered atomic steps

    NASA Astrophysics Data System (ADS)

    Yatsui, Takashi; Kuribara, Kazunori; Sekitani, Tsuyoshi; Someya, Takao; Yoshimoto, Mamoru

    2016-03-01

    High-quality single-crystalline sapphire is used to prepare various semiconductors because of its thermal stability. Here, we applied the tempering technique, which is well known in the production of chocolate, to prepare a sapphire substrate. Surprisingly, we successfully realised millimetre-range ordering of the atomic step of the sapphire substrate. We also obtained a sapphire atomic step with nanometre-scale uniformity in the terrace width and atomic-step height. Such sapphire substrates will find applications in the preparation of various semiconductors and devices.

  3. Low Temperature Rhombohedral Single Crystal SiGe Epitaxy on c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Duzik, Adam J.; Choi, Sang H.

    2016-01-01

    Current best practice in epitaxial growth of rhombohedral SiGe onto (0001) sapphire (Al2O3) substrate surfaces requires extreme conditions to grow a single crystal SiGe film. Previous models described the sapphire surface reconstruction as the overriding factor in rhombohedral epitaxy, requiring a high temperature Al-terminated surface for high quality films. Temperatures in the 850-1100 C range were thought to be necessary to get SiGe to form coherent atomic matching between the (111) SiGe plane and the (0001) sapphire surface. Such fabrication conditions are difficult and uneconomical, hindering widespread application. This work proposes an alternative model that considers the bulk sapphire structure and determines how the SiGe film nucleates and grows. Accounting for thermal expansion effects, calculations using this new model show that both pure Ge and SiGe can form single crystal films in the 450-550 C temperature range. Experimental results confirm these predictions, where x-ray diffraction and atomic force microscopy show the films fabricated at low temperature rival the high temperature films in crystallographic and surface quality. Finally, an explanation is provided for why films of comparable high quality can be produced in either temperature range.

  4. Dispersive growth and laser-induced rippling of large-area singlelayer MoS2 nanosheets by CVD on c-plane sapphire substrate

    PubMed Central

    Liu, Hongfei; Chi, Dongzhi

    2015-01-01

    Vapor-phase growth of large-area two-dimensional (2D) MoS2 nanosheets via reactions of sulfur with MoO3 precursors vaporized and transferred from powder sources onto a target substrate has been rapidly progressing. Recent studies revealed that the growth yield of high quality singlelayer (SL) MoS2 is essentially controlled by quite a few parameters including the temperature, the pressure, the amount/weight of loaded source precursors, and the cleanup of old precursors. Here, we report a dispersive growth method where a shadow mask is encapsulated on the substrate to ‘indirectly’ supply the source precursors onto the laterally advancing growth front at elevated temperatures. With this method, we have grown large-area (up to millimeters) SL-MoS2 nanosheets with a collective in-plane orientation on c-plane sapphire substrates. Regular ripples (~1 nm in height and ~50 nm in period) have been induced by laser scanning into the SL-MoS2 nanosheets. The MoS2 ripples easily initiate at the grain boundaries and extend along the atomic steps of the substrate. Such laser-induced ripple structures can be fundamental materials for studying their effects, which have been predicted to be significant but hitherto not evidenced, on the electronic, mechanical, and transport properties of SL-MoS2. PMID:26119325

  5. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  6. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Noorprajuda, Marsetio; Ohtsuka, Makoto; Fukuyama, Hiroyuki

    2018-04-01

    The effect of oxygen partial pressure (PO2) on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC) reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (-c)-polarity to aluminum (+c)-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002) and (10-12) X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  7. A New Selective Area Lateral Epitaxy Approach for Depositing a-Plane GaN over r-Plane Sapphire

    NASA Astrophysics Data System (ADS)

    Chen, Changqing; Zhang, Jianping; Yang, Jinwei; Adivarahan, Vinod; Rai, Shiva; Wu, Shuai; Wang, Hongmei; Sun, Wenhong; Su, Ming; Gong, Zheng; Kuokstis, Edmundas; Gaevski, Mikhail; Khan, Muhammad Asif

    2003-07-01

    We report a new epitaxy procedure for growing extremely low defect density a-plane GaN films over r-plane sapphire. By combining selective area growth through a SiO2 mask opening to produce high height to width aspect ratio a-plane GaN pillars and lateral epitaxy from their c-plane facets, we obtained fully coalesced a-plane GaN films. The excellent structural, optical and electrical characteristics of these selective area lateral epitaxy (SALE) deposited films make them ideal for high efficiency III-N electronic and optoelectronic devices.

  8. Structural anisotropic properties of a-plane GaN epilayers grown on r-plane sapphire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lotsari, A.; Kehagias, Th.; Katsikini, M.

    2014-06-07

    Heteroepitaxial non-polar III-Nitride layers may exhibit extensive anisotropy in the surface morphology and the epilayer microstructure along distinct in-plane directions. The structural anisotropy, evidenced by the “M”-shape dependence of the (112{sup ¯}0) x-ray rocking curve widths on the beam azimuth angle, was studied by combining transmission electron microscopy observations, Raman spectroscopy, high resolution x-ray diffraction, and atomic force microscopy in a-plane GaN epilayers grown on r-plane sapphire substrates by plasma-assisted molecular beam epitaxy (PAMBE). The structural anisotropic behavior was attributed quantitatively to the high dislocation densities, particularly the Frank-Shockley partial dislocations that delimit the I{sub 1} intrinsic basal stacking faults,more » and to the concomitant plastic strain relaxation. On the other hand, isotropic samples exhibited lower dislocation densities and a biaxial residual stress state. For PAMBE growth, the anisotropy was correlated to N-rich (or Ga-poor) conditions on the surface during growth, that result in formation of asymmetric a-plane GaN grains elongated along the c-axis. Such conditions enhance the anisotropy of gallium diffusion on the surface and reduce the GaN nucleation rate.« less

  9. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  10. Crystallographic tilt and in-plane anisotropies of an a-plane InGaN/GaN layered structure grown by MOCVD on r-plane sapphire using a ZnO buffer

    NASA Astrophysics Data System (ADS)

    Liu, H. F.; Liu, W.; Guo, S.; Chi, D. Z.

    2016-03-01

    High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal-organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [-4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al2O3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings.

  11. Increased effective reflection and transmission at the GaN-sapphire interface of LEDs grown on patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dongxue, Wu; Ping, Ma; Boting, Liu; Shuo, Zhang; Junxi, Wang; Jinmin, Li

    2016-10-01

    The effect of patterned sapphire substrate (PSS) on the top-surface (P-GaN-surface) and the bottom-surface (sapphire-surface) of the light output power (LOP) of GaN-based LEDs was investigated, in order to study the changes in reflection and transmission of the GaN-sapphire interface. Experimental research and computer simulations were combined to reveal a great enhancement in LOP from either the top or bottom surface of GaN-based LEDs, which are prepared on patterned sapphire substrates (PSS-LEDs). Furthermore, the results were compared to those of the conventional LEDs prepared on the planar sapphire substrates (CSS-LEDs). A detailed theoretical analysis was also presented to further support the explanation for the increase in both the effective reflection and transmission of PSS-GaN interface layers and to explain the causes of increased LOP values. Moreover, the bottom-surface of the PSS-LED chip shows slightly increased light output performance when compared to that of the top-surface. Therefore, the light extraction efficiency (LEE) can be further enhanced by integrating the method of PSS and flip-chip structure design. Project supported by the National High Technology Program of China (No. Y48A040000) and the National High Technology Program of China (No. Y48A040000).

  12. GaN-based LEDs with a high light extraction composite surface structure fabricated by a modified YAG laser lift-off technology and the patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Sun, Yongjian; Trieu, Simeon; Yu, Tongjun; Chen, Zhizhong; Qi, Shengli; Tian, Pengfei; Deng, Junjing; Jin, Xiaoming; Zhang, Guoyi

    2011-08-01

    Vertical structure LEDs have been fabricated with a novel light extraction composite surface structure composed of a micron grating and nano-structure. The composite surface structure was generated by using a modified YAG laser lift-off technique, separating the wafers from cone-shaped patterned sapphire substrates. LEDs thus fabricated showed the light output power increase about 1.7-2.5 times when compared with conventional vertical structure LEDs grown on plane sapphire substrates. A three-dimensional finite difference time domain method was used to simulate this new kind of LED device. It was determined that nano-structures in composite surface patterns play a key role in the improvement of light extraction efficiency of LEDs.

  13. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  14. Sapphire substrate-induced effects in VO2 thin films grown by oxygen plasma-assisted pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Skuza, J. R.; Scott, D. W.; Pradhan, A. K.

    2015-11-01

    We investigate the structural and electronic properties of VO2 thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO2 film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO2, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO2 film growth with SMTs that can be easily tailored for device applications.

  15. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  16. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Fabrication of wafer-scale nanopatterned sapphire substrate through phase separation lithography

    NASA Astrophysics Data System (ADS)

    Guo, Xu; Ni, Mengyang; Zhuang, Zhe; Dai, Jiangping; Wu, Feixiang; Cui, Yushuang; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2016-04-01

    A phase separation lithography (PSL) based on polymer blend provides an extremely simple, low-cost, and high-throughput way to fabricate wafer-scale disordered nanopatterns. This method was introduced to fabricate nanopatterned sapphire substrates (NPSSs) for GaN-based light-emitting diodes (LEDs). The PSL process only involved in spin-coating of polystyrene (PS)/polyethylene glycol (PEG) polymer blend on sapphire substrate and followed by a development with deionized water to remove PEG moiety. The PS nanoporous network was facilely obtained, and the structural parameters could be effectively tuned by controlling the PS/PEG weight ratio of the spin-coating solution. 2-in. wafer-scale NPSSs were conveniently achieved through the PS nanoporous network in combination with traditional nanofabrication methods, such as O2 reactive ion etching (RIE), e-beam evaporation deposition, liftoff, and chlorine-based RIE. In order to investigate the performance of such NPSSs, typical blue LEDs with emission wavelengths of ~450 nm were grown on the NPSS and a flat sapphire substrate (FSS) by metal-organic chemical vapor deposition, respectively. The integral photoluminescence (PL) intensity of the NPSS LED was enhanced by 32.3 % compared to that of the FSS-LED. The low relative standard deviation of 4.7 % for PL mappings of NPSS LED indicated the high uniformity of PL data across the whole 2-in. wafer. Extremely simple, low cost, and high throughput of the process and the ability to fabricate at the wafer scale make PSL a potential method for production of nanopatterned sapphire substrates.

  19. Microdynamic Devices Fabricated on Silicon-On-Sapphire Substrates.

    DTIC Science & Technology

    Silicon-on-sapphire substrates are provided for the fabrication of micromechanical devices, such as micromotors . The high voltage stand-off...a consequence, the electrostatically driven devices, micromotors , can be incorporated in the integrated circuits and yet be powered at elevated voltages to increase their work potential.

  20. Sapphire substrate-induced effects in VO{sub 2} thin films grown by oxygen plasma-assisted pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skuza, J. R., E-mail: jrskuza@nsu.edu, E-mail: apradhan@nsu.edu; Scott, D. W.; Pradhan, A. K., E-mail: jrskuza@nsu.edu, E-mail: apradhan@nsu.edu

    2015-11-21

    We investigate the structural and electronic properties of VO{sub 2} thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO{sub 2} film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO{sub 2}, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO{sub 2} film growth with SMTs that can bemore » easily tailored for device applications.« less

  1. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  2. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    NASA Astrophysics Data System (ADS)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  3. Effect of sputtering pressure on crystalline quality and residual stress of AlN films deposited at 823 K on nitrided sapphire substrates by pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Ohtsuka, Makoto; Takeuchi, Hiroto; Fukuyama, Hiroyuki

    2016-05-01

    Aluminum nitride (AlN) is a promising material for use in applications such as deep-ultraviolet light-emitting diodes (UV-LEDs) and surface acoustic wave (SAW) devices. In the present study, the effect of sputtering pressure on the surface morphology, crystalline quality, and residual stress of AlN films deposited at 823 K on nitrided a-plane sapphire substrates, which have high-crystalline-quality c-plane AlN thin layers, by pulsed DC reactive sputtering was investigated. The c-axis-oriented AlN films were homoepitaxially grown on nitrided sapphire substrates at sputtering pressures of 0.4-1.5 Pa. Surface damage of the AlN sputtered films increased with increasing sputtering pressure because of arcing (abnormal electrical discharge) during sputtering. The sputtering pressure affected the crystalline quality and residual stress of AlN sputtered films because of a change in the number and energy of Ar+ ions and Al sputtered atoms. The crystalline quality of AlN films was improved by deposition with lower sputtering pressure.

  4. Epitaxial relationship of semipolar s-plane (1101) InN grown on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dimitrakopulos, G. P.

    2012-07-02

    The heteroepitaxy of semipolar s-plane (1101) InN grown directly on r-plane sapphire by plasma-assisted molecular beam epitaxy is studied using transmission electron microscopy techniques. The epitaxial relationship is determined to be (1101){sub InN} Parallel-To (1102){sub Al{sub 2O{sub 3}}}, [1120]{sub InN} Parallel-To [2021]{sub Al{sub 2O{sub 3}}}, [1102]{sub InN}{approx} Parallel-To [0221]{sub Al{sub 2O{sub 3}}}, which ensures a 0.7% misfit along [1120]{sub InN}. Two orientation variants are identified. Proposed geometrical factors contributing to the high density of basal stacking faults, partial dislocations, and sphalerite cubic pockets include the misfit accommodation and reduction, as well as the accommodation of lattice twist.

  5. Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD

    NASA Astrophysics Data System (ADS)

    O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.

    2018-04-01

    High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.

  6. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  7. Synthesis and Transfer of Large-Area Monolayer WS2 Crystals: Moving Toward the Recyclable Use of Sapphire Substrates.

    PubMed

    Xu, Zai-Quan; Zhang, Yupeng; Lin, Shenghuang; Zheng, Changxi; Zhong, Yu Lin; Xia, Xue; Li, Zhipeng; Sophia, Ponraj Joice; Fuhrer, Michael S; Cheng, Yi-Bing; Bao, Qiaoliang

    2015-06-23

    Two-dimensional layered transition metal dichalcogenides (TMDs) show intriguing potential for optoelectronic devices due to their exotic electronic and optical properties. Only a few efforts have been dedicated to large-area growth of TMDs. Practical applications will require improving the efficiency and reducing the cost of production, through (1) new growth methods to produce large size TMD monolayer with less-stringent conditions, and (2) nondestructive transfer techniques that enable multiple reuse of growth substrate. In this work, we report to employ atmospheric pressure chemical vapor deposition (APCVD) for the synthesis of large size (>100 μm) single crystals of atomically thin tungsten disulfide (WS2), a member of TMD family, on sapphire substrate. More importantly, we demonstrate a polystyrene (PS) mediated delamination process via capillary force in water which reduces the etching time in base solution and imposes only minor damage to the sapphire substrate. The transferred WS2 flakes are of excellent continuity and exhibit comparable electron mobility after several growth cycles on the reused sapphire substrate. Interestingly, the photoluminescence emission from WS2 grown on the recycled sapphire is much higher than that on fresh sapphire, possibly due to p-type doping of monolayer WS2 flakes by a thin layer of water intercalated at the atomic steps of the recycled sapphire substrate. The growth and transfer techniques described here are expected to be applicable to other atomically thin TMD materials.

  8. Fabrication of 2-inch nano patterned sapphire substrate with high uniformity by two-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Dai, LongGui; Yang, Fan; Yue, Gen; Jiang, Yang; Jia, Haiqiang; Wang, Wenxin; Chen, Hong

    2014-11-01

    Generally, nano-scale patterned sapphire substrate (NPSS) has better performance than micro-scale patterned sapphire substrate (MPSS) in improving the light extraction efficiency of LEDs. Laser interference lithography (LIL) is one of the powerful fabrication methods for periodic nanostructures without photo-masks for different designs. However, Lloyd's mirror LIL system has the disadvantage that fabricated patterns are inevitably distorted, especially for large-area twodimensional (2D) periodic nanostructures. Herein, we introduce two-beam LIL system to fabricate consistent large-area NPSS. Quantitative analysis and characterization indicate that the high uniformity of the photoresist arrays is achieved. Through the combination of dry etching and wet etching techniques, the well-defined NPSS with period of 460 nm were prepared on the whole sapphire substrate. The deviation is 4.34% for the bottom width of the triangle truncated pyramid arrays on the whole 2-inch sapphire substrate, which is suitable for the application in industrial production of NPSS.

  9. High Electron Mobility Transistor Structures on Sapphire Substrates Using CMOS Compatible Processing Techniques

    NASA Technical Reports Server (NTRS)

    Mueller, Carl; Alterovitz, Samuel; Croke, Edward; Ponchak, George

    2004-01-01

    System-on-a-chip (SOC) processes are under intense development for high-speed, high frequency transceiver circuitry. As frequencies, data rates, and circuit complexity increases, the need for substrates that enable high-speed analog operation, low-power digital circuitry, and excellent isolation between devices becomes increasingly critical. SiGe/Si modulation doped field effect transistors (MODFETs) with high carrier mobilities are currently under development to meet the active RF device needs. However, as the substrate normally used is Si, the low-to-modest substrate resistivity causes large losses in the passive elements required for a complete high frequency circuit. These losses are projected to become increasingly troublesome as device frequencies progress to the Ku-band (12 - 18 GHz) and beyond. Sapphire is an excellent substrate for high frequency SOC designs because it supports excellent both active and passive RF device performance, as well as low-power digital operations. We are developing high electron mobility SiGe/Si transistor structures on r-plane sapphire, using either in-situ grown n-MODFET structures or ion-implanted high electron mobility transistor (HEMT) structures. Advantages of the MODFET structures include high electron mobilities at all temperatures (relative to ion-implanted HEMT structures), with mobility continuously improving to cryogenic temperatures. We have measured electron mobilities over 1,200 and 13,000 sq cm/V-sec at room temperature and 0.25 K, respectively in MODFET structures. The electron carrier densities were 1.6 and 1.33 x 10(exp 12)/sq cm at room and liquid helium temperature, respectively, denoting excellent carrier confinement. Using this technique, we have observed electron mobilities as high as 900 sq cm/V-sec at room temperature at a carrier density of 1.3 x 10(exp 12)/sq cm. The temperature dependence of mobility for both the MODFET and HEMT structures provides insights into the mechanisms that allow for enhanced

  10. Characteristics of surface acoustic waves in (11\\bar 2 0)ZnO film/ R-sapphire substrate structures

    NASA Astrophysics Data System (ADS)

    Wang, Yan; Zhang, ShuYi; Xu, Jing; Xie, YingCai; Lan, XiaoDong

    2018-02-01

    (11\\bar 2 0)ZnO film/ R-sapphire substrate structure is promising for high frequency acoustic wave devices. The propagation characteristics of SAWs, including the Rayleigh waves along [0001] direction and Love waves along [1ī00] direction, are investigated by using 3 dimensional finite element method (3D-FEM). The phase velocity ( v p), electromechanical coupling coefficient ( k 2), temperature coefficient of frequency ( TCF) and reflection coefficient ( r) of Rayleigh wave and Love wave devices are theoretically analyzed. Furthermore, the influences of ZnO films with different crystal orientation on SAW properties are also investigated. The results show that the 1st Rayleigh wave has an exceedingly large k 2 of 4.95% in (90°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate associated with a phase velocity of 5300 m/s; and the 0th Love wave in (0°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate has a maximum k 2 of 3.86% associated with a phase velocity of 3400 m/s. And (11\\bar 2 0)ZnO film/ R-sapphire substrate structures can be used to design temperature-compensated and wide-band SAW devices. All of the results indicate that the performances of SAW devices can be optimized by suitably selecting ZnO films with different thickness and crystal orientations deposited on R-sapphire substrates.

  11. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  12. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  13. High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.

    2004-01-01

    SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony concentration was approximately 4 x 10(exp 19) per cubic centimeter. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per square centimeter, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V (sub DS)) range, with V (sub DS) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.

  14. Status of AlGaN based focal plane array for near UV imaging and strategy to extend this technology to far-UV by substrate removal

    NASA Astrophysics Data System (ADS)

    Reverchon, Jean-Luc; Gourdel, Yves; Robo, Jean-Alexandre; Truffer, Jean-Patrick; Costard, Eric; Brault, Julien; Duboz, Jean-Yves

    2017-11-01

    The fast development of nitrides has given the opportunity to investigate AlGaN as a material for ultraviolet detection. Such AlGaN based camera presents an intrinsic spectral selectivity and an extremely low dark current at room temperature. Firstly, we will present results on focal plane array of 320x256 pixels with a pitch of 30μm. The peak responsivity is around 280nm (solar-blind), 310nm and 360nm. These results are obtained in a standard SWIR supply chain (readout circuit, electronics). With the existing near-UV camera grown on sapphire, the short wavelength cutoff is due to a window layer improving the material quality of the active layer. The ultimate shortest wavelength would be 200nm due to sapphire substrate. We present here the ways to transfer the standard design of Schottky photodiodes from sapphire to silicon substrate. We will show the capability to remove the silicon substrate, and etch the window layer in order to extend the band width to lower wavelengths.

  15. Au-assisted fabrication of nano-holes on c-plane sapphire via thermal treatment guided by Au nanoparticles as catalysts

    NASA Astrophysics Data System (ADS)

    Sui, Mao; Pandey, Puran; Li, Ming-Yu; Zhang, Quanzhen; Kunwar, Sundar; Lee, Jihoon

    2017-01-01

    Nanoscale patterning of sapphires is a challenging task due to the high mechanical strength, chemical stability as well as thermal durability. In this paper, we demonstrate a gold droplet assisted approach of nano-hole fabrication on c-plane sapphire via a thermal treatment. Uniformly distributed nano-holes are fabricated on the sapphire surface guided by dome shaped Au nanoparticles (NPs) as catalysts and the patterning process is discussed based on the disequilibrium of vapor, liquid, solid interface energies at the Au NP/sapphire interface induced by the Au evaporation at high temperature. Followed by the re-equilibration of interface energy, transport of alumina from the beneath of NPs to the sapphire surface can occur along the NP/sapphire interface resulting in the formation of nano-holes. The fabrication of nano-holes using Au NPs as catalysts is a flexible, economical and convenient approach and can find applications in various optoelectronics.

  16. Properties of GaN grown on sapphire substrates

    NASA Technical Reports Server (NTRS)

    Crouch, R. K.; Debnam, W. J.; Fripp, A. L.

    1978-01-01

    Epitaxial growth of GaN on sapphire substrates using an open-tube growth furnace has been carried out to study the effects of substrate orientation and transfer gas upon the properties of the layers. It has been found that for the (0001) substrates, surface appearance was virtually independent of carrier gas and of doping levels. For the (1(-1)02) substrates surface faceting was greatly reduced when He was used as a transfer gas as opposed to H2. Faceting was also reduced when the GaN was doped with Zn, and the best surfaces for the (1(-1)02) substrates were obtained in a Zn-doped run using He as the transfer gas. The best sample in terms of electrical properties for the (1(-1)02) substrate had a mobility greater than 400 sq cm/V per sec and a carrier concentration of about 10 to the 17th per cu cm. This sample was undoped and used He as the transfer gas. The best (0001) sample was also grown undoped with He as the transfer gas and had a mobility of 300 sq cm/V per sec and a carrier concentration of 1 x 10 to the 18th per cu cm.

  17. Ga2O3-In2O3 thin films on sapphire substrates: Synthesis and ultraviolet photoconductivity

    NASA Astrophysics Data System (ADS)

    Muslimov, A. E.; Butashin, A. V.; Kolymagin, A. B.; Nabatov, B. V.; Kanevsky, V. M.

    2017-11-01

    The structure and electrical and optical properties of β-Ga2O3-In2O3 thin films on sapphire substrates with different orientations have been investigated. The samples have been prepared by annealing of gallium-indium metallic films on sapphire substrates in air at different gallium-to-indium ratios in the initial mixture. The photoconductivity of these structures in the solar-blind ultraviolet spectral region has been examined.

  18. Rhombohedral Super Hetero Epitaxy of Cubic SiGe on Trigonal c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Choi, Sang H.; Duzik, Adam J.

    2017-01-01

    New rhombohedral super-hetero-epitaxy technology was developed at NASA. This epitaxy technology enables the growth of unprecedented cubic-trigonal hybrid single crystal structures with lattice match on sapphire (Al2O3) substrates, hence with little strain and very few defects at the interface.

  19. Enhanced tunability of magnetron sputtered Ba0.5Sr0.5TiO3 thin films on c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Fardin, E. A.; Holland, A. S.; Ghorbani, K.; Reichart, P.

    2006-07-01

    Thin films of Ba0.5Sr0.5TiO3 (BST) were deposited on c-plane (0001) sapphire by rf magnetron sputtering and investigated by complementary materials analysis methods. Microwave properties of the films, including tunability and Q factor were measured from 1to20GHz by patterning interdigital capacitors (IDCs) on the film surface. The tunability is correlated with texture, strain, and grain size in the deposited films. An enhanced capacitance tunability of 56% at a bias field of 200kV/cm and total device Q of more than 15 (up to 20GHz) were achieved following postdeposition annealing at 900°C.

  20. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  1. Liquid-phase growth of few-layered graphene on sapphire substrates using SiC micropowder source

    NASA Astrophysics Data System (ADS)

    Maruyama, Takahiro; Yamashita, Yutaka; Saida, Takahiro; Tanaka, Shin-ichiro; Naritsuka, Shigeya

    2017-06-01

    We demonstrated direct synthesis of graphene films consisting of a few layers (few-layered graphene) on sapphire substrates by liquid-phase growth (LPG), using liquid Ga as the melt and SiC micropowder as the source material. When the dissolution temperature was above 700 °C, almost all Si atoms of SiC diffused into the Ga melt and only carbon atoms remained at the interface beneath the liquid Ga. Above 800 °C, X-ray photoelectron spectra showed that most of the remaining carbon was graphitized. When the dissolution temperature was 1000 °C, Raman spectra showed that few-layered graphene films grew on the sapphire substrates.

  2. Defect analysis of the LED structure deposited on the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Nie, Qichu; Jiang, Zhimin; Gan, Zhiyin; Liu, Sheng; Yan, Han; Fang, Haisheng

    2018-04-01

    Transmission electron microscope (TEM) and double-crystal X-ray diffraction (DCXRD) measurements have been performed to investigate dislocations of the whole structure of the LED layers deposited on both the conventional (unpatterned sapphire substrate, UPSS) and patterned sapphire substrates (PSS). TEM results show that there exists a dislocation-accumulated region near the substrate/GaN interface, where the dislocation density is much higher with the UPPS than that with the PSS. It indicates that the pattern on the substrate surface is able to block the formation and propagation of dislocations. Further analysis discloses that slope of the pattern is found to suppress the deposition of GaN, and thus to provide more spaces for the epitaxially lateral overgrowth (ELO) of high temperature GaN, which significantly reduces the number of the initial islands, and minimizes dislocation formation due to the island coalescence. V-defect incorporating the threading dislocation is detected in the InGaN/GaN multi-quantum wells (MQWs), and its propagation mechanism is determined as the decrease of the surface energy due to the incorporation of indium. In addition, temperature dependence of dislocation formation is further investigated. The results show that dislocation with the screw component decreases monotonously as temperature goes up. However, edge dislocation firstly drops, and then increases by temperature due to the enhanced thermal mismatch stress. It implies that an optimized range of the growth temperature can be obtained to improve quality of the LED layers.

  3. High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.

    2003-01-01

    SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony, concentration was approximately 4 x 10(exp19) per cubic cm. The electron mobility was over 1,200 and 13,000 sq cm/V-sec at room temperature and 0.25 K, respectively. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per sq cm, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V(sub DS)) range, with (V(sub DS)) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.

  4. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  5. In-plane x-ray diffraction for characterization of monolayer and few-layer transition metal dichalcogenide films

    NASA Astrophysics Data System (ADS)

    Chubarov, Mikhail; Choudhury, Tanushree H.; Zhang, Xiaotian; Redwing, Joan M.

    2018-02-01

    There is significant interest in the growth of single crystal monolayer and few-layer films of transition metal dichalcogenides (TMD) and other 2D materials for scientific exploration and potential applications in optics, electronics, sensing, catalysis and others. The characterization of these materials is crucial in determining the properties and hence the applications. The ultra-thin nature of 2D layers presents a challenge to the use of x-ray diffraction (XRD) analysis with conventional Bragg-Brentano geometry in analyzing the crystallinity and epitaxial orientation of 2D films. To circumvent this problem, we demonstrate the use of in-plane XRD employing lab scale equipment which uses a standard Cu x-ray tube for the analysis of the crystallinity of TMD monolayer and few-layer films. The applicability of this technique is demonstrated in several examples for WSe2 and WS2 films grown by chemical vapor deposition on single crystal substrates. In-plane XRD was used to determine the epitaxial relation of WSe2 grown on c-plane sapphire and on SiC with an epitaxial graphene interlayer. The evolution of the crystal structure orientation of WS2 films on sapphire as a function of growth temperature was also examined. Finally, the epitaxial relation of a WS2/WSe2 vertical heterostructure deposited on sapphire substrate was determined. We observed that WSe2 grows epitaxially on both substrates employed in this work under all conditions studied while WS2 exhibits various preferred orientations on sapphire substrate which are temperature dependent. In contrast to the sapphire substrate, WS2 deposited on WSe2 exhibits only one preferred orientation which may provide a route to better control the orientation and crystal quality of WS2. In the case of epitaxial graphene on SiC, no graphene-related peaks were observed in in-plane XRD while its presence was confirmed using Raman spectroscopy. This demonstrates the limitation of the in-plane XRD technique for characterizing low

  6. Enhancement of the light output power of InGaN/GaN light-emitting diodes grown on pyramidal patterned sapphire substrates in the micro- and nanoscale

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Yan, Fawang; Zhang, Yang; Li, Jinmin; Zeng, Yiping; Wang, Guohong

    2008-01-01

    Sapphire substrates were patterned by a chemical wet etching technique in the micro- and nanoscale to enhance the light output power of InGaN/GaN light-emitting diodes (LEDs). InGaN/GaN LEDs on a pyramidal patterned sapphire substrate in the microscale (MPSS) and pyramidal patterned sapphire substrate in the nanoscale (NPSS) were grown by metalorganic chemical vapor deposition. The characteristics of the LEDs fabricated on the MPSS and NPSS prepared by wet etching were studied and the light output powers of the LEDs fabricated on the MPSS and NPSS increased compared with that of the conventional LEDs fabricated on planar sapphire substrates. In comparison with the planar sapphire substrate, an enhancement in output power of about 29% and 48% is achieved with the MPSS and NPSS at an injection current of 20 mA, respectively. This significant enhancement is attributable to the improvement of the epitaxial quality of GaN-based epilayers and the improvement of the light extraction efficiency by patterned sapphire substrates. Additionally, the NPSS is more effective to enhance the light output power than the MPSS.

  7. High-T(sub c) Edge-geometry SNS Weak Links on Silicon-on-sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Hunt, B.; Foote, M.; Pike, W.; Barner, J.; Vasquez, R.

    1994-01-01

    High-quality superconductor/normal-metal/superconductor(SNS) edge-geometry weak links have been produced on silicon-on-sapphire (SOS) substrates using a new SrTiO(sub 3)/'seed layer'/cubic-zirconia (YS2) buffer system.

  8. Preparation of a Non-Polar ZnO Film on a Single-Crystal NdGaO3 Substrate by the RF Sputtering Method

    NASA Astrophysics Data System (ADS)

    Kashiwaba, Y.; Tanaka, Y.; Sakuma, M.; Abe, T.; Imai, Y.; Kawasaki, K.; Nakagawa, A.; Niikura, I.; Kashiwaba, Y.; Osada, H.

    2018-04-01

    Preparation of non-polar ZnO ( 11\\overline{2} 0 ) films on single-crystal NdGaO3 (NGO) (001) substrates was successfully achieved by the radio frequency (RF) sputtering method. Orientation, deposition rate, and surface roughness of ZnO films strongly depend on the working pressure. Characteristics of ZnO films deposited on single-crystal NGO (001) substrates were compared with those of ZnO films deposited on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. An x-ray diffraction peak of the ZnO ( 11\\overline{2} 0 ) plane was observed on ZnO films deposited on single-crystal NGO (001) substrates under working pressure of less than 0.5 Pa. On the other hand, uniaxially oriented ZnO ( 11\\overline{2} 0 ) films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates were observed under working pressure of 0.1 Pa. The mechanism by which the diffraction angle of the ZnO ( 11\\overline{2} 0 ) plane on single-crystal NGO (001) substrates was shifted is discussed on the basis of anisotropic stress of lattice mismatch. The deposition rate of ZnO films decreased with an increase in working pressure, and the deposition rate on single-crystal NGO (001) substrates was larger than that on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. Root mean square (RMS) roughness of ZnO films increased with an increase in working pressure, and RMS roughness of ZnO films on single-crystal NGO (001) substrates was smaller than that of ZnO films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates even though the film thickness on single-crystal NGO (001) substrates was greater than that on sapphire substrates. It is thought that a single-crystal NGO (001) substrate is useful for deposition of non-polar ZnO ( 11\\overline{2} 0 ) films.

  9. Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography

    PubMed Central

    2013-01-01

    Large-scale nanopatterned sapphire substrates were fabricated by annealing of patterned Al thin films. Patterned Al thin films were obtained by soft UV-nanoimprint lithography and reactive ion etching. The soft mold with 550-nm-wide lines separated by 250-nm space was composed of the toluene-diluted polydimethylsiloxane (PDMS) layer supported by the soft PDMS. Patterned Al thin films were subsequently subjected to dual-stage annealing due to the melting temperature of Al thin films (660°C). The first comprised a low-temperature oxidation anneal at 450°C for 24 h. This was followed by a high-temperature annealing in the range of 1,000°C and 1,200°C for 1 h to induce growth of the underlying sapphire single crystal to consume the oxide layer. The SEM results indicate that the patterns were retained on sapphire substrates after high-temperature annealing at less than 1,200°C. Finally, large-scale nanopatterned sapphire substrates were successfully fabricated by annealing of patterned Al thin films for 24 h at 450°C and 1 h at 1,000°C by soft UV-nanoimprint lithography. PMID:24215718

  10. GaN-Based Light-Emitting Diodes Grown on Nanoscale Patterned Sapphire Substrates with Void-Embedded Cortex-Like Nanostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Sheng; Yeh, J. Andrew

    2011-09-01

    High-efficiency GaN-based light-emitting diodes (LEDs) with an emitting wavelength of 438 nm were demonstrated utilizing nanoscale patterned sapphire substrates with void-embedded cortex-like nanostructures (NPSS-VECN). Unlike the previous nanopatterned sapphire substrates, the presented substrate has a new morphology that can not only improve the crystalline quality of GaN epilayers but also generate a void-embedded nanostructural layer to enhance light extraction. Under a driving current of 20 mA, the external quantum efficiency of an LED with NPSS-VECN is enhanced by 2.4-fold compared with that of the conventional LED. Moreover, the output powers of two devices respectively are 33.1 and 13.9 mW.

  11. Effect of thermal interaction between bulk GaN substrates and corral sapphire on blue light emission InGaN/GaN multi-quantum wells by MOCVD

    NASA Astrophysics Data System (ADS)

    Sivanathan, P. C.; Shuhaimi, Ahmad; Hamza, Hebal; Kowsz, Stacy J.; Abdul Khudus, Muhammad I. M.; Li, Hongjian; Allif, Kamarul

    2018-07-01

    The InGaN/GaN multi-quantum wells, growth on bulk GaN substrate were studied for blue light emission. Growth temperature plays a key role determining the peak wavelength of a quantum well. The study was carried out by growing quantum wells, MQWs on the whole sapphire at 716 °C and observed peak wavelength at 463 nm. While the bulk GaN substrate with sapphire corral grown at 703 °C and observed a blueshift at 433 nm peak wavelength. These results contradict that of typical observation of wavelength emission inversely proportional to the growth temperature. On the other hand, the growth of GaN-sapphire and GaN-silicon at similar conditions emits 435 nm and 450 nm respectively. The heat interaction of bulk GaN substrates surrounded by the sapphire corral exhibits different growth conditions in multi-quantum wells when compared to that of a whole sapphire substrate (absence of bulk GaN). The predicated surface temperature of bulk GaN substrate is 10 °C-15 °C of more than the corral sapphire. This observation may link to the difference in the thermal distribution of the growth surface corresponding to the different thermal conductivity ratio. The photoluminescence and computational techniques were used to understand in-depth of the heat interaction.

  12. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Voronenkov, V. V.; Virko, M. V.; Kogotkov, V. S.

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A verticalmore » Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.« less

  13. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  14. Complex Investigations of Sapphire Crystals Production

    NASA Astrophysics Data System (ADS)

    Malyukov, S. P.; Klunnikova, Yu V.

    The problem of optimum conditions choice for processing sapphire substrates was solved with optimization methods and with combination of analytical simulation methods, experiment and expert system technology. The experimental results and software give rather full information on features of real structure of the sapphire crystal substrates and can be effectively used for optimization of technology of the substrate preparation for electronic devices.

  15. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface,more » high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.« less

  16. Microwave properties of peeled HEMT devices sapphire substrates

    NASA Technical Reports Server (NTRS)

    Young, Paul G.; Alterovitz, Samuel A.; Mena, Rafael A.; Smith, Edwyn D.

    1992-01-01

    The focus of this research is to demonstrate the first full radio frequency characterization of high electron mobility transistor (HEMT) device parameters. The results of this research are used in the design of circuits with peeled HEMT devices, e.g. 10 GHz amplifiers. Devices were fabricated using two HEMT structures grown by molecular beam epitaxy methods. A 500 A AlAs release layer for 'peel off' was included under the active layers of the structure. The structures are a homogeneously doped Al(0.3)GA(0.7)As/GaAs and a delta doped square well Al(.23)Ga(.77)As/GaAs HEMT structure. Devices were fabricated using a mesa isolation process. Contacts were done by sequentially evaporating Au/Ge/Au/Ni/Au followed by rapid thermal anneal at 400 C for 15 seconds. Gates were wet etch recessed and 1 to 1.4 micron Ti/Au gate metal was deposited. Devices were peeled off the GaAs substrate using Apiezon wax to support the active layer and a HF:DI (1:10) solution to remove the AlAs separation layer. Devices were then attached to sapphire substrates using van der Waals bonding.

  17. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    NASA Astrophysics Data System (ADS)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  18. Double Sided Si(Ge)/Sapphire/III-Nitride Hybrid Structure

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor)

    2016-01-01

    One aspect of the present invention is a double sided hybrid crystal structure including a trigonal Sapphire wafer containing a (0001) C-plane and having front and rear sides. The Sapphire wafer is substantially transparent to light in the visible and infrared spectra, and also provides insulation with respect to electromagnetic radio frequency noise. A layer of crystalline Si material having a cubic diamond structure aligned with the cubic <111> direction on the (0001) C-plane and strained as rhombohedron to thereby enable continuous integration of a selected (SiGe) device onto the rear side of the Sapphire wafer. The double sided hybrid crystal structure further includes an integrated III-Nitride crystalline layer on the front side of the Sapphire wafer that enables continuous integration of a selected III-Nitride device on the front side of the Sapphire wafer.

  19. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  20. Fabrication of volcano-shaped nano-patterned sapphire substrates using colloidal self-assembly and wet chemical etching.

    PubMed

    Geng, Chong; Zheng, Lu; Fang, Huajing; Yan, Qingfeng; Wei, Tongbo; Hao, Zhibiao; Wang, Xiaoqing; Shen, Dezhong

    2013-08-23

    Patterned sapphire substrates (PSS) have been widely used to enhance the light output power in GaN-based light emitting diodes. The shape and feature size of the pattern in a PSS affect its enhancement efficiency to a great degree. In this work we demonstrate the nanoscale fabrication of volcano-shaped PSS using a wet chemical etching approach in combination with a colloidal monolayer templating strategy. Detailed analysis by scanning electron microscopy reveals that the unique pattern shape is a result of the different corrosion-resistant abilities of silica masks of different effective heights during wet chemical etching. The formation of silica etching masks of different effective heights has been ascribed to the silica precursor solution in the interstice of the colloidal monolayer template being distributed unevenly after infiltration. In the subsequent wet chemical etching process, the active reaction sites altered as etching duration was prolonged, resulting in the formation of volcano-shaped nano-patterned sapphire substrates.

  1. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire. II. Electron energy loss spectroscopic study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Kim, Young-Min

    In Part I, we have shown that the addition of Si into sapphire by ion implantationmakes the sapphire substrate elastically softer than for the undoped sapphire. The more compliant layer of the Si-implanted sapphire substrate can absorb the misfit stress at the GaN/sapphire interface, which produces a lower threading-dislocation density in the GaN overlayer. Here in Part II, based on experimental results by electron energy loss spectroscopy and a first-principle molecular orbital calculation in the literature, we suggest that the softening effect of Si results from a reduction of ionic bonding strength in sapphire (α-Al{sub 2}O{sub 3}) with the substitutionmore » of Si for Al.« less

  2. A Study on the Formation of 2-Dimensional Tungsten Disulfide Thin Films on Sapphire Substrate by Sputtering and High Temperature Rapid Thermal Processing.

    PubMed

    Nam, Hanyeob; Kim, Hong-Seok; Han, Jae-Hee; Kwon, Sang Jik; Cho, Eou Sik

    2018-09-01

    As direct formation of p-type two-dimensional transition metal dichalcogenides (TMDC) films on substrates, tungsten disulfide (WS2) thin films were deposited onto sapphire glass substrate through shadow mask patterns by radio-frequency (RF) sputtering at different sputtering powers ranging from 60 W to 150 W and annealed by rapid thermal processing (RTP) at various high temperatures ranging from 500 °C to 800 °C. Based on scanning electron microscope (SEM) images and Raman spectra, better surface roughness and mode dominant E12g and A1g peaks were found for WS2 thin films prepared at higher RF sputtering powers. It was also possible to obtain high mobilities and carrier densities for all WS2 thin films based on results of Hall measurements. Process conditions for these WS2 thin films on sapphire substrate were optimized to low RF sputtering power and high temperature annealing.

  3. Polycrystalline Ba0.6Sr0.4TiO3 thin films on r-plane sapphire: Effect of film thickness on strain and dielectric properties

    NASA Astrophysics Data System (ADS)

    Fardin, E. A.; Holland, A. S.; Ghorbani, K.; Akdogan, E. K.; Simon, W. K.; Safari, A.; Wang, J. Y.

    2006-10-01

    Polycrystalline Ba0.6Sr0.4TiO3 (BST) films grown on r-plane sapphire exhibit strong variation of in-plane strain over the thickness range of 25-400nm. At a critical thickness of ˜200nm, the films are strain relieved; in thinner films, the strain is tensile, while compressive strain was observed in the 400nm film. Microwave properties of the films were measured from 1to20GHz by the interdigital capacitor method. A capacitance tunability of 64% was observed in the 200nm film, while thinner films showed improved Q factor. These results demonstrate the possibility of incorporating frequency agile BST-based devices into the silicon on sapphire process.

  4. InGaN-Based Light-Emitting Diodes Grown on a Micro/Nanoscale Hybrid Patterned Sapphire Substrate.

    PubMed

    Ke, Wen-Cheng; Lee, Fang-Wei; Chiang, Chih-Yung; Liang, Zhong-Yi; Chen, Wei-Kuo; Seong, Tae-Yeon

    2016-12-21

    A hybrid patterned sapphire substrate (hybrid-PSS) was prepared using an anodic aluminum oxide etching mask to transfer nanopatterns onto a conventional patterned sapphire substrate with microscale patterns (bare-PSS). The threading dislocation (TD) suppression of light-emitting diodes (LEDs) grown on a hybrid-PSS (HP-LED) exhibits a smaller reverse leakage current compared with that of LEDs grown on a bare-PSS (BP-LED). The strain-free GaN buffer layer and fully strained InGaN active layer were evidenced by cross-sectional Raman spectra and reciprocal space mapping of the X-ray diffraction intensity for both samples. The calculated piezoelectric fields for both samples are close, implying that the quantum-confined Stark effect was not a dominant mechanism influencing the electroluminescence (EL) peak wavelength under a high injection current. The bandgap shrinkage effect of the InGaN well layer was considered to explain the large red-shifted EL peak wavelength under high injection currents. The estimated LED chip temperatures rise from room temperature to 150 °C and 75 °C for BP-LED and HP-LED, respectively, at a 600-mA injection current. This smaller temperature rise of the LED chip is attributed to the increased contact area between the sapphire and the LED structural layer because of the embedded nanopattern. Although the chip generates more heat at high injection currents, the accumulated heat can be removed to outside the chip effectively. The high diffuse reflection (DR) rate of hybrid-PSS increases the escape probability of photons, resulting in an increase in the viewing angle of the LEDs from 130° to 145°. The efficiency droop was reduced from 46% to 35%, effects which can be attributed to the elimination of TDs and strain relaxation by embedded nanopatterns. In addition, the light output power of HP-LED at 360-mA injection currents exhibits a ∼ 22.3% enhancement, demonstrating that hybrid-PSSs are beneficial to apply in high-power LEDs.

  5. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Lan, Ding; Chen, Yu; Hu, Qiang; Lu, Hongxi; Li, Jinmin

    2014-02-01

    Self-assembly SiO2 nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, the external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.

  6. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  7. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  8. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  9. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  10. Formation of graphitic carbon nitride and boron carbon nitride film on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Kosaka, Maito; Urakami, Noriyuki; Hashimoto, Yoshio

    2018-02-01

    As a novel production method of boron carbon nitride (BCN) films, in this paper, we present the incorporation of B into graphitic carbon nitride (g-C3N4). First, we investigated the formation of g-C3N4 films via chemical vapor deposition (CVD) using melamine powder as the precursor. The formation of g-C3N4 films on a c-plane sapphire substrate was confirmed by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and Raman spectroscopy measurements. The deposition temperature of g-C3N4 films was found to be suitable between 550 and 600 °C since the degradation and desorption of hexagonal C-N bonds should be suppressed. As for BCN films, we prepared BCN films via two-zone extended CVD using ammonia borane as the B precursor. Several XPS signals from B, C, and N core levels were detected from B-incorporated g-C3N4 films. While the N composition was almost constant, the marked tendencies for increasing B composition and decreasing C composition were achieved with the increase in the B incorporation, indicating the incorporation of B atoms by the substitution for C atoms. Optical absorptions were shifted to the high-energy side by B incorporation, which indicates the successful formation of BCN films using melamine and ammonia borane powders as precursors.

  11. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hao; Li, Yufeng; Wang, Shuai

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%.more » Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.« less

  12. Temperature dependence of the crystalline quality of AlN layer grown on sapphire substrates by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Xiao-Hang; Wei, Yong O.; Wang, Shuo; Xie, Hongen; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.

    2015-03-01

    We studied temperature dependence of crystalline quality of AlN layers at 1050-1250 °C with a fine increment step of around 18 °C. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) and characterized by X-ray diffraction (XRD) ω-scans and atomic force microscopy (AFM). At 1050-1068 °C, the templates exhibited poor quality with surface pits and higher XRD (002) and (102) full-width at half-maximum (FWHM) because of insufficient Al atom mobility. At 1086 °C, the surface became smooth suggesting sufficient Al atom mobility. Above 1086 °C, the (102) FWHM and thus edge dislocation density increased with temperatures which may be attributed to the shorter growth mode transition from three-dimension (3D) to two-dimension (2D). Above 1212 °C, surface macro-steps were formed due to the longer diffusion length of Al atoms than the expected step terrace width. The edge dislocation density increased rapidly above 1212 °C, indicating this temperature may be a threshold above which the impact of the transition from 3D to 2D is more significant. The (002) FWHM and thus screw dislocation density were insensitive to the temperature change. This study suggests that high-quality AlN/sapphire templates may be potentially achieved at temperatures as low as 1086 °C which is accessible by most of the III-nitride MOCVD systems.

  13. Neutron Transmission of Single-crystal Sapphire Filters

    NASA Astrophysics Data System (ADS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-05-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum cystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons.

  14. Controllable laser thermal cleavage of sapphire wafers

    NASA Astrophysics Data System (ADS)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  15. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  16. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  17. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Wang, Junxi

    2014-02-15

    Self-assembly SiO{sub 2} nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, themore » external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.« less

  18. Method of Fabricating Double Sided Si(Ge)/Sapphire/III-Nitride Hybrid Structure

    NASA Technical Reports Server (NTRS)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor)

    2017-01-01

    One aspect of the present invention is a double sided hybrid crystal structure including a trigonal Sapphire wafer containing a (0001) C-plane and having front and rear sides. The Sapphire wafer is substantially transparent to light in the visible and infrared spectra, and also provides insulation with respect to electromagnetic radio frequency noise. A layer of crystalline Si material having a cubic diamond structure aligned with the cubic <111> direction on the (0001) C-plane and strained as rhombohedron to thereby enable continuous integration of a selected (SiGe) device onto the rear side of the Sapphire wafer. The double sided hybrid crystal structure further includes an integrated III-Nitride crystalline layer on the front side of the Sapphire wafer that enables continuous integration of a selected III-Nitride device on the front side of the Sapphire wafer.

  19. Structural and optical properties of low temperature grown AlN films on sapphire using helicon sputtering system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Meei-Ru; Chen, Hou-Guang; Kao, Hui-Ling, E-mail: hlkao@cycu.edu.tw

    2015-05-15

    AlN thin films have been deposited directly on c-plane sapphire substrates at low temperatures by a helicon sputtering system. The structural quality of AlN epitaxial films was characterized by x-ray diffractometry and transmission electron microscopy. The films exhibit smooth surface with root-mean-square roughness as small as 0.7 nm evaluated by atomic force microscope. The optical transmittance spectra show a steep absorption edge at the wavelength of 200 nm and a high transmittance of over 80% in the visible range. The band-edge transition (6.30 eV) of AlN film was observed in the cathodoluminescence spectrum recorded at 11 K. The spectral response of metal–semiconductor–metal photodetectors constructedmore » with AlN/sapphire reveals the peak responsivity at 200 nm and a UV/visible rejection ratio of about two orders of magnitude. The results of this low temperature deposition suggest the feasibility of the epitaxial growth of AlN on sapphire substrates and the incorporation of the AlN films in the surface acoustic wave devices and the optical devices at deep ultraviolet region.« less

  20. Substrate impact on the low-temperature growth of GaN thin films by plasma-assisted atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizir, Seda; Haider, Ali; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr

    2016-07-15

    Gallium nitride (GaN) thin films were grown on Si (100), Si (111), and c-plane sapphire substrates at 200 °C via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using GaEt{sub 3} and N{sub 2}/H{sub 2} plasma as group-III and V precursors, respectively. The main aim of the study was to investigate the impact of substrate on the material properties of low-temperature ALD-grown GaN layers. Structural, chemical, and optical characterizations were carried out in order to evaluate and compare film quality of GaN on different substrates. X-ray reflectivity measurements showed film density values of 5.70, 5.74, and 5.54 g/cm{sup 3} for GaN grown on Simore » (100), Si (111), and sapphire, respectively. Grazing incidence x-ray diffraction measurements exhibited hexagonal wurtzite structure in all HCPA-ALD grown GaN samples. However, dominant diffraction peak for GaN films grown on Si and sapphire substrates were detected differently as (002) and (103), respectively. X-ray diffraction gonio scans measured from GaN grown on c-plane sapphire primarily showed (002) orientation. All samples exhibited similar refractive index values (∼2.17 at 632 nm) with 2–3 at. % of oxygen impurity existing within the bulk of the films. The grain size was calculated as ∼9–10 nm for GaN grown on Si (100) and Si (111) samples while it was ∼5 nm for GaN/sapphire sample. Root-mean-square surface roughness values found as 0.68, 0.76, and 1.83 nm for GaN deposited on Si (100), Si (111), and sapphire, respectively. Another significant difference observed between the samples was the film growth per cycle: GaN/sapphire sample showed a considerable higher thickness value when compared with GaN/Si samples, which might be attributed to a possibly more-efficient nitridation and faster nucleation of sapphire surface.« less

  1. Room-temperature optically pumped laser emission from a-plane GaN with high optical gain characteristics

    NASA Astrophysics Data System (ADS)

    Kuokstis, E.; Chen, C. Q.; Yang, J. W.; Shatalov, M.; Gaevski, M. E.; Adivarahan, V.; Khan, M. Asif

    2004-04-01

    Photoluminescence (PL) and optical gain (OG) spectra of a-plane GaN layers have been analyzed over a wide range of excitation intensities. The samples were fully coalesced layers grown by metalorganic chemical vapor deposition over r-plane sapphire substrates using epitaxial layer overgrowth (ELOG) and selective area lateral epitaxy (SALE) procedures. ELOG and SALE a-plane samples showed a strong stimulated emission line in backscattering-geometry PL spectra along with extremely high OG coefficient values (in SALE samples more than 2000 cm-1). Structures prepared with natural cleaved facet cavities based on these films were used to demonstrate optically pumped room-temperature lasing.

  2. Epitaxially grown BaM hexaferrite films having uniaxial axis in the film plane for self-biased devices

    PubMed Central

    Zhang, Xiaozhi; Meng, Siqin; Song, Dongsheng; Zhang, Yao; Yue, Zhenxing; Harris, Vincent G.

    2017-01-01

    Barium hexaferrite (BaM) films with in-plane c-axis orientation are promising and technically important materials for self-biased magnetic microwave devices. In this work, highly oriented BaM films with different thickness and an in-plane easy axis (c-axis) of magnetization were grown on a-plane single-crystal sapphire substrates by direct current magnetron sputtering. A procedure involving seed layers, layer-by-layer annealing was adopted to reduce the substrate-induced strains and allow for the growth of thick (~3.44 μm) films. The epitaxial growth of the BaM film on sapphire was revealed by high-resolution transmission electron microscopy with dislocations being observed at the film-substrate interface. The orientation was also verified by X-ray diffraction and more notably, polarized Raman scattering. The magnetic properties and ferromagnetic resonant frequencies were experimentally characterized by a vibrating sample magnetometry and a frequency-swept ferromagnetic resonant flip-chip technique, respectively. The micron-thick BaM films exhibited a large remanence ratio of 0.92 along in-plane easy axis and a small one of 0.09 for the in-plane hard axis loop measurement. The FMR frequency was 50.3 GHz at zero field and reached 57.9 GHz under a magnetic field of 3 kOe, indicating that the epitaxial BaM films with strong self-biased behaviors have good electromagnetic properties in millimeter-wave range. PMID:28276492

  3. Epitaxially grown BaM hexaferrite films having uniaxial axis in the film plane for self-biased devices.

    PubMed

    Zhang, Xiaozhi; Meng, Siqin; Song, Dongsheng; Zhang, Yao; Yue, Zhenxing; Harris, Vincent G

    2017-03-09

    Barium hexaferrite (BaM) films with in-plane c-axis orientation are promising and technically important materials for self-biased magnetic microwave devices. In this work, highly oriented BaM films with different thickness and an in-plane easy axis (c-axis) of magnetization were grown on a-plane single-crystal sapphire substrates by direct current magnetron sputtering. A procedure involving seed layers, layer-by-layer annealing was adopted to reduce the substrate-induced strains and allow for the growth of thick (~3.44 μm) films. The epitaxial growth of the BaM film on sapphire was revealed by high-resolution transmission electron microscopy with dislocations being observed at the film-substrate interface. The orientation was also verified by X-ray diffraction and more notably, polarized Raman scattering. The magnetic properties and ferromagnetic resonant frequencies were experimentally characterized by a vibrating sample magnetometry and a frequency-swept ferromagnetic resonant flip-chip technique, respectively. The micron-thick BaM films exhibited a large remanence ratio of 0.92 along in-plane easy axis and a small one of 0.09 for the in-plane hard axis loop measurement. The FMR frequency was 50.3 GHz at zero field and reached 57.9 GHz under a magnetic field of 3 kOe, indicating that the epitaxial BaM films with strong self-biased behaviors have good electromagnetic properties in millimeter-wave range.

  4. Impact of layer and substrate properties on the surface acoustic wave velocity in scandium doped aluminum nitride based SAW devices on sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gillinger, M., E-mail: manuel.gillinger@tuwien.ac.at; Knobloch, T.; Schneider, M.

    2016-06-06

    This paper investigates the performance of surface acoustic wave (SAW) devices consisting of reactively sputter deposited scandium doped aluminum nitride (Sc{sub x}Al{sub 1-x}N) thin films as piezoelectric layers on sapphire substrates for wireless sensor or for RF-MEMS applications. To investigate the influence of piezoelectric film thickness on the device properties, samples with thickness ranging from 500 nm up to 3000 nm are fabricated. S{sub 21} measurements and simulations demonstrate that the phase velocity is predominantly influenced by the mass density of the electrode material rather than by the thickness of the piezoelectric film. Additionally, the wave propagation direction is varied by rotatingmore » the interdigital transducer structures with respect to the crystal orientation of the substrate. The phase velocity is about 2.5% higher for a-direction compared to m-direction of the sapphire substrate, which is in excellent agreement with the difference in the anisotropic Young's modulus of the substrate corresponding to these directions.« less

  5. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  6. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  7. High Electron Mobility in SiGe/Si n-MODFET Structures on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Mueller, Carl H.; Croke, Edward T.; Alterovitz, Samuel A.

    2003-01-01

    For the first time, SiGe/Si n-Modulation Doped Field Effect Transistors (n-MODFET) structures have been grown on sapphire substrates. Room temperature electron mobility value of 1271 square centimeters N-sec at an electron carrier density (n(sub e) = 1.33x10(exp 12) per square centimeter)) of 1.6 x 10(exp 12) per square centimeter was obtained. At 250 mK, the mobility increases to 13,313 square centimeters/V-sec (n(sub e)=1.33x10(exp 12) per square centimeter)) and Shubnikov-de Haas oscillations appear, showing excellent confinement of the two-dimensional electron gas.

  8. High-quality AlN film grown on a nanosized concave-convex surface sapphire substrate by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Nagatomi, Takaharu; Morishita, Tomohiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2017-10-01

    We developed a method for fabricating high-crystal-quality AlN films by combining a randomly distributed nanosized concavo-convex sapphire substrate (NCC-SS) and a three-step growth method optimized for NCC-SS, i.e., a 3-nm-thick nucleation layer (870 °C), a 150-nm-thick high-temperature layer (1250 °C), and a 3.2-μm-thick medium-temperature layer (1110 °C). The NCC-SS is easily fabricated using a conventional metalorganic vapor phase epitaxy reactor equipped with a showerhead plate. The resultant AlN film has a crack-free and single-step surface with a root-mean-square roughness of 0.5 nm. The full-widths at half-maxima of the X-ray rocking curve were 50/250 arcsec for the (0002)/(10-12) planes, revealing that the NCC surface is critical for achieving such a high-quality film. Hexagonal-pyramid-shaped voids at the AlN/NCC-SS interface and confinement of dislocations within the 150-nm-thick high-temperature layer were confirmed. The NCC surface feature and resultant faceted voids play an important role in the growth of high-crystal-quality AlN films, likely via localized and/or disordered growth of AlN at the initial stage, contributing to the alignment of high-crystal-quality nuclei and dislocations.

  9. Measurement and thermal modeling of sapphire substrate temperature at III-Nitride MOVPE conditions

    DOE PAGES

    Creighton, J. Randall; Coltrin, Michael E.; Figiel, Jeffrey J.

    2017-04-01

    Here, growth rates and alloy composition of AlGaN grown by MOVPE is often very temperature dependent due to the presence of gas-phase parasitic chemical processes. These processes make wafer temperature measurement highly important, but in fact such measurements are very difficult because of substrate transparency in the near- IR (~900 nm) where conventional pyrometers detect radiation. The transparency problem can be solved by using a mid-IR pyrometer operating at a wavelength (~7500 nm) where sapphire is opaque. We employ a mid- IR pyrometer to measure the sapphire wafer temperature and simultaneously a near-IR pyrometer to measure wafer pocket temperature, whilemore » varying reactor pressure in both a N 2 and H 2 ambient. Near 1300 °C, as the reactor pressure is lowered from 300 Torr to 10 Torr the wafer temperature drops dramatically, and the ΔT between the pocket and wafer increases from ~20 °C to ~250 °C. Without the mid-IR pyrometer the large wafer temperature change with pressure would not have been noted. In order to explain this behavior we have developed a quasi-2D thermal model that includes a proper accounting of the pressure-dependent thermal contact resistance, and also accounts for sapphire optical transmission. The model and experimental results demonstrate that at most growth conditions the majority of the heat is transported from the wafer pocket to the wafer via gas conduction, in the free molecular flow limit. In this limit gas conductivity is independent of gap size but first order in pressure, and can quantitatively explain results from 20 to 300 Torr. Further analysis yields a measure of the thermal accommodation coefficients; α(H 2) =0.23, α(N 2) =0.50, which are in the range typically measured.« less

  10. Demonstration of transverse-magnetic deep-ultraviolet stimulated emission from AlGaN multiple-quantum-well lasers grown on a sapphire substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Xiao-Hang, E-mail: xli@gatech.edu, E-mail: dupuis@gatech.edu; Kao, Tsung-Ting; Satter, Md. Mahbub

    2015-01-26

    We demonstrate transverse-magnetic (TM) dominant deep-ultraviolet (DUV) stimulated emission from photo-pumped AlGaN multiple-quantum-well lasers grown pseudomorphically on an AlN/sapphire template by means of photoluminescence at room temperature. The TM-dominant stimulated emission was observed at wavelengths of 239, 242, and 243 nm with low thresholds of 280, 250, and 290 kW/cm{sup 2}, respectively. In particular, the lasing wavelength of 239 nm is shorter compared to other reports for AlGaN lasers grown on foreign substrates including sapphire and SiC. The peak wavelength difference between the transverse-electric (TE)-polarized emission and TM-polarized emission was approximately zero for the lasers in this study, indicating the crossover of crystal-fieldmore » split-off hole and heavy-hole valence bands. The rapid variation of polarization between TE- and TM-dominance versus the change in lasing wavelength from 243 to 249 nm can be attributed to a dramatic change in the TE-to-TM gain coefficient ratio for the sapphire-based DUV lasers in the vicinity of TE-TM switch.« less

  11. Characteristics of Coplanar Waveguide on Sapphire for High Temperature Applications (25 to 400 degrees C)

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.; Jordan, Jennifer L.; Scardelletti, Maximilian; Stalker, Amy R.

    2007-01-01

    This paper presents the characteristics of coplanar waveguide transmission lines fabricated on R-plane sapphire substrates as a function of temperature across the temperature range of 25 to 400 C. Effective permittivity and attenuation are measured on a high temperature probe station. Two techniques are used to obtain the transmission line characteristics, a Thru-Reflect-Line calibration technique that yields the propagation coefficient and resonant stubs. To a first order fit of the data, the effective permittivity and the attenuation increase linearly with temperature.

  12. Development and Industrialization of InGaN/GaN LEDs on Patterned Sapphire Substrates for Low Cost Emitter Architecture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flemish, Joseph; Soer, Wouter

    2015-11-30

    Patterned sapphire substrate (PSS) technology has proven to be an effective approach to improve efficacy and reduce cost of light-emitting diodes (LEDs). The volume emission from the transparent substrate leads to high package efficiency, while the simple and robust architecture of PSS-based LEDs enables low cost. PSS substrates have gained wide use in mid-power LEDs over the past years. In this project, Lumileds has developed and industrialized PSS and epitaxy technology for high- power flip-chip LEDs to bring these benefits to a broader range of applications and accelerate the adoption of energy-efficient solid-state lighting (SSL). PSS geometries were designed formore » highly efficient light extraction in a flip-chip architecture and high-volume manufacturability, and corresponding sapphire patterning and epitaxy manufacturing processes were integrally developed. Concurrently, device and package architectures were developed to take advantage of the PSS flip-chip die in different types of products that meet application needs. The developed PSS and epitaxy technology has been fully implemented in manufacturing at Lumileds’ San Jose, CA location, and incorporated in illumination-grade LED products that have been successfully introduced to the market, including LUXEON Q and LUXEON FlipChip White.« less

  13. Tridimensional morphology and kinetics of etch pit on the {l_brace}0 0 0 1{r_brace} plane of sapphire crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Lunyong; Sun Jianfei, E-mail: jfsun_hit@263.net; Zuo Hongbo

    2012-08-15

    The tridimensional morphology and etching kinetics of the etch pit on the C-{l_brace}0 0 0 1{r_brace} plane of sapphire crystal ({alpha}-Al{sub 2}O{sub 3}) in molten KOH were studied experimentally. It was shown that the etch pit takes on tridimensional morphologies with triangular symmetry same as the symmetric property of the sapphire crystal. Pits like centric and eccentric triangular pyramid as well as hexagonal pyramid were observed, but the latter is less in density. In-depth analyses show the side walls of the etch pits belong to the {l_brace}1 1{sup Macron} 0 2{sup Macron }{r_brace} family, and the triangular pit contains edgesmore » full composed by Al{sup 3+} ions on the etching surface so it is more stable than the hexagonal pit since its edges on the etching surface contains Al{sup 2+} ions. The etch pits developed in a manner of kinematic wave by the step moving with constant speed, which is controlled by the chemical reaction with activation energy of 96.6 kJ/mol between Al{sub 2}O{sub 3} and KOH. - Graphical abstract: Schematic showing the atomic configuration of the predicted side walls of regular triangular pyramid shaped etch pit on the C-{l_brace}0 0 0 1{r_brace} plane of sapphire crystal. Highlights: Black-Right-Pointing-Pointer Observed the tridimensional morphology of etch pits. Black-Right-Pointing-Pointer Figured out the atomic configuration origin of the etch pits. Black-Right-Pointing-Pointer Quantitatively determined the etch rates of the etch pits.« less

  14. High-quality AlN grown on a thermally decomposed sapphire surface

    NASA Astrophysics Data System (ADS)

    Hagedorn, S.; Knauer, A.; Brunner, F.; Mogilatenko, A.; Zeimer, U.; Weyers, M.

    2017-12-01

    In this study we show how to realize a self-assembled nano-patterned sapphire surface on 2 inch diameter epi-ready wafer and the subsequent AlN overgrowth both in the same metal-organic vapor phase epitaxial process. For this purpose in-situ annealing in H2 environment was applied prior to AlN growth to thermally decompose the c-plane oriented sapphire surface. By proper AlN overgrowth management misoriented grains that start to grow on non c-plane oriented facets of the roughened sapphire surface could be overcome. We achieved crack-free, atomically flat AlN layers of 3.5 μm thickness. The layers show excellent material quality homogeneously over the whole wafer as proved by the full width at half maximum of X-ray measured ω-rocking curves of 120 arcsec to 160 arcsec for the 002 reflection and 440 arcsec to 550 arcsec for the 302 reflection. The threading dislocation density is 2 ∗ 109 cm-2 which shows that the annealing and overgrowth process investigated in this work leads to cost-efficient AlN templates for UV LED devices.

  15. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclinedmore » angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.« less

  16. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  17. X-ray diffraction study of A- plane non-polar InN epilayer grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Moret, Matthieu; Briot, Olivier; Gil, Bernard

    2015-03-01

    Strong polarisation-induced electric fields in C-plane oriented nitrides semiconductor layers reduce the performance of devices. Eliminating the polarization fields can be achieved by growing nitrides along non polar direction. We have grown non polar A-plane oriented InN on R-plane (1‾102) nitridated sapphire substrate by MOCVD. We have studied the structural anisotropy observed in these layers by analyzing High Resolution XRay Diffraction rocking curve (RC) experiments as a function of the in-plane beam orientation. A-plane InN epilayer have a unique epitaxial relationship on R-Plane sapphire and show a strong structural anisotropy. Full width at half maximum (FWHM) of the InN(11‾20) XRD RC values are contained between 44 and 81 Arcmin. FWHM is smaller when the diffraction occurs along the [0001] and the largest FWHM values, of the (11‾20) RC, are obtained when the diffraction occurs along the [1‾100] in-plane direction. Atomic Force Microscopy imaging revealed morphologies with well organized crystallites. The grains are structured along a unique crystallographic orientation of InN, leading to larger domains in this direction. This structural anisotropy can be, in first approximation, attributed to the difference in the domain sizes observed. XRD reciprocal space mappings (RSM) were performed in asymmetrical configuration on (13‾40) and (2‾202) diffraction plane. RSM are measured with a beam orientation corresponding to a maximal and a minimal width of the (11‾20) Rocking curves, respectively. A simple theoretical model is exposed to interpret the RSM. We concluded that the dominant contribution to the anisotropy is due to the scattering coherence length anisotropy present in our samples.

  18. Modern trends in crystal growth and new applications of sapphire

    NASA Astrophysics Data System (ADS)

    Akselrod, Mark S.; Bruni, Frank J.

    2012-12-01

    We provide an overview of the latest market trends and modern competing methods of sapphire crystal growth and the application of sapphire wafers as LED substrates. Almost all methods of high temperature growth from the melt are suitable for sapphire production, but each of these methods has its advantages and disadvantages depending on the application and required finished product form factor. Special attention is paid to the review of defects and imperfections that allow the engineering of new active devices based on sapphire.

  19. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  20. Improvement of crystalline quality of N-polar AlN layers on c-plane sapphire by low-pressure flow-modulated MOCVD

    NASA Astrophysics Data System (ADS)

    Takeuchi, M.; Shimizu, H.; Kajitani, R.; Kawasaki, K.; Kumagai, Y.; Koukitu, A.; Aoyagi, Y.

    2007-01-01

    The growth of N-polar AlN layers on c-plane sapphire is reported. Low-temperature AlN (LT-AlN) layers were used as seeding buffer layers with pre-nitridation for sapphire. To avoid strong vapor-phase reaction between trimethylaluminum (TMA) and ammonia (NH 3) and to improve the crystalline quality, low-pressure flow-modulated (FM) metal-organic chemical vapor deposition (MOCVD) technique was introduced with careful optimization of the FM sequence. The surface morphologies and the crystalline quality defined by the X-ray diffraction (XRD) (0 0 2) and (1 0 0) rocking curve measurements strongly depended on the LT-AlN thickness and on the TMA coverage per cycle of the FM growth. The sample showing the best XRD data with a good morphology was almost completely etched in aqueous KOH solution owing to N-polarity. From the plan-view transmission electron microscopy (TEM) observation, the dislocation density was counted to be about 3×10 10 cm -2.

  1. High T(sub c) Superconducting Bolometer on Chemically Etched 7 Micrometer Thick Sapphire

    NASA Technical Reports Server (NTRS)

    Lakew, B.; Brasunas, J. C.; Pique, A.; Fettig, R.; Mott, B.; Babu, S.; Cushman, G. M.

    1997-01-01

    A transition-edge IR detector, using a YBa2Cu3O(7-x) (YBCO) thin film deposited on a chemically etched, 7 micrometer thick sapphire substrate has been built. To our knowledge it is the first such high T(sub c) superconducting (HTS) bolometer on chemically thinned sapphire. The peak optical detectivity obtained is l.2 x 10(exp 10) cmHz(sup 1/2)/W near 4Hz. Result shows that it is possible to obtain high detectivity with thin films on etched sapphire with no processing after the deposition of the YBCO film. We discuss the etching process and its potential for micro-machining sapphire and fabricating 2-dimensional detector arrays with suspended sapphire membranes. A 30 micrometer thick layer of gold black provided IR absorption. Comparison is made with the current state of the art on silicon substrates.

  2. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  3. Magnetoresistivity of thin YBa2Cu3O7-δ films on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Probst, Petra; Il'in, Konstantin; Engel, Andreas; Semenov, Alexei; Hübers, Heinz-Wilhelm; Hänisch, Jens; Holzapfel, Bernhardt; Siegel, Michael

    2012-09-01

    Magnetoresistivity of YBa2Cu3O7-δ films with thicknesses between 7 and 100 nm deposited on CeO2 and PrBa2Cu3O7-δ buffer layers on sapphire substrate has been measured to analyze the temperature dependence of the second critical magnetic field Bc2. To define Bc2, the mean-field transition temperature Tc was evaluated by fitting the resistive transition in zero magnetic field with the fluctuation conductivity theory of Aslamazov and Larkin. At T → Tc the Bc2(T) dependence shows a crossover from downturn to upturn curvature with the increase in film thickness.

  4. A metal-insulator transition study of VO 2 thin films grown on sapphire substrates

    DOE PAGES

    Yu, Shifeng; Wang, Shuyu; Lu, Ming; ...

    2017-12-15

    In this paper, vanadium thin films were deposited on sapphire substrates by DC magnetron sputtering and then oxidized in a tube furnace filled with oxygen under different temperatures and oxygen flow rates. The significant influence of the oxygen flow rate and oxidation temperature on the electrical and structural properties of the vanadium oxide thin films were investigated systematically. It shows the pure vanadium dioxide (VO 2) state can only be obtained in a very narrow temperature and oxygen flow rate range. The resistivity change during the metal-insulator transition varies from 0.2 to 4 orders of magnitude depending on the oxidationmore » condition. Large thermal hysteresis during the metal-insulator phase transition was observed during the transition compared to the results in literature. Proper oxidation conditions can significantly reduce the thermal hysteresis. Finally, the fabricated VO 2 thin films showed the potential to be applied in the development of electrical sensors and other smart devices.« less

  5. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  6. Transformation of a Plane Wavefront in Hemispherical Lenses Made of Leuco-Sapphire

    NASA Astrophysics Data System (ADS)

    Vetrov, V. N.; Ignatenkov, B. A.; Yakobson, V. E.

    2018-01-01

    An algorithm for wavefront calculation of ordinary and extraordinary waves after propagation through hemispherical components made of a uniaxial crystal is developed. The influence of frequency dispersion of n o and n e , as well as change in the direction of the optic axis of the crystal, on extraordinary wavefront in hemispheres made of from leuco-sapphire and a plastically deformed analog thereof is determined.

  7. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    NASA Astrophysics Data System (ADS)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to <1016 cm-3 when the off-angle of the m-plane GaN substrate was increased. The leakage current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  8. High Mobility SiGe/Si n-Type Structures and Field Effect Transistors on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Ponchak, George E.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    SiGe/Si n-type modulation doped field effect transistors (MODFETs) fabricated on sapphire substrates have been characterized at microwave frequencies for the first time. The highest measured room temperature electron mobility is 1380 sq cm/V-sec at a carrier density of 1.8 x 10(exp 12)/sq cm for a MODFET structure, and 900 sq cm/V-sec at a carrier density of 1.3 x 10/sq cm for a phosphorus ion implanted sample. A two finger, 2 x 200 micron gate n-MODFET has a peak transconductance of 37 mS/mm at a drain to source voltage of 2.5 V and a transducer gain of 6.4 dB at 1 GHz.

  9. Structure and Properties of VO2 and Titanium Dioxide Based Epitaxial Heterostructures Integrated with Silicon and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bayati, Mohammad Reza

    application in environmental remediation was taken into account. The photochemical efficiency of the samples was evaluated under ultraviolet and visible illuminations. I was able to establish a correlation between the growth conditions and the photocatalytic activity of single crystalline TiO 2 thin films. Visible-light-responsive TiO2 films were fabricated via vacuum annealing of the samples where point defects, namely oxygen vacancies and titanium interstitial, are surmised to play a critical role. An ultrafast switching was observed in wetting characteristics of the single crystalline rutile TiO2 films from a hydrophobic state to a superhydrophilic state by single pulsed excimer laser annealing. It was observed that the laser annealing almost doubles the photocatalytic efficiency of the anatase epitaxial thin films. I was able to measure the photochemical properties of the rutile and the anatase TiO2 heterostructures in a controlled way due to the single crystalline nature of the films. Second, the rutile TiO2 epilayers with different out-of-plane orientations were deposited and used as a platform for VO2 based epitaxial heterostructures with the aim of manipulating of microstructure and electrical properties of the VO 2 films. Vanadium dioxide (VO2) is an interesting material due to the abrupt change in electrical resistivity and infrared transmittance at about 68 °C. The transition temperature can be tuned through microstructural engineering. It was the idea behind using rutile TiO2 with different crystallographic orientations as a template to tune the semiconductor to metal transition characteristics of the VO2 top layer. I successfully grew VO2(001), VO2(100), and VO2(2¯01) epitaxial thin films on TiO2(100)/c-sapphire, TiO2(101)/r-sapphire, and TiO2(001)/ m-sapphire platforms, respectively. It was observed that tetragonal phase of VO2 was stabilized at lower temperatures leading to a significant decrease in the semiconductor to metal transition temperature. In other

  10. Electron Beam "Writes" Silicon On Sapphire

    NASA Technical Reports Server (NTRS)

    Heinemann, Klaus

    1988-01-01

    Method of growing silicon on sapphire substrate uses beam of electrons to aid growth of semiconductor material. Silicon forms as epitaxial film in precisely localized areas in micron-wide lines. Promising fabrication method for fast, densely-packed integrated circuits. Silicon deposited preferentially in contaminated substrate zones and in clean zone irradiated by electron beam. Electron beam, like surface contamination, appears to stimulate decomposition of silane atmosphere.

  11. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  12. Reduced cost and improved figure of sapphire optical components

    NASA Astrophysics Data System (ADS)

    Walters, Mark; Bartlett, Kevin; Brophy, Matthew R.; DeGroote Nelson, Jessica; Medicus, Kate

    2015-10-01

    Sapphire presents many challenges to optical manufacturers due to its high hardness and anisotropic properties. Long lead times and high prices are the typical result of such challenges. The cost of even a simple 'grind and shine' process can be prohibitive. The high precision surfaces required by optical sensor applications further exacerbate the challenge of processing sapphire thereby increasing cost further. Optimax has demonstrated a production process for such windows that delivers over 50% time reduction as compared to traditional manufacturing processes for sapphire, while producing windows with less than 1/5 wave rms figure error. Optimax's sapphire production process achieves significant improvement in cost by implementation of a controlled grinding process to present the best possible surface to the polishing equipment. Following the grinding process is a polishing process taking advantage of chemical interactions between slurry and substrate to deliver excellent removal rates and surface finish. Through experiments, the mechanics of the polishing process were also optimized to produce excellent optical figure. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. Through specially developed polishing slurries, the peak-to-valley figure error of spherical sapphire parts is reduced by over 80%.

  13. Slow Crack Growth and Fracture Toughness of Sapphire for the International Space Station Fluids and Combustion Facility

    NASA Technical Reports Server (NTRS)

    Salem, Jonathan A.

    2006-01-01

    The fracture toughness, inert flexural strength, and slow crack growth parameters of the r- and a-planes of sapphire grown by the Heat Exchange Method were measured to qualify sapphire for structural use in the International Space Station. The fracture toughness in dry nitrogen, K(sub Ipb), was 2.31 +/- 0.12 MPa(square root of)m and 2.47 +/- 0.15 MPa(squre root of)m for the a- and r-planes, respectively. Fracture toughness measured in water via the operational procedure in ASTM C1421 was significantly lower, K(sub Ivb) = 1.95+/- 0.03 MPa(square root of)m, 1.94 +/- 0.07 and 1.77 +/- 0.13 MPa(square root of)m for the a- , m- and r-planes, respectively. The mean inert flexural strength in dry nitrogen was 1085 +/- 127 MPa for the r-plane and 1255 +/- 547 MPa for the a-plane. The power law slow crack growth exponent for testing in water was n = 21 +/- 4 for the r-plane and n (greater than or equal to) 31 for the a-plane. The power law slow crack growth coefficient was A = 2.81 x 10(exp -14) m/s x (MPa(squre root of)m)/n for the r-plane and A (approx. equals)2.06 x 10(exp -15) m/s x (MPa(square root of)m)/n for the a-plane. The r- and a-planes of sapphire are relatively susceptible to stress corrosion induced slow crack growth in water. However, failure occurs by competing modes of slow crack growth at long failure times and twinning for short failure time and inert environments. Slow crack growth testing needs to be performed at low failure stress levels and long failure times so that twinning does not affect the results. Some difficulty was encountered in measuring the slow crack growth parameters for the a-plane due to a short finish (i.e., insufficient material removal for elimination of the damage generated in the early grinding stages). A consistent preparation method that increases the Weibull modulus of sapphire test specimens and components is needed. This would impart higher component reliability, even if higher Weibull modulus is gained at the sacrifice of

  14. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  15. Fabrication of Monolithic Sapphire Membranes for High Tc Bolometer Array Development

    NASA Technical Reports Server (NTRS)

    Pugel, D. E.; Lakew, B.; Aslam, S.; Wang, L.

    2003-01-01

    This paper examines the effectiveness of Pt/Cr thin film masks for the architecture of monolithic membrane structures in r-plane sapphire. The development of a pinhole-free Pt/Cr composite mask that is resistant to hot H2SO4:H3PO4 etchant, will lead to the fabrication of smooth sapphire membranes whose surfaces are well-suited for the growth of low-noise high Tc films. In particular, the relationship of thermal annealing conditions on the Pt/Cr composite mask system to: (1) changes in the surface morphology and elemental concentration of the Pt/Cr thin film layers and (2) etch pit formation on the sapphire surface will be presented.

  16. Failure Analysis of Sapphire Refractive Secondary Concentrators

    NASA Technical Reports Server (NTRS)

    Salem, Jonathan A.; Quinn, George D.

    2009-01-01

    Failure analysis was performed on two sapphire, refractive secondary concentrators (RSC) that failed during elevated temperature testing. Both concentrators failed from machining/handling damage on the lens face. The first concentrator, which failed during testing to 1300 C, exhibited a large r-plane twin extending from the lens through much of the cone. The second concentrator, which was an attempt to reduce temperature gradients and failed during testing to 649 C, exhibited a few small twins on the lens face. The twins were not located at the origin, but represent another mode of failure that needs to be considered in the design of sapphire components. In order to estimate the fracture stress from fractographic evidence, branching constants were measured on sapphire strength specimens. The fractographic analysis indicated radial tensile stresses of 44 to 65 MPa on the lens faces near the origins. Finite element analysis indicated similar stresses for the first RSC, but lower stresses for the second RSC. Better machining and handling might have prevented the fractures, however, temperature gradients and resultant thermal stresses need to be reduced to prevent twinning.

  17. Empty substrate integrated waveguide technology for E plane high-frequency and high-performance circuits

    NASA Astrophysics Data System (ADS)

    Belenguer, Angel; Cano, Juan Luis; Esteban, Héctor; Artal, Eduardo; Boria, Vicente E.

    2017-01-01

    Substrate integrated circuits (SIC) have attracted much attention in the last years because of their great potential of low cost, easy manufacturing, integration in a circuit board, and higher-quality factor than planar circuits. A first suite of SIC where the waves propagate through dielectric have been first developed, based on the well-known substrate integrated waveguide (SIW) and related technological implementations. One step further has been made with a new suite of empty substrate integrated waveguides, where the waves propagate through air, thus reducing the associated losses. This is the case of the empty substrate integrated waveguide (ESIW) or the air-filled substrate integrated waveguide (air-filled SIW). However, all these SIC are H plane structures, so classical H plane solutions in rectangular waveguides have already been mapped to most of these new SIC. In this paper a novel E plane empty substrate integrated waveguide (ESIW-E) is presented. This structure allows to easily map classical E plane solutions in rectangular waveguide to this new substrate integrated solution. It is similar to the ESIW, although more layers are needed to build the structure. A wideband transition (covering the frequency range between 33 GHz and 50 GHz) from microstrip to ESIW-E is designed and manufactured. Measurements are successfully compared with simulation, proving the validity of this new SIC. A broadband high-frequency phase shifter (for operation from 35 GHz to 47 GHz) is successfully implemented in ESIW-E, thus proving the good performance of this new SIC in a practical application.

  18. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  19. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  20. Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho

    2011-07-01

    We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.

  1. Chip design for thin-film deep ultraviolet LEDs fabricated by laser lift-off of the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Cho, H. K.; Krüger, O.; Külberg, A.; Rass, J.; Zeimer, U.; Kolbe, T.; Knauer, A.; Einfeldt, S.; Weyers, M.; Kneissl, M.

    2017-12-01

    We report on a chip design which allows the laser lift-off (LLO) of the sapphire substrate sustaining the epitaxial film of flip-chip mounted deep ultraviolet light emitting diodes. A nanosecond pulsed excimer laser with a wavelength of 248 nm was used for the LLO. A mechanically stable chip design was found to be the key to prevent crack formation in the epitaxial layers and material chipping during the LLO process. Stabilization was achieved by introducing a Ti/Au leveling layer that mechanically supports the fragile epitaxial film. The electrical and optical characterization of devices before and after the LLO process shows that the device performance did not degrade by the LLO.

  2. Epitaxial growth of (001)-oriented Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on a-plane sapphire with an MgO/ZnO bridge layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiao Bo; Liu Hongrui; Avrutin, Vitaliy

    2009-11-23

    High quality (001)-oriented Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown on a-plane sapphire (1120) by rf magnetron sputtering using a double bridge layer consisting of (0001)-oriented ZnO (50 nm) and (001)-oriented MgO (10 nm) prepared by plasma-assisted molecular beam epitaxy. X-ray diffraction revealed the formation of three sets of in-plane BST domains, offset from one another by 30 deg., which is consistent with the in-plane symmetry of the MgO layer observed by in situ reflective high electron energy diffraction. The in-plane epitaxial relationship of BST, MgO, and ZnO has been determined to be BST [110]//MgO [110]//ZnO [1120]more » and BST [110]/MgO [110]//ZnO [1100]. Capacitance-voltage measurements performed on BST coplanar interdigitated capacitor structures revealed a high dielectric tunability of up to 84% at 1 MHz.« less

  3. Quantifying the limits of through-plane thermal dissipation in 2D-material-based systems

    NASA Astrophysics Data System (ADS)

    Yasaei, Poya; Behranginia, Amirhossein; Hemmat, Zahra; El-Ghandour, Ahmed I.; Foster, Craig D.; Salehi-Khojin, Amin

    2017-09-01

    Through-plane thermal transport accounts for a major fraction of heat dissipation from hot-spots in many existing devices made of two-dimensional (2D) materials. In this report, we performed a set of electrical thermometry measurements and 3D finite element analyses to quantify the limits of power dissipation in monolayer graphene, a representative of 2D materials, fabricated on various technologically viable substrates such as chemical vapor deposited (CVD) diamond, tape-casted (sintered) aluminum nitride (AlN), and single crystalline c-plane sapphire as well as silicon with different oxide layers. We demonstrate that the heat dissipation through graphene on AlN substrate near room temperature outperforms those of CVD diamond and other studied substrates, owing to its superior thermal boundary conductance (TBC). At room temperature, our measurements reveal a TBC of 33.5 MW · m-2 · K-1 for graphene on AlN compared to 6.2 MW · m-2 · K-1 on diamond. This study highlights the importance of simultaneous optimization of the interfaces and the substrate and provides a route to maximize the heat removal capability of 2D-material-based devices.

  4. Gate-controlled-diodes in silicon-on-sapphire: A computer simulation

    NASA Technical Reports Server (NTRS)

    Gassaway, J. D.

    1974-01-01

    The computer simulation of the electrical behavior of a Gate-Controlled Diode (GCD) fabricated in Silicon-On-Sapphire (SOS) was described. A procedure for determining lifetime profiles from capacitance and reverse current measurements on the GCD was established. Chapter 1 discusses the SOS structure and points out the need of lifetime profiles to assist in device design for GCD's and bipolar transistors. Chapter 2 presents the one-dimensional analytical formula for electrostatic analysis of the SOS-GCD which are useful for data interpretation and setting boundary conditions on a simplified two-dimensional analysis. Chapter 3 gives the results of a two-dimensional analysis which treats the field as one-dimensional until the silicon film is depleted and the field penetrates the sapphire substrate. Chapter 4 describes a more complete two-dimensional model and gives results of programs implementing the model.

  5. Characterization of barium strontium titanate thin films on sapphire substrate prepared via RF magnetron sputtering system

    NASA Astrophysics Data System (ADS)

    Jamaluddin, F. W.; Khalid, M. F. Abdul; Mamat, M. H.; Zoolfakar, A. S.; Zulkefle, M. A.; Rusop, M.; Awang, Z.

    2018-05-01

    Barium Strontium Titanate (Ba0.5Sr0.5TiO3) is known to have a high dielectric constant and low loss at microwave frequencies. These unique features are useful for many electronic applications. This paper focuses on material characterization of BST thin films deposited on sapphire substrate by RF magnetron sputtering system. The sample was then annealed at 900 °C for two hours. Several methods were used to characterize the structural properties of the material such as X-ray diffraction (XRD) and atomic force microscopy (AFM). Field emission scanning electron microscopy (FESEM) was used to analyze the surface morphology of the thin film. From the results obtained, it can be shown that the annealed sample had a rougher surface and better crystallinity as compared to as-deposited sample.

  6. Fabrication of Monolithic Sapphire Membranes for High T(sub c) Bolometer Array Development

    NASA Technical Reports Server (NTRS)

    Pugel, D. E.; Lakew, B.; Aslam, S.; Wang, L.

    2004-01-01

    This paper examines the effectiveness of Pt/Cr thin film masks for the architecture of monolithic membrane structures in r-plane single crystal sapphire. The development of a pinhole-free Pt/Cr composite mask that is resistant to boiling H2SO4:H3PO4 etchant will lead to the fabrication of smooth sapphire membranes whose surfaces are well-suited for the growth of low-noise high Tc films. In particular, the relationship of thermal annealing conditions on the Pt/Cr composite mask system to: (1) changes in the surface morphology (2) elemental concentration of the Pt/Cr thin film layers and (3) etch pit formation on the sapphire surface will be presented.

  7. Chemical etching mechanism and properties of microstructures in sapphire modified by femtosecond laser

    NASA Astrophysics Data System (ADS)

    Liu, Manyu; Hu, Youwang; Sun, Xiaoyan; Wang, Cong; Zhou, Jianying; Dong, Xinran; Yin, Kai; Chu, Dongkai; Duan, Ji'an

    2017-01-01

    Sapphire, with extremely high hardness, high-temperature stability and wear resistance, often corroded in molten KOH at 300 °C after processing. The fabrication of microstructures on sapphire substrate performed by femtosecond laser irradiation combined with KOH solution chemical etching at room temperature is presented. It is found that this method reduces the harsh requirements of sapphire corrosion. After femtosecond irradiation, the sapphire has a high corrosion speed at room temperature. Through the analysis of Raman spectrum and XRD spectrum, a novel insight of femtosecond laser interaction with sapphire (α-Al2O3) is proposed. Results indicated that grooves on sapphire surface were formed by the lasers ablation removal, and the groove surface was modified in a certain depth. The modified area of the groove surface was changed from α-Al2O3 to γ-Al2O3. In addition, the impacts of three experimental parameters, laser power, scanning velocities and etching time, on the width and depth of microstructures are investigated, respectively. The modified area dimension is about 2 μm within limits power and speed. This work could fabricate high-quality arbitrary microstructures and enhance the performance of sapphire processing.

  8. Evolution of the sapphire industry: Rubicon Technology and Gavish

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2009-05-01

    A. Verneuil developed flame fusion to grow sapphire and ruby on a commercial scale around 1890. Flame fusion was further perfected by Popov in the Soviet Union in the 1930s and by Linde Air Products Co. in the U.S. during World War II. Union Carbide Corp., the successor to Linde, developed Czochralski crystal growth for sapphire laser materials in the 1960s. Stepanov in the Soviet Union published his sapphire growth method in 1959. Edge-Defined Film-Fed Growth (EFG), which is similar to the Stepanov method, was developed by H. Labelle in the U. S. in the 1960s and 1970s. The Heat Exchanger Method (HEM), invented by F. Schmid and D. Viechnicki in 1967 was commercialized in the 1970s. Gradient solidification was invented in Israel in the 1970s by J. Makovsky. The Horizontal Directional Solidification Method (HDSM) proposed by Kh. S. Bagdasorov in the Soviet Union in the 1960s was further developed at the Institute for Single Crystals in Ukraine. Kyropoulos growth of sapphire, known as GOI crystal growth in the Soviet Union, was developed by M. Musatov at the State Optical Institute in St. Petersburg in the 1970s and 1980s. At the Institute for Single Crystals in Ukraine, E. Dobrovinskaya characterized Verneuil, Czochralsky, Bagdasarov, and GOI sapphire. In 1995, she emigrated to the United States and joined S&R Rubicon, founded near Chicago by R. Mogilevsky initially to import sapphire and ruby. Mogilevsky began producing sapphire by the Kyropoulos method in 1999. In 2000 the company name was changed to Rubicon Technology. Today, Dobrovinskaya is Chief Scientist and Rubicon produces high quality Kyropoulos sapphire substrates for solid-state lighting. In 1995, H. Branover of Ben Gurion University and a sole investor founded Gavish, which is Hebrew for "crystal." They invited another veteran of the Ukrainian Institute for Single Crystals, V. Pishchik, to become Chief Scientist. Under Pishchik's technical leadership and J. Sragowicz's business leadership, Gavish now

  9. Ruby and sapphire from Jegdalek, Afghanistan

    USGS Publications Warehouse

    Bowersox, G.W.; Foord, E.E.; Laurs, B.M.; Shigley, J.E.; Smith, C.P.

    2000-01-01

    This study provides detailed mining and gemological information on the Jegdalek deposit, in east-central Afghanistan, which is hosted by elongate beds of corundum-bearing marble. Some facet-grade ruby has been recovered, but most of the material consists of semitransparent pink sapphire of cabochon or carving quality. The most common internal features are dense concentrations of healed and nonhealed fracture planes and lamellar twin planes. Color zoning is common, and calcite, apatite, zircon, mica, iron sulfide minerals, graphite, rutile, aluminum hydroxide, and other minerals are also present in some samples. Although the reserves appear to be large, future potential will depend on the establishment of a stable government and the introduction of modern mining and exploration techniques. ?? 2000 Gemological Institute of America.

  10. Study on effect of the surface variation of colloidal silica abrasive during chemical mechanical polishing of sapphire

    NASA Astrophysics Data System (ADS)

    Bun-Athuek, Natthaphon; Yoshimoto, Yutaka; Sakai, Koya; Khajornrungruang, Panart; Suzuki, Keisuke

    2017-07-01

    The surface and diameter size variations of colloidal silica particles during the chemical mechanical polishing (CMP) of sapphire substrates were investigated using different particle diameters of 20 and 55 nm. Dynamic light scattering (DLS) results show that the silica particles became larger after CMP under both conditions. The increase in particle size in the slurry was proportional to the material removal amount (MRA) as a function of the removed volume of sapphire substrates by CMP and affected the material removal rate (MRR). Transmission electron microscopy (TEM) images revealed an increase in the size of the fine particles and a change in their surface shape in the slurry. The colloidal silica was coated with the material removed from the substrate during CMP. In this case, the increase in the size of 55 nm diameter particles is larger than that of 20 nm diameter particles. X-ray fluorescence spectrometry (XRF) results indicate that the aluminum element from polished sapphire substrates adhered to the surfaces of silica particles. Therefore, MRR decreases with increasing of polishing time owing to the degradation of particles in the slurry.

  11. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  12. Pseudorotational epitaxy of self-assembled octadecyltrichlorosilane monolayers on sapphire (0001)

    DOE PAGES

    Steinrück, H. -G.; Magerl, A.; Deutsch, M.; ...

    2014-10-06

    The structure of octadecyltrichlorosilane self-assembled monolayers (SAMs) on sapphire (0001) was studied by Å-resolution surface-specific x-ray scattering methods. The monolayer was found to consist of three sublayers where the outermost layer corresponds to vertically oriented, closely packed alkyl tails. Laterally, the monolayer is hexagonally packed and exhibits pseudorotational epitaxy to the sapphire, manifested by a broad scattering peak at zero relative azimuthal rotation, with long powderlike tails. The lattice mismatch of ~1% – 3% to the sapphire’s and the different length scale introduced by the lateral Si-O-Si bonding prohibit positional epitaxy. However, the substrate induces an intriguing increase in themore » crystalline coherence length of the SAM’s powderlike crystallites when rotationally aligned with the sapphire’s lattice. As a result, the increase correlates well with the rotational dependence of the separation of corresponding substrate-monolayer lattice sites.« less

  13. Large-sized out-of-plane stretchable electrodes based on poly-dimethylsiloxane substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chou, Namsun; Lee, Jongho; Research Institute for Solar and Sustainable Energies

    2014-12-15

    This paper describes a reliable fabrication method of stretchable electrodes based on poly-dimethylsiloxane (PDMS) substrate. The electrode traces and pads were formed in out-of-plane structures to improve the flexibility and stretchability of the electrode array. The suspended traces and pads were attached to the PDMS substrate via parylene posts that were located nearby the traces and under the pads. As only conventional micro-electro-mechanical systems techniques were used, the out-of-plane electrode arrays were clearly fabricated at wafer level with high yield and reliability. Also, bi-layer out-of-plane electrodes were formed through additional fabrication steps in addition to mono-layer out-of-plane electrodes. The mechanicalmore » characteristics such as the stretchability, flexibility, and foldability of the fabricated electrodes were evaluated, resulting in stable electrical connection of the metal traces with up to 32.4% strain and up to 360° twist angle over 25 mm. The durability in stretched condition was validated by cyclic stretch test with 10% and 20% strain, resulting in electrical disconnection at 8600 cycles when subjected to 20% strain. From these results, it is concluded that the proposed fabrication method produced highly reliable, out-of-plane and stretchable electrodes, which would be used in various flexible and stretchable electronics applications.« less

  14. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  15. A conduction model for contacts to Si-doped AlGaN grown on sapphire and single-crystalline AlN

    NASA Astrophysics Data System (ADS)

    Haidet, Brian B.; Bryan, Isaac; Reddy, Pramod; Bryan, Zachary; Collazo, Ramón; Sitar, Zlatko

    2015-06-01

    Ohmic contacts to AlGaN grown on sapphire substrates have been previously demonstrated for various compositions of AlGaN, but contacts to AlGaN grown on native AlN substrates are more difficult to obtain. In this paper, a model is developed that describes current flow through contacts to Si-doped AlGaN. This model treats the current through reverse-biased Schottky barriers as a consequence of two different tunneling-dependent conduction mechanisms in parallel, i.e., Fowler-Nordheim emission and defect-assisted Frenkel-Poole emission. At low bias, the defect-assisted tunneling dominates, but as the potential across the depletion region increases, tunneling begins to occur without the assistance of defects, and the Fowler-Nordheim emission becomes the dominant conduction mechanism. Transfer length method measurements and temperature-dependent current-voltage (I-V) measurements of Ti/Al-based contacts to Si-doped AlGaN grown on sapphire and AlN substrates support this model. Defect-assisted tunneling plays a much larger role in the contacts to AlGaN on sapphire, resulting in nearly linear I-V characteristics. In contrast, contacts to AlGaN on AlN show limited defect-assisted tunneling appear to be only semi-Ohmic.

  16. Integrated Multi-Color Light Emitting Device Made with Hybrid Crystal Structure

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor)

    2017-01-01

    An integrated hybrid crystal Light Emitting Diode ("LED") display device that may emit red, green, and blue colors on a single wafer. The various embodiments may provide double-sided hetero crystal growth with hexagonal wurtzite III-Nitride compound semiconductor on one side of (0001) c-plane sapphire media and cubic zinc-blended III-V or II-VI compound semiconductor on the opposite side of c-plane sapphire media. The c-plane sapphire media may be a bulk single crystalline c-plane sapphire wafer, a thin free standing c-plane sapphire layer, or crack-and-bonded c-plane sapphire layer on any substrate. The bandgap energies and lattice constants of the compound semiconductor alloys may be changed by mixing different amounts of ingredients of the same group into the compound semiconductor. The bandgap energy and lattice constant may be engineered by changing the alloy composition within the cubic group IV, group III-V, and group II-VI semiconductors and within the hexagonal III-Nitrides.

  17. Integrated Multi-Color Light Emitting Device Made with Hybrid Crystal Structure

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor)

    2016-01-01

    An integrated hybrid crystal Light Emitting Diode ("LED") display device that may emit red, green, and blue colors on a single wafer. The various embodiments may provide double-sided hetero crystal growth with hexagonal wurtzite III-Nitride compound semiconductor on one side of (0001) c-plane sapphire media and cubic zinc-blended III-V or II-VI compound semiconductor on the opposite side of c-plane sapphire media. The c-plane sapphire media may be a bulk single crystalline c-plane sapphire wafer, a thin free standing c-plane sapphire layer, or crack-and-bonded c-plane sapphire layer on any substrate. The bandgap energies and lattice constants of the compound semiconductor alloys may be changed by mixing different amounts of ingredients of the same group into the compound semiconductor. The bandgap energy and lattice constant may be engineered by changing the alloy composition within the cubic group IV, group III-V, and group II-VI semiconductors and within the hexagonal III-Nitrides.

  18. Fabrication of Ternary AgPdAu Alloy Nanoparticles on c-Plane Sapphire by the Systematical Control of Film Thickness and Deposition Sequence

    NASA Astrophysics Data System (ADS)

    Kunwar, Sundar; Pandey, Puran; Sui, Mao; Bastola, Sushil; Lee, Jihoon

    2018-06-01

    In this work, a systematic study on the fabrication of ternary AgPdAu alloy nanoparticles (NPs) on c-plane sapphire (0001) is presented and the corresponding structural and optical characteristics are demonstrated. The metallic trilayers of various thicknesses and deposition orders are annealed in a controlled manner (400 °C to 900 °C) to induce the solid-state dewetting that yields the various structural configurations of AgPdAu alloy NPs. The dewetting of relatively thicker trilayers (15 nm) is gradually progressed with void nucleation, growth, and coalescence, isolated NP formation, and shape transformation, along with the temperature control. For 6 nm thickness, owing to the sufficient dewetting of trilayers along with enhanced diffusion, dense and small spherical alloy NPs are fabricated. Depending on the specific growth condition, the surface diffusion and interdiffusion of metal atoms, surface and interface energy minimization, Rayleigh instability, and equilibrium configuration are correlated to describe the fabrication of ternary alloy NPs. Ternary alloy NPs exhibit morphology-dependent ultraviolet-visible-near infrared (UV-VIS-NIR) reflectance properties such as the inverse relationship of average reflectance with the surface coverage, absorption enhancement in specific regions, and reflectance maxima in UV and NIR regions. In addition, Raman spectra depict the six active phonon modes of sapphires and their intensity and position modulation by the alloy NPs.

  19. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  20. Orientation of FePt nanoparticles on top of a-SiO2/Si(001), MgO(001) and sapphire(0001): effect of thermal treatments and influence of substrate and particle size.

    PubMed

    Schilling, Martin; Ziemann, Paul; Zhang, Zaoli; Biskupek, Johannes; Kaiser, Ute; Wiedwald, Ulf

    2016-01-01

    Texture formation and epitaxy of thin metal films and oriented growth of nanoparticles (NPs) on single crystal supports are of general interest for improved physical and chemical properties especially of anisotropic materials. In the case of FePt, the main focus lies on its highly anisotropic magnetic behavior and its catalytic activity, both due to the chemically ordered face-centered tetragonal (fct) L10 phase. If the c-axis of the tetragonal system can be aligned normal to the substrate plane, perpendicular magnetic recording could be achieved. Here, we study the orientation of FePt NPs and films on a-SiO2/Si(001), i.e., Si(001) with an amorphous (a-) native oxide layer on top, on MgO(001), and on sapphire(0001) substrates. For the NPs of an approximately equiatomic composition, two different sizes were chosen: "small" NPs with diameters in the range of 2-3 nm and "large" ones in the range of 5-8 nm. The 3 nm thick FePt films, deposited by pulsed laser deposition (PLD), served as reference samples. The structural properties were probed in situ, particularly texture formation and epitaxy of the specimens by reflection high-energy electron diffraction (RHEED) and, in case of 3 nm nanoparticles, additionally by high-resolution transmission electron microscopy (HRTEM) after different annealing steps between 200 and 650 °C. The L10 phase is obtained at annealing temperatures above 550 °C for films and 600 °C for nanoparticles in accordance with previous reports. On the amorphous surface of a-SiO2/Si substrates we find no preferential orientation neither for FePt films nor nanoparticles even after annealing at 630 °C. On sapphire(0001) supports, however, FePt nanoparticles exhibit a clearly preferred (111) orientation even in the as-prepared state, which can be slightly improved by annealing at 600-650 °C. This improvement depends on the size of NPs: Only the smaller NPs approach a fully developed (111) orientation. On top of MgO(001) the effect of annealing on

  1. Orientation of FePt nanoparticles on top of a-SiO2/Si(001), MgO(001) and sapphire(0001): effect of thermal treatments and influence of substrate and particle size

    PubMed Central

    Schilling, Martin; Ziemann, Paul; Zhang, Zaoli; Biskupek, Johannes; Kaiser, Ute

    2016-01-01

    Summary Texture formation and epitaxy of thin metal films and oriented growth of nanoparticles (NPs) on single crystal supports are of general interest for improved physical and chemical properties especially of anisotropic materials. In the case of FePt, the main focus lies on its highly anisotropic magnetic behavior and its catalytic activity, both due to the chemically ordered face-centered tetragonal (fct) L10 phase. If the c-axis of the tetragonal system can be aligned normal to the substrate plane, perpendicular magnetic recording could be achieved. Here, we study the orientation of FePt NPs and films on a-SiO2/Si(001), i.e., Si(001) with an amorphous (a-) native oxide layer on top, on MgO(001), and on sapphire(0001) substrates. For the NPs of an approximately equiatomic composition, two different sizes were chosen: “small” NPs with diameters in the range of 2–3 nm and “large” ones in the range of 5–8 nm. The 3 nm thick FePt films, deposited by pulsed laser deposition (PLD), served as reference samples. The structural properties were probed in situ, particularly texture formation and epitaxy of the specimens by reflection high-energy electron diffraction (RHEED) and, in case of 3 nm nanoparticles, additionally by high-resolution transmission electron microscopy (HRTEM) after different annealing steps between 200 and 650 °C. The L10 phase is obtained at annealing temperatures above 550 °C for films and 600 °C for nanoparticles in accordance with previous reports. On the amorphous surface of a-SiO2/Si substrates we find no preferential orientation neither for FePt films nor nanoparticles even after annealing at 630 °C. On sapphire(0001) supports, however, FePt nanoparticles exhibit a clearly preferred (111) orientation even in the as-prepared state, which can be slightly improved by annealing at 600–650 °C. This improvement depends on the size of NPs: Only the smaller NPs approach a fully developed (111) orientation. On top of MgO(001) the

  2. High performance sapphire windows

    NASA Technical Reports Server (NTRS)

    Bates, Stephen C.; Liou, Larry

    1993-01-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  3. High performance sapphire windows

    NASA Astrophysics Data System (ADS)

    Bates, Stephen C.; Liou, Larry

    1993-02-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  4. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  5. An investigation of GaN thin films on AlN on sapphire substrate by sol-gel spin coating method

    NASA Astrophysics Data System (ADS)

    Amin, Nur Fahana Mohd; Ng, Sha Shiong

    2017-12-01

    In this research, the gallium nitride (GaN) thin films were deposited on aluminium nitride on sapphire (AlN/Al2O3) substrate by sol-gel spin coating method. Simple ethanol-based precursor with the addition of diethanolamine solution was used. The structural and morphology properties of synthesized GaN thin films were characterized by using X-ray Diffraction, Field-Emission Scanning Electron Microscopy and Atomic Force Microscopy. While the elemental compositions and the lattice vibrational properties of the films were investigated by means of the Energy Dispersive X-ray spectroscopy and Raman spectroscopy. All the results revealed that the wurtzite structure GaN thin films with GaN(002) preferred orientation and smooth surface morphology were successfully grown on AlN/Al2O3 substrate by using inexpensive and simplified sol-gel spin coating technique. The sol-gel spin coated GaN thin film with lowest oxygen content was also achieved.FESEM images show that GaN thin films with uniform and packed grains were formed. Based on the obtained results, it can be concluded that wurtzite structure GaN thin films were successfully deposited on AlN/Al2O3 substrate.

  6. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  7. The aspect ratio effects on the performances of GaN-based light-emitting diodes with nanopatterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kao, Chien-Chih; Su, Yan-Kuin; Lin, Chuing-Liang; Chen, Jian-Jhong

    2010-07-01

    The nanopatterned sapphire substrates (NPSSs) with aspect ratio that varied from 2.00 to 2.50 were fabricated by nanoimprint lithography. We could improve the epitaxial film quality and enhance the light extraction efficiency by NPSS technique. In this work, the aspect ratio effects on the performances of GaN-based light-emitting diodes (LEDs) with NPSS were investigated. The light output enhancement of GaN-based LEDs with NPSS was increased from 11% to 27% as the aspect ratio of the NPSS increases from 2.00 to 2.50. Owing to the same improvement of crystalline quality by using various aspect ratios of NPSS, these results indicated that the aspect ratio of the NPSS is strongly related to the light extraction efficiency.

  8. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  9. Melt inclusions in alluvial sapphires from Montana, USA: Formation of sapphires as a restitic component of lower crustal melting?

    NASA Astrophysics Data System (ADS)

    Palke, Aaron C.; Renfro, Nathan D.; Berg, Richard B.

    2017-05-01

    We report here compositions of glassy melt inclusions hosted in sapphires (gem quality corundum) from three alluvial deposits in Montana, USA including the Rock Creek, Dry Cottonwood Creek, and Missouri River deposits. While it is likely that sapphires in these deposits were transported to the surface by Eocene age volcanic events, their ultimate origin is still controversial with many models suggesting the sapphires are xenocrysts with a metamorphic or metasomatic genesis. Melt inclusions are trachytic, dacitic, and rhyolitic in composition. Microscopic observations allow separation between primary and secondary melt inclusions. The primary melt inclusions represent the silicate liquid that was present at the time of sapphire formation and are enriched in volatile components (8-14 wt.%). Secondary melt inclusions analyzed here for Dry Cottonwood Creek and Rock Creek sapphires are relatively volatile depleted and represent the magma that carried the sapphires to the surface. We propose that alluvial Montana sapphires from these deposits formed through a peritectic melting reaction during partial melting of a hydrated plagioclase-rich protolith (e.g. an anorthosite). The heat needed to drive this reaction was likely derived from the intrusion of mantle-derived mafic magmas near the base of the continental lithosphere during rollback of the Farallon slab around 50 Ma. These mafic magmas may have ended up as the ultimate carrier of the sapphires to the surface as evidenced by the French Bar trachybasalt near the Missouri River deposit. Alternatively, the trachytic, rhyolitic, and dacitic secondary melt inclusions at Rock Creek and Dry Cottonwood Creek suggests that the same magmas produced during the partial melting event that generated the sapphires may have also transported them to the surface. Determining the genesis of these deposits will further our understanding of sapphire deposits around the world and may help guide future sapphire prospecting techniques. This

  10. Monolayer Boron Nitride Substrate Interactions with Graphene Under In-Plane and Perpendicular Strains: A First-Principles Study

    NASA Astrophysics Data System (ADS)

    Behzad, Somayeh

    2018-04-01

    Effects of strain on the electronic and optical properties of graphene on monolayer boron nitride (BN) substrate are investigated using first-principle calculations based on density functional theory. Strain-free graphene/BN has a small band gap of 97 meV at the K point. The magnitude of band gap increases with in-plane biaxial strain while it decreases with the perpendicular uniaxial strain. The ɛ2 (ω ) spectrum of graphene/BN bilayer for parallel polarization shows red and blue shifts by applying the in-plane tensile and compressive strains, respectively. Also the positions of peaks in the ɛ2 (ω ) spectrum are not significantly changed under perpendicular strain. The calculated results indicate that graphene on the BN substrate has great potential in microelectronic and optoelectronic applications.

  11. Strain Evolution of Annealed Hydrogen-Implanted (0001) Sapphire

    NASA Astrophysics Data System (ADS)

    Wong, Christine Megan

    Exfoliation is a technique used to remove a thin, uniform layer of material from the bulk that involves the annealing of hydrogen ion-implanted materials in order to initiate defect nucleation and growth leading to guided crack propagation. This study presents an investigation into the annealing process required to initiate blistering (an essential precursor to exfoliation) in (0001) sapphire implanted at room temperature with hydrogen ions. Triple axis x-ray diffraction was used to characterize the evolution of the implanted layer for single crystal (0001) sapphire substrates implanted at room temperature at 360 keV with either a 5x1016 cm -2 or 8x1016 cm-2 dose of hydrogen ions. A simulation of the ion distribution in TRIM estimated that the projected range and thickness of the implanted layer for both doses was approximately 2.2 mum. Following implantation, the implanted sapphire was annealed using a two-step annealing procedure. The first step was performed at a lower temperature, ideally to nucleate and coarsen defects. Temperatures investigated ranged from 550 - 650 °C. The second step was performed at a higher temperature (800 °C) to induce further defect coarsening and surface blistering. After all annealing steps, triple axis o/2theta and o scans were taken to observe any changes in the diffraction profile - namely, any reduction in the amplitude and shift in the location of the fringes associated with strain in the crystal - which would correlate with defect growth and nucleation. It was found that significant strain fringe reduction first occurred after annealing at 650 °C for 8 hours for both doses; however, it was not clear whether or not this strain reduction was due primarily to hydrogen diffusion or to recovery of other defects induced during the ion implantation. The o/2theta curves were then fit using Bede RADS in order to quantify the strain within the crystal and confirm the reduction of the strained layer within the crystal. Finally

  12. GaN-based light-emitting diodes on various substrates: a critical review.

    PubMed

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  13. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  14. Ultrasensitive label-free detection of DNA hybridization by sapphire-based graphene field-effect transistor biosensor

    NASA Astrophysics Data System (ADS)

    Xu, Shicai; Jiang, Shouzhen; Zhang, Chao; Yue, Weiwei; Zou, Yan; Wang, Guiying; Liu, Huilan; Zhang, Xiumei; Li, Mingzhen; Zhu, Zhanshou; Wang, Jihua

    2018-01-01

    Graphene has attracted much attention in biosensing applications for its unique properties. Because of one-atom layer structure, every atom of graphene is exposed to the environment, making the electronic properties of graphene are very sensitive to charged analytes. Therefore, graphene is an ideal material for transistors in high-performance sensors. Chemical vapor deposition (CVD) method has been demonstrated the most successful method for fabricating large area graphene. However, the conventional CVD methods can only grow graphene on metallic substrate and the graphene has to be transferred to the insulating substrate for further device fabrication. The transfer process creates wrinkles, cracks, or tears on the graphene, which severely degrade electrical properties of graphene. These factors severely degrade the sensing performance of graphene. Here, we directly fabricated graphene on sapphire substrate by high temperature CVD without the use of metal catalysts. The sapphire-based graphene was patterned and make into a DNA biosensor in the configuration of field-effect transistor. The sensors show high performance and achieve the DNA detection sensitivity as low as 100 fM (10-13 M), which is at least 10 times lower than prior transferred CVD G-FET DNA sensors. The use of the sapphire-based G-FETs suggests a promising future for biosensing applications.

  15. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  16. Shear Strength and Interfacial Toughness Characterization of Sapphire-Epoxy Interfaces for Nacre-Inspired Composites.

    PubMed

    Behr, Sebastian; Jungblut, Laura; Swain, Michael V; Schneider, Gerold A

    2016-10-12

    The common tensile lap-shear test for adhesive joints is inappropriate for brittle substrates such as glasses or ceramics where stress intensifications due to clamping and additional bending moments invalidate results. Nevertheless, bonding of glasses and ceramics is still important in display applications for electronics, in safety glass and ballistic armor, for dental braces and restoratives, or in recently developed bioinspired composites. To mechanically characterize adhesive bondings in these fields nonetheless, a novel approach based on the so-called Schwickerath test for dental sintered joints is used. This new method not only matches data from conventional analysis but also uniquely combines the accurate determination of interfacial shear strength and toughness in one simple test. The approach is verified for sapphire-epoxy joints that are of interest for bioinspired composites. For these, the procedure not only provides quantitative interfacial properties for the first time, it also exemplarily suggests annealing of sapphire at 1000 °C for 10 h for mechanically and economically effective improvements of the interfacial bond strength and toughness. With increases of strength and toughness from approximately 8 to 29 MPa and from 2.6 to 35 J/m 2 , respectively, this thermal modification drastically enhances the properties of unmodified sapphire-epoxy interfaces. At the same time, it is much more convenient than wet-chemical approaches such as silanization. Hence, besides the introduction of a new testing procedure for adhesive joints of brittle or expensive substrates, a new and facile annealing process for improvements of the adhesive properties of sapphire is suggested and quantitative data for the mechanical properties of sapphire-epoxy interfaces that are common in synthetic nacre-inspired composites are provided for the first time.

  17. In-Plane Anisotropic Photoconduction in Nonpolar Epitaxial a-Plane GaN.

    PubMed

    Pant, Rohit; Shetty, Arjun; Chandan, Greeshma; Roul, Basanta; Nanda, K K; Krupanidhi, S B

    2018-05-16

    Nonpolar a-plane GaN epitaxial films were grown on an r-plane sapphire using the plasma-assisted molecular beam epitaxy system, with various nitrogen plasma power conditions. The crystallinity of the films was characterized by high-resolution X-ray diffraction and reciprocal space mapping. Using the X-ray "rocking curve-phi scan", [0002], [1-100], and [1-102] azimuth angles were identified, and interdigitated electrodes along these directions were fabricated to evaluate the direction-dependent UV photoresponses. UV responsivity ( R) and internal gain ( G) were found to be dependent on the azimuth angle and in the order of [0002] > [1-102] > [1-100], which has been attributed to the enhanced crystallinity and lowest defect density along [0002] azimuth. The temporal response was very stable irrespective of growth conditions and azimuth angles. Importantly, response time, responsivity, and internal gain were 210 ms, 1.88 A W -1 , and 648.9%, respectively, even at a bias as low as 1 V. The results were validated using the Silvaco Atlas device simulator, and experimental observations were consistent with simulated results. Overall, the photoresponse is dependent on azimuth angles and requires further optimization, especially for materials with in-plane crystal anisotropy.

  18. High extraction efficiency GaN-based light-emitting diodes on embedded SiO2 nanorod array and nanoscale patterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Huang, Hung-Wen; Huang, Jhi-Kai; Kuo, Shou-Yi; Lee, Kang-Yuan; Kuo, Hao-Chung

    2010-06-01

    In this paper, GaN-based LEDs with a nanoscale patterned sapphire substrate (NPSS) and a SiO2 photonic quasicrystal (PQC) structure on an n-GaN layer using nanoimprint lithography are fabricated and investigated. The light output power of LED with a NPSS and a SiO2 PQC structure on an n-GaN layer was 48% greater than that of conventional LED. Strong enhancement in output power is attributed to better epitaxial quality and higher reflectance resulted from NPSS and PQC structures. Transmission electron microscopy images reveal that threading dislocations are blocked or bended in the vicinities of NPSS layer. These results provide promising potential to increase output power for commercial light emitting devices.

  19. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    NASA Astrophysics Data System (ADS)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  20. Angular-dependent Raman study of a- and s-plane InN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Filintoglou, K.; Katsikini, M., E-mail: katsiki@auth.gr; Arvanitidis, J.

    2015-02-21

    Angular-dependent polarized Raman spectroscopy was utilized to study nonpolar a-plane (11{sup ¯}20) and semipolar s-plane (101{sup ¯}1) InN epilayers. The intensity dependence of the Raman peaks assigned to the vibrational modes A{sub 1}(TO), E{sub 1}(TO), and E{sub 2}{sup h} on the angle ψ that corresponds to rotation around the growth axis, is very well reproduced by using expressions taking into account the corresponding Raman tensors and the experimental geometry, providing thus a reliable technique towards assessing the sample quality. The s- and a-plane InN epilayers grown on nitridated r-plane sapphire (Al{sub 2}O{sub 3}) exhibit good crystalline quality as deduced frommore » the excellent fitting of the experimental angle-dependent peak intensities to the theoretical expressions as well as from the small width of the Raman peaks. On the contrary, in the case of the s-plane epilayer grown on non-nitridated r-plane sapphire, fitting of the angular dependence is much worse and can be modeled only by considering the presence of two structural modifications, rotated so as their c-axes are almost perpendicular to each other. Although the presence of the second variant is verified by transmission electron and atomic force microscopies, angular dependent Raman spectroscopy offers a non-destructive and quick way for its quantification. Rapid thermal annealing of this sample did not affect the angular dependence of the peak intensities. The shift of the E{sub 1}(TO) and E{sub 2}{sup h} Raman peaks was used for the estimation of the strain state of the samples.« less

  1. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  2. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    NASA Astrophysics Data System (ADS)

    Zhu, Nai-Wei; Hu, Ming; Xia, Xiao-Xu; Wei, Xiao-Ying; Liang, Ji-Ran

    2014-04-01

    The VO2 thin film with high performance of metal-insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively.

  3. Site-controlled crystalline InN growth from the V-pits of a GaN substrate

    NASA Astrophysics Data System (ADS)

    Kuo, Chien-Ting; Hsu, Lung-Hsing; Lai, Yung-Yu; Cheng, Shan-Yun; Kuo, Hao-Chung; Lin, Chien-Chung; Cheng, Yuh-Jen

    2017-05-01

    A site-controlled crystalline InN growth from the V-pits of a GaN substrate was investigated. The V- pits were fabricated by epitaxial lateral growth of GaN over SiO2 disks patterned on a sapphire substrate. InN crystals were found to preferably grow on the inclined {10-11} crystal planes of the V-pits. A V-pit size of 1 μm or less can provide precise site-controlled InN nucleation at the V-pit bottom, while no InN was grown on the rest of the exposed GaN surfaces. The site-controlled nucleation is attributed to the low surface energy point created by the converging six {10-11} crystal facets at the V-pit bottom. When In source supply is below a certain value, this V-pit bottom is the only location able to aggregate enough active sources to start nucleation, thereby providing site-controlled crystal growth.

  4. Si nanowire growth on sapphire: Classical incubation, reverse reaction, and steady state supersaturation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakthivel, Dhayalan; Rathkanthiwar, Shashwat; Raghavan, Srinivasan, E-mail: sraghavan@cense.iisc.ernet.in

    2015-04-28

    Si nanowire growth on sapphire substrates by the vapor-liquid-solid (VLS) method using Au catalyst particles has been studied. Sapphire was chosen as the substrate to ensure that the vapor phase is the only source of Si. Three hitherto unreported observations are described. First, an incubation period of 120–480 s, which is shown to be the incubation period as defined in classical nucleation theory, is reported. This incubation period permits the determination of a desolvation energy of Si from Au-Si alloys of 15 kT. Two, transmission electron microscopy studies of incubation, point to Si loss by reverse reaction as an important partmore » of the mechanism of Si nanowire growth by VLS. Three, calculations using these physico-chemical parameters determined from incubation and measured steady state growth rates of Si nanowires show that wire growth happens from a supersaturated catalyst droplet.« less

  5. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  6. Sapphire tube pressure vessel

    DOEpatents

    Outwater, John O.

    2000-01-01

    A pressure vessel is provided for observing corrosive fluids at high temperatures and pressures. A transparent Teflon bag contains the corrosive fluid and provides an inert barrier. The Teflon bag is placed within a sapphire tube, which forms a pressure boundary. The tube is received within a pipe including a viewing window. The combination of the Teflon bag, sapphire tube and pipe provides a strong and inert pressure vessel. In an alternative embodiment, tie rods connect together compression fittings at opposite ends of the sapphire tube.

  7. Laser processing of sapphire with picosecond and sub-picosecond pulses

    NASA Astrophysics Data System (ADS)

    Ashkenasi, D.; Rosenfeld, A.; Varel, H.; Wähmer, M.; Campbell, E. E. B.

    1997-11-01

    Laser processing of sapphire using a Ti:sapphire laser at 790 and 395 nm and pulse widths varying between 0.2 and 5 ps is reported. A clear improvement in quality is demonstrated for multi-shot processing with sub-ps laser pulses. For fluences between 3 and 12 J/cm 2 two ablation phases were observed, in agreement with previous work from Tam et al. using 30 ps, 266 nm laser pulses [A.C. Tam, J.L. Brand, D.C. Cheng, W. Zapka, Appl. Phys. Lett. 55 (20) (1994) 2045]. During the `gentle ablation' phase periodic wavelike structures, i.e. ripples, were observed on the Al 2O 3 surface, perpendicular to the laser polarisation and with a spacing almost equalling the laser wavelength, indicating metallic-like behaviour. The ripple modulation depth was in the order of a few tens of nm. For fluences between 1 and 2.5 J/cm 2, below the single-shot surface damage threshold and at a pulse width above 200 fs, microstructures could be produced at the rear side of a 1 mm thick sapphire substrate without affecting the front surface.

  8. Crystal front shape control by use of an additional heater in a Czochralski sapphire single crystal growth system

    NASA Astrophysics Data System (ADS)

    Hur, Min-Jae; Han, Xue-Feng; Choi, Ho-Gil; Yi, Kyung-Woo

    2017-09-01

    The quality of sapphire single crystals used as substrates for LED production is largely influenced by two defects: dislocation density and bubbles trapped in the crystal. In particular, the dislocation density has a higher value in sapphire grown by the Czochralski (CZ) method than by other methods. In the present study, we predict a decreased value for the convexity and thermal gradient at the crystal front (CF) through the use of an additional heater in an induction-heated CZ system. In addition, we develop a solute concentration model by which the location of bubble formation in CZ growth is calculated, and the results are compared with experimental results. We further calculate the location of bubble entrapment corresponding with the use of an additional heater. We find that sapphire crystal growth with an additional heater yields a decreased thermal gradient at the CF, together with decreased CF convexity, improved energy efficiency, and improvements in terms of bubble formation location.

  9. Effect of growth pressure on the morphology evolution and doping characteristics in nonpolar a-plane GaN

    NASA Astrophysics Data System (ADS)

    Song, Keun Man; Kim, Jong Min; Kang, Bong Kyun; Shin, Chan Soo; Ko, Chul Gi; Kong, Bo Hyun; Cho, Hyung Koun; Yoon, Dae Ho; Kim, Hogyoung; Hwang, Sung Min

    2012-02-01

    Nonpolar a-plane GaN layers grown on r-plane sapphire substrates were examined by using a two-step growth process. The higher initial growth pressure for the nucleation layer resulted in the improved crystalline quality with lower density of both threading dislocations and basal stacking faults. This was attributed to the higher degree of initial roughening and recovery time via a growth mode transition from three-dimensional (3D) to quasi two-dimensional (2D) lateral growth. Using Hall-effect measurements, the overgrown Si doped GaN layers grown with higher initial growth pressure were found to have higher mobility. The scattering mechanism due to the dislocations was dominant especially at low temperature (<200 K) for the lower initial growth pressure, which was insignificant for the higher initial growth pressure. The temperature-dependent Hall-effect measurements for the Mg doped GaN with a higher initial growth pressure yielded the activation energy and the acceptor concentration to be 128 meV and 1.2 × 1019 cm-3, respectively, corresponding to about 3.6% of activation at room temperature. Two-step growth scheme with a higher initial growth pressure is suggested as a potential method to improve the performance of nonpolar a-plane GaN based devices.

  10. Structural, transport and microwave properties of 123/sapphire films: Thickness effect

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Predtechensky, MR.; Smal, A.N.; Varlamov, Y.D.

    1994-12-31

    The effect of thickness and growth conditions on the structure and microwave properties has been investigated for the 123/sapphire films. It has been shown that in the conditions of epitaxial growth and Al atoms do not diffuse from substrate into the film and the films with thickness up to 100nm exhibit the excellent DC properties. The increase of thickness of GdBaCuO films causes the formation of extended line-mesh defects and the increase of the surface resistance (R{sub S}). The low value of surface resistance R{sub S}(75GHz,77K)=20 mOhm has been obtained for the two layer YBaCuO/CdBaCuO/sapphire films.

  11. Te Monolayer-Driven Spontaneous van der Waals Epitaxy of Two-dimensional Pnictogen Chalcogenide Film on Sapphire.

    PubMed

    Hwang, Jae-Yeol; Kim, Young-Min; Lee, Kyu Hyoung; Ohta, Hiromichi; Kim, Sung Wng

    2017-10-11

    Demands on high-quality layer structured two-dimensional (2D) thin films such as pnictogen chalcogenides and transition metal dichalcogenides are growing due to the findings of exotic physical properties and potentials for device applications. However, the difficulties in controlling epitaxial growth and the unclear understanding of van der Waals epitaxy (vdWE) for a 2D chalcogenide film on a three-dimensional (3D) substrate have been major obstacles for the further advances of 2D materials. Here, we exploit the spontaneous vdWE of a high-quality 2D chalcogenide (Bi 0.5 Sb 1.5 Te 3 ) film by the chalcogen-driven surface reconstruction of a conventional 3D sapphire substrate. It is verified that the in situ formation of a pseudomorphic Te atomic monolayer on the surface of sapphire, which results in a dangling bond-free surface, allows the spontaneous vdWE of 2D chalcogenide film. Since this route uses the natural surface reconstruction of sapphire with chalcogen under vacuum condition, it can be scalable and easily utilized for the developments of various 2D chalcogenide vdWE films through conventional thin-film fabrication technologies.

  12. Nitridation of an unreconstructed and reconstructed (√31 ×√31)R ± 9° (0001) sapphire surface in an ammonia flow

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Milakhina, D. S., E-mail: denironman@mail.ru; Malin, T. V.; Mansurov, V. G.

    This paper is devoted to the study of the nitridation of unreconstructed and reconstructed (√31 ×√31)R ± 9° (0001) sapphire surfaces in an ammonia flow by reflection high-energy electron diffraction (RHEED). The experimental results show that sapphire nitridation occurs on the unreconstructed (1 × 1) surface, which results in AlN phase formation on the substrate surface. However, if sapphire nitridation is preceded by high-temperature annealing (1150°C) resulting in sapphire surface reconstruction with formation of the (√31 ×√31)R ± 9° surface, the crystalline AlN phase on the sapphire surface is not formed during surface exposure to an ammonia flow.

  13. Miniature Sapphire Acoustic Resonator - MSAR

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Tjoelker, Robert L.

    2011-01-01

    A room temperature sapphire acoustics resonator incorporated into an oscillator represents a possible opportunity to improve on quartz ultrastable oscillator (USO) performance, which has been a staple for NASA missions since the inception of spaceflight. Where quartz technology is very mature and shows a performance improvement of perhaps 1 dB/decade, these sapphire acoustic resonators when integrated with matured quartz electronics could achieve a frequency stability improvement of 10 dB or more. As quartz oscillators are an essential element of nearly all types of frequency standards and reference systems, the success of MSAR would advance the development of frequency standards and systems for both groundbased and flight-based projects. Current quartz oscillator technology is limited by quartz mechanical Q. With a possible improvement of more than x 10 Q with sapphire acoustic modes, the stability limit of current quartz oscillators may be improved tenfold, to 10(exp -14) at 1 second. The electromagnetic modes of sapphire that were previously developed at JPL require cryogenic temperatures to achieve the high Q levels needed to achieve this stability level. However sapphire fs acoustic modes, which have not been used before in a high-stability oscillator, indicate the required Q values (as high as Q = 10(exp 8)) may be achieved at room temperature in the kHz range. Even though sapphire is not piezoelectric, such a high Q should allow electrostatic excitation of the acoustic modes with a combination of DC and AC voltages across a small sapphire disk (approximately equal to l mm thick). The first evaluations under this task will test predictions of an estimated input impedance of 10 kilohms at Q = 10(exp 8), and explore the Q values that can be realized in a smaller resonator, which has not been previously tested for acoustic modes. This initial Q measurement and excitation demonstration can be viewed similar to a transducer converting electrical energy to

  14. Advances in sapphire optical fiber sensors

    NASA Technical Reports Server (NTRS)

    Wang, Anbo; Wang, George Z.; Gollapudi, Sridhar; May, Russell G.; Murphy, Kent A.; Claus, Richard O.

    1993-01-01

    We describe the development and testing of two sapphire fiber sensor designs intended for use in high temperature environments. The first is a birefringence-balanced polarimetric sapphire fiber sensor. In this sensor, two single crystal sapphire rods, acting as the birefringence sensing element, are connected to each other in such a way that the slow axis of the first rod is aligned along with the fast axis of the second rod, and the fast axis of the first rod is along the slow axis of the second rod. This sensor has been demonstrated for measurement of temperature up to 1500 C. The second is a sapphire-fiber-based intrinsic interferometric sensor. In this sensor, a length of uncoated, unclad, structural-graded multimode sapphire fiber is fusion spliced to a singlemode silica fiber to form a Fabry-Perot cavity. The reflections from the silica-to-sapphire fiber splice and the free endface of the sapphire fiber give rise to the interfering fringe output. This sensor has been demonstrated for the measurement of temperature above 1510 C, and a resolution of 0.1 C has been obtained.

  15. Optical absorption edge of ZnO thin films: The effect of substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Clarke, D. R.

    1997-05-01

    The optical absorption edge and the near-absorption edge characteristics of undoped ZnO films grown by laser ablation on various substrates have been investigated. The band edge of films on C [(0001)] and R-plane [(1102)] sapphire, 3.29 and 3.32 eV, respectively, are found to be very close to the single crystal value of ZnO (3.3 eV) with the differences being accounted for in terms of the thermal mismatch strain using the known deformation potentials of ZnO. In contrast, films grown on fused silica consistently exhibit a band edge ˜0.1 eV lower than that predicted using the known deformation potential and the thermal mismatch strains. This behavior is attributed to the small grain size (50 nm) realized in these films and the effect of electrostatic potentials that exist at the grain boundaries. Additionally, the spread in the tail (E0) of the band edge for the different films is found to be very sensitive to the defect structure in the films. For films grown on sapphire substrates, values of E0 as low as 30 meV can be achieved on annealing in air, whereas films on fused silica always show a value >100 meV. We attribute this difference to the substantially higher density of high-angle grain boundaries in the films on fused silica.

  16. Effect of low NH3 flux towards high quality semi-polar (11-22) GaN on m-plane sapphire via MOCVD

    NASA Astrophysics Data System (ADS)

    Omar, Al-Zuhairi; Shuhaimi Bin Abu Bakar, Ahmad; Makinudin, Abdullah Haaziq Ahmad; Khudus, Muhammad Imran Mustafa Abdul; Azman, Adreen; Kamarundzaman, Anas; Supangat, Azzuliani

    2018-05-01

    The effect of ammonia flux towards the quality of the semi-polar (11-22) gallium nitride thin film on m-plane (10-10) sapphire is presented. Semi-polar (11-22) gallium nitride epi-layers were obtained using a two-step growth method, consisting of high temperature aluminum nitride followed by gallium nitride via metal organic chemical vapor deposition. The surface morphology analysis via field emission scanning electron microscopy and atomic force microscopy of the semi-polar (11-22) gallium nitride has shown that low ammonia flux promotes two-dimensional growth with low surface roughness of 4.08 nm. A dominant diffraction peak of (11-22) gallium nitride was also observed via X-ray diffraction upon utilizing low ammonia flux. The on- and off-axis X-ray rocking curve measurements illustrate the enhancement of the crystal quality, which might result from the reduction of the basal stacking faults and perfect dislocation. The full width half maximum values were reduced by at least 15% for both on- and off-axis measurements.

  17. Magnetic properties of in-plane oriented barium hexaferrite thin films prepared by direct current magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xiaozhi; Yue, Zhenxing, E-mail: yuezhx@mail.tsinghua.edu.cn; Meng, Siqin

    2014-12-28

    In-plane c-axis oriented Ba-hexaferrite (BaM) thin films were prepared on a-plane (112{sup ¯}0) sapphire (Al{sub 2}O{sub 3}) substrates by DC magnetron sputtering followed by ex-situ annealing. The DC magnetron sputtering was demonstrated to have obvious advantages over the traditionally used RF magnetron sputtering in sputtering rate and operation simplicity. The sputtering power had a remarkable influence on the Ba/Fe ratio, the hematite secondary phase, and the grain morphology of the as-prepared BaM films. Under 80 W of sputtering power, in-plane c-axis highly oriented BaM films were obtained. These films had strong magnetic anisotropy with high hysteresis loop squareness (M{sub r}/M{sub s}more » of 0.96) along the in-plane easy axis and low M{sub r}/M{sub s} of 0.03 along the in-plane hard axis. X-ray diffraction patterns and pole figures revealed that the oriented BaM films grew via an epitaxy-like growth process with the crystallographic relationship BaM (101{sup ¯}0)//α-Fe{sub 2}O{sub 3}(112{sup ¯}0)//Al{sub 2}O{sub 3}(112{sup ¯}0)« less

  18. A peek into the history of sapphire crystal growth

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2003-09-01

    After the chemical compositions of sapphire and ruby were unraveled in the middle of the 19th century, chemists set out to grow artificial crystals of these valuable gemstones. In 1885 a dealer in Geneva began to sell ruby that is now believed to have been created by flame fusion. Gemnologists rapidly concluded that the stones were artificial, but the Geneva ruby stimulated A. V. L. Verneuil in Paris to develop a flame fusion process to produce higher quality ruby and sapphire. By 1900 there was brisk demand for ruby manufactured by Verneuil's method, even though Verneuil did not publicly announce his work until 1902 and did not publish details until 1904. The Verneuil process was used with little alteration for the next 50 years. From 1932-1953, S. K. Popov in the Soviet Union established a capability for manufacturing high quality sapphire by the Verneuil process. In the U.S., under government contract, Linde Air Products Co. implemented the Verneuil process for ruby and sapphire when European sources were cut off during World War II. These materials were essential to the war effort for jewel bearings in precision instruments. In the 1960s and 1970s, the Czochralski process was implemented by Linde and its successor, Union Carbide, to make higher crystal quality material for ruby lasers. Stimulated by a government contract for structural fibers in 1966, H. LaBelle invented edge-defined film-fed growth (EFG). The Saphikon company, which is currently owned by Saint-Gobain, evolved from this effort. Independently and simultaneously, Stepanov developed edge-defined film-fed growth in the Soviet Union. In 1967 F. Schmid and D. Viechnicki at the Army Materials Research Lab grew sapphire by the heat exchanger method (HEM). Schmid went on to establish Crystal Systems, Inc. around this technology. Rotem Industries, founded in Israel in 1969, perfected the growth of sapphire hemispheres and near-net-shape domes by gradient solidification. In the U.S., growth of near

  19. Bonding of sapphire to sapphire by eutectic mixture of aluminum oxide and zirconium oxide

    NASA Technical Reports Server (NTRS)

    Deluca, J. J. (Inventor)

    1975-01-01

    Bonding of an element comprising sapphire, ruby or blue sapphire to another element of such material with a eutectic mixture of aluminum oxide and zirconium oxide is discussed. The bonding mixture may be applied in the form of a distilled water slurry or by electron beam vapor deposition. In one embodiment the eutectic is formed in situ by applying a layer of zirconium oxide and then heating the assembly to a temperature above the eutectic temperature and below the melting point of the material from which the elements are formed. The formation of a sapphire rubidium maser cell utilizing eutectic bonding is shown.

  20. Light extraction efficiency analysis of GaN-based light-emitting diodes with nanopatterned sapphire substrates.

    PubMed

    Pan, Jui-Wen; Tsai, Pei-Jung; Chang, Kao-Der; Chang, Yung-Yuan

    2013-03-01

    In this paper, we propose a method to analyze the light extraction efficiency (LEE) enhancement of a nanopatterned sapphire substrates (NPSS) light-emitting diode (LED) by comparing wave optics software with ray optics software. Finite-difference time-domain (FDTD) simulations represent the wave optics software and Light Tools (LTs) simulations represent the ray optics software. First, we find the trends of and an optimal solution for the LEE enhancement when the 2D-FDTD simulations are used to save on simulation time and computational memory. The rigorous coupled-wave analysis method is utilized to explain the trend we get from the 2D-FDTD algorithm. The optimal solution is then applied in 3D-FDTD and LTs simulations. The results are similar and the difference in LEE enhancement between the two simulations does not exceed 8.5% in the small LED chip area. More than 10(4) times computational memory is saved during the LTs simulation in comparison to the 3D-FDTD simulation. Moreover, LEE enhancement from the side of the LED can be obtained in the LTs simulation. An actual-size NPSS LED is simulated using the LTs. The results show a more than 307% improvement in the total LEE enhancement of the NPSS LED with the optimal solution compared to the conventional LED.

  1. Thickness dependence of crystal and optical characterization on ZnO thin film grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Baek, Seung-Hye; Lee, Hyun-Jin; Lee, Sung-Nam

    2018-06-01

    We studied the thickness dependence of the crystallographic and optical properties of ZnO thin films grown on c-plane sapphire substrate using atomic layer deposition. High-resolution X-ray diffraction (HR-XRD) revealed two peaks at 34.5° and 36.2° in the initial growth stage of ZnO on the sapphire substrate, corresponding to the (002) and (101) ZnO planes, respectively. However, as the thickness of the ZnO film increased, the XRD intensity of the (002) ZnO peak increased drastically, compared with that of the (101) ZnO peak. This indicated that (002) and (101) ZnO were simultaneously grown on the c-plane sapphire substrate in the initial growth stage, and that (002) ZnO was predominantly grown with the increase in the thickness of ZnO film. The ZnO thin film presented an anisotropic surface structure at the initial stage, whereas the isotropic surface morphology was developed with an increase in the film thickness of ZnO. These observations were consistent with the HR-XRD results.

  2. Temperature dependence of Ti:Sapphire fluorescence spectra for the design of cryogenic cooled Ti:Sapphire CPA laser.

    PubMed

    Burton, Harry; Debardelaben, Christopher; Amir, Wafa; Planchon, Thomas A

    2017-03-20

    The fluorescence spectra of titanium doped sapphire (Ti:Sapphire) crystals were measured for temperature ranging from 300K to 77K. The resulting gain cross-section line shapes were calculated and used in a three-dimensional amplification model to illustrate the importance of the precise knowledge of these fluorescence spectra for the design of cryogenic cooled Ti:Sapphire based chirped-pulse laser amplifiers.

  3. Effect of substrate nitridation temperature on the persistent photoconductivity of unintentionally-doped GaN layer grown by PAMBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Nisha, E-mail: prakasnisha@gmail.com; Barvat, Arun; Anand, Kritika

    2016-05-23

    The surface roughness and defect density of GaN epitaxial layers grown on c-plane sapphire substrate are investigated and found to be dependent on nitridation temperature. GaN epitaxial layers grown after nitridation of sapphire at 200°C have a higher defect density and higher surface roughness compared to the GaN layers grown at 646°C nitridation as confirmed by atomic force microscopy (AFM). The persistent photoconductivity (PPC) was observed in both samples and it was found to be decreasing with decreasing temperature in the range 150-300°C due to long carrier lifetime and high electron mobility at low temperature. The photoresponse of the GaNmore » films grown in this study exhibit improved PPC due to their better surface morphology at 646°C nitrided sample. The point defects or extended microstructure defects limits the photocarrier lifetime and electron mobility at 200°C nitrided sample.« less

  4. Photonics of 2D gold nanolayers on sapphire surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Nabatov, B. V.

    Gold layers with thicknesses of up to several nanometers, including ordered and disordered 2D nanostructures of gold particles, have been formed on sapphire substrates; their morphology is described; and optical investigations are carried out. The possibility of increasing the accuracy of predicting the optical properties of gold layers and 2D nanostructures using quantum-mechanical models based on functional density theory calculation techniques is considered. The application potential of the obtained materials in photonics is estimated.

  5. Morphological stability of sapphire crystallization front

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baranov, V. V., E-mail: baranov.isc@gmail.com; Nizhankovskyi, S. V.

    2016-03-15

    The main factors and specificity of growth conditions for sapphire and Ti:sapphire crystals, which affect the morphological stability of the crystal–melt interface, have been investigated with allowance for the concentration and radiative melt supercooling. It is shown that the critical sapphire growth rate is determined to a great extent by the optical transparency of the melt and the mixing conditions near the crystallization front.

  6. Effect of Annealing Temperature on Morphological and Optical Transition of Silver Nanoparticles on c-Plane Sapphire.

    PubMed

    Pandey, Puran; Kunwar, Sundar; Sui, Mao; Li, Ming-Yu; Zhang, Quanzhen; Lee, Jihoon

    2018-05-01

    As a promising candidate for the improved performance, silver nanoparticles (Ag NPs) have been successfully adapted in various applications such as photovoltaics, light emitting diodes (LEDs), sensors and catalysis by taking the advantage of their controllable plasmonic properties. In this paper, the control on the morphologies and optical properties of Ag NPs on c-plane sapphire (0001) is demonstrated by the systematic control of annealing temperature (between 200 and 950 °C) with 20 and 6 nm thick Ag films through the solid state dewetting. With the relatively thicker film of 20 nm, various configuration and size of Ag NPs are fabricated such as irregular, round dome-shaped and tiny Ag NPs depending on the annealing temperature. In a shrill contrast, the 6 nm Ag set exhibits a sharp distinction with the formation of densely packed small NPs and ultra-highly dense tiny Ag NPs due to the higher dewetting rate. While, the surface diffusion assumes the main driving force in the evolution process of Ag NP morphologies up to 550 °C, the sublimation of Ag atoms has played a significant role on top on the surface diffusion between 600 and 950 °C. The reflectance spectra of Ag NPs exhibit the quadrupolar resonance and dipolar resonance peaks, and the evolution of peaks, shift and average reflectance were discussed based on the Ag NPs size and surface coverage. In particular, the dipolar resonance peak in the reflectance spectra red shifts from ~475 to ~570 nm due to the size increment of Ag NPs (38.31 to 74.68 nm). The wide surface coverage of Ag NPs exhibits the highest average reflectance (~27%) and the lowest Raman intensity.

  7. Development of a sapphire fiber thermometer using two wavelength bands

    NASA Astrophysics Data System (ADS)

    Ye, Linhua; Shen, Yonghang

    1996-09-01

    This paper reports the development of a sapphire ((alpha) - Al2O3) single crystal optical fiber thermometer using two wavelength bands. A thin film of precious metal or ceramic deposited onto one end of the sapphire fiber forms a mini-radiation cavity. The other end of the sapphire fiber is coupled to a low-loss silica fiber. Radiation from the small cavity is transmitted along the silica fiber into a photodetection system which consists of a lens, beam splitter, two interference filters (820 nm and 940 nm center wavelength, 30 nm bandwidth) and two silicon photocells. The temperature measurement is based on the detection of radiation from the small cavity. The sapphire fiber (0.25 - 1.0 mm diameter, 100 - 450 mm length) was grown by the laser heated pedestal growth (LHPG) methods. Transmission loss in the sapphire fiber was experimentally measured. Theoretical analysis shows the apparent emittance of the small cavity with a length to diameter (L/D) ratio greater than eight is a constant value near to one, so the small cavity can be considered as a small black-body cavity. Using the developed sapphire fiber temperature sensor, we have built a sapphire fiber thermometer based on a 8098 single-chip microcomputer system. It was calibrated at some known stable temperature point and uses the fundamental radiation law to extrapolate to other temperatures. By taking the ratio of the optical power at two wavelengths, errors due to changes in the system, such as emissivity and transmission losses, can be canceled out. The thermometer has an operating temperature range of 800 to 1900 degrees Celsius, and an accuracy of 0.2% at 1000 degrees Celsius. There are a number of applications of the thermometer both in science and industry.

  8. Petrogenesis of alkaline basalt-hosted sapphire megacrysts. Petrological and geochemical investigations of in situ sapphire occurrences from the Siebengebirge Volcanic Field, Germany

    NASA Astrophysics Data System (ADS)

    Baldwin, L. C.; Tomaschek, F.; Ballhaus, C.; Gerdes, A.; Fonseca, R. O. C.; Wirth, R.; Geisler, T.; Nagel, T.

    2017-06-01

    Megacrystic sapphires are frequently associated with alkaline basalts, most notably in Asia and Australia, although basalt is not generally normative in corundum. Most of these sapphire occurrences are located in alluvial or eluvial deposits, making it difficult to study the enigmatic relationship between the sapphires and their host rocks. Here, we present detailed petrological and geochemical investigations of in situ megacrystic sapphires within alkaline basalts from the Cenozoic Siebengebirge Volcanic Field (SVF) in Germany. Markedly, the sapphires show several micrometer thick spinel coronas at the contact with the host basalt, indicating chemical disequilibrium between the sapphire and the basaltic melt, supporting a xenogenetic relationship. However, in situ U-Pb dating of a Columbite Group inclusion within one Siebengebirge sapphire using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) indicates a close genetic relationship between sapphire crystallization and alkaline mafic volcanism in the SVF. The syngenetic mineral inclusion suite including carbonates, members of the Pyrochlore, Betafite and Columbite Groupe minerals, as well as a high abundance of HFSE and of gaseous low-density CO2 inclusions support a parentage of a highly evolved, MgO and FeO deficient carbonatitic melt. We identified CO2 to be the link between alkaline basaltic volcanism and the xenocrystic sapphires. Only alkaline volcanic suites can build up enough CO2 in this magma chamber upon fractionation so that at high degrees of fractionation a carbonatitic melt exsolves which in turn can crystallize sapphires.

  9. Ti : sapphire laser synchronised with femtosecond Yb pump laser via nonlinear pulse coupling in Ti : sapphire active medium

    NASA Astrophysics Data System (ADS)

    Didenko, N. V.; Konyashchenko, A. V.; Konyashchenko, D. A.; Kostryukov, P. V.; Kuritsyn, I. I.; Lutsenko, A. P.; Mavritskiy, A. O.

    2017-02-01

    A laser system utilising the method of synchronous pumping of a Ti : sapphire laser by a high-power femtosecond Yb3+-doped laser is described. The pulse repetition rate of the Ti : sapphire laser is successfully locked to the repetition rate of the Yb laser for more than 6 hours without the use of any additional electronics. The measured timing jitter is shown to be less than 1 fs. A simple qualitative model addressing the synchronisation mechanism utilising the cross-phase modulation of oscillation and pump pulses within a Ti : sapphire active medium is proposed. Output parameters of the Ti : sapphire laser as functions of its cavity length are discussed in terms of this model.

  10. Ti:sapphire - A theoretical assessment for its spectroscopy

    NASA Astrophysics Data System (ADS)

    Da Silva, A.; Boschetto, D.; Rax, J. M.; Chériaux, G.

    2017-03-01

    This article tries to theoretically compute the stimulated emission cross-sections when we know the oscillator strength of a broad material class (dielectric crystals hosting metal-transition impurity atoms). We apply the present approach to Ti:sapphire and check it by computing some emission cross-section curves for both π and σ polarizations. We also set a relationship between oscillator strength and radiative lifetime. Such an approach will allow future parametric studies for Ti:sapphire spectroscopic properties.

  11. Substrate Temperature effect on the transition characteristics of Vanadium (IV) oxide

    NASA Astrophysics Data System (ADS)

    Yang, Tsung-Han; Wei, Wei; Jin, Chunming; Narayan, Jay

    2008-10-01

    One of the semiconductor to metal transition material (SMT) is Vanadium Oxide (VO2) which has a very sharp transition temperature close to 340 K as the crystal structure changes from monoclinic phase (semiconductor) into tetragonal phase (metal phase). We have grown high-quality epitaxial vanadium oxide (VO2) films on sapphire (0001) substrates by pulsed laser deposition for oxygen pressure 10-2torr and obtained interesting results without further annealing treatments. The epitaxial growth via domain matching epitaxy, where integral multiples of planes matched across the film-substrate interface. We were able to control the transition characteristics such as the sharpness (T), amplitude (A) of SMT transition and the width of thermal hysteresis (H) by altering the substrate temperature from 300 ^oC, 400 ^oC, 500 ^oC, and 600 ^oC. We use the XRD to identify the microstructure of film and measure the optical properties of film. Finally the transition characteristics is observed by the resistance with the increase of temperature by Van Der Pauw method from 25 to 100 ^oC to measure the electrical resistivity hystersis loop during the transition temperature.

  12. Three dimensional characterization of GaN-based light emitting diode grown on patterned sapphire substrate by confocal Raman and photoluminescence spectromicroscopy.

    PubMed

    Li, Heng; Cheng, Hui-Yu; Chen, Wei-Liang; Huang, Yi-Hsin; Li, Chi-Kang; Chang, Chiao-Yun; Wu, Yuh-Renn; Lu, Tien-Chang; Chang, Yu-Ming

    2017-03-30

    We performed depth-resolved PL and Raman spectral mappings of a GaN-based LED structure grown on a patterned sapphire substrate (PSS). Our results showed that the Raman mapping in the PSS-GaN heterointerface and the PL mapping in the In x Ga 1-x N/GaN MQWs active layer are spatially correlated. Based on the 3D construction of E 2 (high) Raman peak intensity and frequency shift, V-shaped pits in the MQWs can be traced down to the dislocations originated in the cone tip area of PSS. Detail analysis of the PL peak distribution further revealed that the indium composition in the MQWs is related to the residual strain propagating from the PSS-GaN heterointerface toward the LED surface. Numerical simulation based on the indium composition distribution also led to a radiative recombination rate distribution that shows agreement with the experimental PL intensity distribution in the In x Ga 1-x N/GaN MQWs active layer.

  13. Three dimensional characterization of GaN-based light emitting diode grown on patterned sapphire substrate by confocal Raman and photoluminescence spectromicroscopy

    PubMed Central

    Li, Heng; Cheng, Hui-Yu; Chen, Wei-Liang; Huang, Yi-Hsin; Li, Chi-Kang; Chang, Chiao-Yun; Wu, Yuh-Renn; Lu, Tien-Chang; Chang, Yu-Ming

    2017-01-01

    We performed depth-resolved PL and Raman spectral mappings of a GaN-based LED structure grown on a patterned sapphire substrate (PSS). Our results showed that the Raman mapping in the PSS-GaN heterointerface and the PL mapping in the InxGa1−xN/GaN MQWs active layer are spatially correlated. Based on the 3D construction of E2(high) Raman peak intensity and frequency shift, V-shaped pits in the MQWs can be traced down to the dislocations originated in the cone tip area of PSS. Detail analysis of the PL peak distribution further revealed that the indium composition in the MQWs is related to the residual strain propagating from the PSS-GaN heterointerface toward the LED surface. Numerical simulation based on the indium composition distribution also led to a radiative recombination rate distribution that shows agreement with the experimental PL intensity distribution in the InxGa1−xN/GaN MQWs active layer. PMID:28358119

  14. Natural substrate lift-off technique for vertical light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Lee, Chia-Yu; Lan, Yu-Pin; Tu, Po-Min; Hsu, Shih-Chieh; Lin, Chien-Chung; Kuo, Hao-Chung; Chi, Gou-Chung; Chang, Chun-Yen

    2014-04-01

    Hexagonal inverted pyramid (HIP) structures and the natural substrate lift-off (NSLO) technique were demonstrated on a GaN-based vertical light-emitting diode (VLED). The HIP structures were formed at the interface between GaN and the sapphire substrate by molten KOH wet etching. The threading dislocation density (TDD) estimated by transmission electron microscopy (TEM) was reduced to 1 × 108 cm-2. Raman spectroscopy indicated that the compressive strain from the bottom GaN/sapphire was effectively released through the HIP structure. With the adoption of the HIP structure and NSLO, the light output power and yield performance of leakage current could be further improved.

  15. Surface-mount sapphire interferometric temperature sensor.

    PubMed

    Zhu, Yizheng; Wang, Anbo

    2006-08-20

    A fiber-optic high-temperature sensor is demonstrated by bonding a 45 degrees -polished single-crystal sapphire fiber on the surface of a sapphire wafer, whose optical thickness is temperature dependent and measured by white-light interferometry. A novel adhesive-free coupling between the silica and sapphire fibers is achieved by fusion splicing, and its performance is characterized. The sensor's interference signal is investigated for its dependence on angular alignment between the fiber and the wafer. A prototype sensor is tested to 1,170 degrees C with a resolution of 0.4 degrees C, demonstrating excellent potential for high-temperature measurement.

  16. Optical properties of a-plane (Al, Ga)N/GaN multiple quantum wells grown on strain engineered Zn1-xMgxO layers by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Xia, Y.; Brault, J.; Nemoz, M.; Teisseire, M.; Vinter, B.; Leroux, M.; Chauveau, J.-M.

    2011-12-01

    Nonpolar (112¯0) Al0.2Ga0.8N/GaN multiple quantum wells (MQWs) have been grown by molecular beam epitaxy on (112¯0) Zn0.74Mg0.26O templates on r-plane sapphire substrates. The quantum wells exhibit well-resolved photoluminescence peaks in the ultra-violet region, and no sign of quantum confined Stark effect is observed in the complete multiple quantum well series. The results agree well with flat band quantum well calculations. Furthermore, we show that the MQW structures are strongly polarized along the [0001] direction. The origin of the polarization is discussed in terms of the strain anisotropy dependence of the exciton optical oscillator strengths.

  17. Mode-converting coupler for silicon-on-sapphire devices

    NASA Astrophysics Data System (ADS)

    Zlatanovic, S.; Offord, B. W.; Owen, M.; Shimabukuro, R.; Jacobs, E. W.

    2015-02-01

    Silicon-on-sapphire devices are attractive for the mid-infrared optical applications up to 5 microns due to the low loss of both silicon and sapphire in this wavelength band. Designing efficient couplers for silicon-on-sapphire devices presents a challenge due to a highly confined mode in silicon and large values of refractive index of both silicon and sapphire. Here, we present design, fabrication, and measurements of a mode-converting coupler for silicon-on-sapphire waveguides. We utilize a mode converter layout that consists of a large waveguide that is overlays a silicon inverse tapered waveguide. While this geometry was previously utilized for silicon-on-oxide devices, the novelty is in using materials that are compatible with the silicon-on-sapphire platform. In the current coupler the overlaying waveguide is made of silicon nitride. Silicon nitride is the material of choice because of the large index of refraction and low absorption from near-infrared to mid-infrared. The couplers were fabricated using a 0.25 micron silicon-on-sapphire process. The measured coupling loss from tapered lensed silica fibers to the silicon was 4.8dB/coupler. We will describe some challenges in fabrication process and discuss ways to overcome them.

  18. Leveraging Python Interoperability Tools to Improve Sapphire's Usability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gezahegne, A; Love, N S

    2007-12-10

    The Sapphire project at the Center for Applied Scientific Computing (CASC) develops and applies an extensive set of data mining algorithms for the analysis of large data sets. Sapphire's algorithms are currently available as a set of C++ libraries. However many users prefer higher level scripting languages such as Python for their ease of use and flexibility. In this report, we evaluate four interoperability tools for the purpose of wrapping Sapphire's core functionality with Python. Exposing Sapphire's functionality through a Python interface would increase its usability and connect its algorithms to existing Python tools.

  19. Spatial inhomogeneities in Al x Ga1-x N quantum wells induced by the surface morphology of AlN/sapphire templates

    NASA Astrophysics Data System (ADS)

    Zeimer, Ute; Jeschke, Joerg; Mogilatenko, Anna; Knauer, Arne; Kueller, Viola; Hoffmann, Veit; Kuhn, Christian; Simoneit, Tino; Martens, Martin; Wernicke, Tim; Kneissl, Michael; Weyers, Markus

    2015-11-01

    The effects of the template on the optical and structural properties of Al0.75Ga0.25N/Al0.8Ga0.2N multiple quantum well (MQWs) laser active regions have been investigated. The laser structures for optical pumping were grown on planar c-plane AlN/sapphire as well as on thick epitaxially laterally overgrown (ELO) AlN layers on patterned AlN/sapphire. Two ELO AlN/sapphire templates were investigated, one with a miscut of the sapphire surface to the m-direction with an angle of 0.25°, the other with a miscut angle of 0.25° to the sapphire a-direction. The MQWs are studied by atomic force microscopy, plan-view cathodoluminescence (CL) at room temperature and 83 K as well as transmission electron microscopy using high-angle annular dark-field imaging and energy-dispersive x-ray spectroscopy. The results are compared to optical pumping measurements. It was found that the surface morphology of the templates determines the lateral wavelength distribution in the MQWs observed by spectral CL mappings. The lateral wavelength spread is largest for the laser structures grown on ELO AlN with miscut to sapphire a-direction caused by the local variation of the MQW thicknesses and the Ga incorporation at macrosteps on the ELO-AlN. A CL peak wavelength spread of up to 7 nm has been found. The MQWs grown on planar AlN/sapphire templates show a homogeneous wavelength distribution. However, due to the high threading dislocation density and the resulting strong nonradiative recombination, laser operation could not be achieved. The laser structures grown on ELO AlN/sapphire show optically pumped lasing with a record short wavelength of 237 nm.

  20. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  1. Laser welding of fused silica glass with sapphire using a non- stoichiometric, fresnoitic Ba2TiSi2O8·3 SiO2 thin film as an absorber

    NASA Astrophysics Data System (ADS)

    de Pablos-Martín, A.; Lorenz, M.; Grundmann, M.; Höche, Th.

    2017-07-01

    Laser welding of dissimilar materials is challenging, due to their difference in coefficients of thermal expansion (CTE). In this work, fused silica-to-sapphire joints were achieved by employment of a ns laser focused in the intermediate Si-enriched fresnoitic glass thin film sealant. The microstructure of the bonded interphase was analyzed down to the nanometer scale and related to the laser parameters used. The crystallization of fresnoite in the glass sealant upon laser process leads to an intense blue emission intensity under UV excitation. This crystallization is favored in the interphase with the silica glass substrate, rather than in the border with the sapphire. The formation of SiO2 particles was confirmed, as well. The bond quality was evaluated by scanning acoustic microscopy (SAM). The substrates remain bonded even after heat treatment at 100 °C for 30 min, despite the large CTE difference between both substrates.

  2. Effect of the substrate on the insulator-metal transition of vanadium dioxide films

    NASA Astrophysics Data System (ADS)

    Kovács, György J.; Bürger, Danilo; Skorupa, Ilona; Reuther, Helfried; Heller, René; Schmidt, Heidemarie

    2011-03-01

    Single-phase vanadium dioxide films grown on (0001) sapphire and (001) silicon substrates show a very different insulator-metal electronic transition. A detailed description of the growth mechanisms and the substrate-film interaction is given, and the characteristics of the electronic transition are described by the morphology and grain boundary structure. (Tri-)epitaxy-stabilized columnar growth of VO2 takes place on the sapphire substrate, whereas on silicon the expected Zone II growth is identified. We have found that in the case of the Si substrate the reasons for the broader hysteresis and the lower switching amplitude are the formation of an amorphous insulating VOx (x > 2.6) phase coexisting with VO2 and the high vanadium vacancy concentration of the VO2. These phenomena are the result of the excess oxygen during the growth and the interaction between the silicon substrate and the growing film.

  3. Optical properties of InGaN grown by MOCVD on sapphire and on bulk GaN

    NASA Astrophysics Data System (ADS)

    Osinski, Marek; Eliseev, Petr G.; Lee, Jinhyun; Smagley, Vladimir A.; Sugahara, Tamoya; Sakai, Shiro

    1999-11-01

    Experimental data on photoluminescence of various bulk and quantum-well epitaxial InGaN/GaN structures grown by MOCVD are interpreted in terms of a band-tail model of inhomogeneously broadened radiative recombination. The anomalous temperature-induced blue spectral is shown to result from band-tail recombination under non-degenerate conditions. Significant differences are observed between epilayers grown on sapphire substrates and on GaN substrates prepared by the sublimination method, with no apparent evidence of band tails in homoepitaxial structures, indicating their higher crystalline quality.

  4. Sapphire Fabry-Perot high-temperature sensor study

    NASA Astrophysics Data System (ADS)

    Yao, Yi-qiang; Liang, Wei-long; Gui, Xinwang; Fan, Dian

    2017-04-01

    A new structure sapphire fiber Fabry-Perot (F-P) high-temperature sensor based on sapphire wafer was proposed and fabricated. The sensor uses the sapphire fiber as a transmission waveguide, the sapphire wafer as an Fabry-Perot (F-P) interferometer and the new structure of "Zirconia ferrule-Zirconia tube" as the sensor fixing structure of the sensor. The reflection spectrum of the interferometer was demodulated by a serial of data processing including FIR bandpass filter, FFT (Fast Fourier Transformation) estimation and LSE (least squares estimation) compensation to obtain more precise OPD. Temperature measurement range is from 20 to 1000°C in experiment. The experimental results show that the sensor has the advantages of small size, low cost, simple fabrication and high repeatability. It can be applied for longterm, stable and high-precision high temperature measurement in harsh environments.

  5. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  6. Sapphire Viewports for a Venus Probe

    NASA Technical Reports Server (NTRS)

    Bates, Stephen

    2012-01-01

    A document discusses the creation of a viewport suitable for use on the surface of Venus. These viewports are rated for 500 C and 100 atm pressure with appropriate safety factors and reliability required for incorporation into a Venus Lander. Sapphire windows should easily withstand the chemical, pressure, and temperatures of the Venus surface. Novel fixture designs and seals appropriate to the environment are incorporated, as are materials compatible with exploration vessels. A test cell was fabricated, tested, and leak rate measured. The window features polish specification of the sides and corners, soft metal padding of the sapphire, and a metal C-ring seal. The system safety factor is greater than 2, and standard mechanical design theory was used to size the window, flange, and attachment bolts using available material property data. Maintenance involves simple cleaning of the window aperture surfaces. The only weakness of the system is its moderate rather than low leak rate for vacuum applications.

  7. Temperature dependence of sapphire fiber Raman scattering

    DOE PAGES

    Liu, Bo; Yu, Zhihao; Tian, Zhipeng; ...

    2015-04-27

    Anti-Stokes Raman scattering in sapphire fiber has been observed for the first time. Temperature dependence of Raman peaks’ intensity, frequency shift, and linewidth were also measured. Three anti-Stokes Raman peaks were observed at temperatures higher than 300°C in a 0.72-m-long sapphire fiber excited by a second-harmonic Nd YAG laser. The intensity of anti-Stokes peaks are comparable to that of Stokes peaks when the temperature increases to 1033°C. We foresee the combination of sapphire fiber Stokes and anti-Stokes measurement in use as a mechanism for ultrahigh temperature sensing.

  8. Electron Beam Welder Used to Braze Sapphire to Platinum

    NASA Technical Reports Server (NTRS)

    Forsgren, Roger C.; Vannuyen, Thomas

    1998-01-01

    A new use for electron beam brazing was recently developed by NASA Lewis Research Center's Manufacturing Engineering Division. This work was done to fabricate a fiberoptic probe (developed by Sentec Corporation) that could measure high temperatures less than 600 deg C of vibrating machinery, such as in jet engine combustion research. Under normal circumstances, a sapphire fiber would be attached to platinum by a ceramic epoxy. However, no epoxies can adhere ceramic fibers to platinum under such high temperatures and vibration. Also, since sapphire and platinum have different thermal properties, the epoxy bond is subjected to creep over time. Therefore, a new method had to be developed that would permanently and reliably attach a sapphire fiber to platinum. Brazing a sapphire fiber to a platinum shell. The fiber-optic probe assembly consists of a 0.015-in.-diameter sapphire fiber attached to a 0.25-in.-long, 0.059-in.-diameter platinum shell. Because of the small size of this assembly, electron beam brazing was chosen instead of conventional vacuum brazing. The advantage of the electron beam is that it can generate a localized heat source in a vacuum. Gold reactive braze was used to join the sapphire fiber and the platinum. Consequently, the sapphire fiber was not affected by the total heat needed to braze the components together.

  9. Artificial in-plane ordering of textured YBa2Cu3O(7-x) films deposited on polycrystalline yttria-stabilized zirconia substrates

    NASA Astrophysics Data System (ADS)

    Harshavardhan, K. S.; Rajeswari, M.; Hwang, D. M.; Chen, C. Y.; Sands, T. D.; Venkatesan, T.; Tkaczyk, J. E.; Lay, K. W.; Safari, A.; Johnson, L.

    1992-12-01

    Anisotropic surface texturing of the polycrystalline yttria-stabilized zirconia substrates, prior to YBa2Cu3O(7-x) film deposition, is shown to promote in-plane (basal plane) ordering of the film growth in addition to the c-axis texturing. The Jc's of the films in the weak-link-dominated low-field regime are enhanced considerably, and this result is attributed to the reduction of weak links resulting from a reduction in the number of in-plane large-angle grain boundaries.

  10. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength.

    PubMed

    Wang, Kaishi; Zhang, Fangzhou; Bordia, Rajendra K

    2018-03-27

    The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm) on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young's modulus, on the in-plane stress distribution have also been investigated. 'Thickness-averaged In-plane Stress' (TIS), a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates.

  11. FEM Modeling of In-Plane Stress Distribution in Thick Brittle Coatings/Films on Ductile Substrates Subjected to Tensile Stress to Determine Interfacial Strength

    PubMed Central

    Zhang, Fangzhou; Bordia, Rajendra K.

    2018-01-01

    The ceramic-metal interface is present in various material structures and devices that are vulnerable to failures, like cracking, which are typically due to their incompatible properties, e.g., thermal expansion mismatch. In failure of these multilayer systems, interfacial shear strength is a good measure of the robustness of interfaces, especially for planar films. There is a widely-used shear lag model and method by Agrawal and Raj to analyse and measure the interfacial shear strength of thin brittle film on ductile substrates. The use of this classical model for a type of polymer derived ceramic coatings (thickness ~18 μm) on steel substrate leads to high values of interfacial shear strength. Here, we present finite element simulations for such a coating system when it is subjected to in-plane tension. Results show that the in-plane stresses in the coating are non-uniform, i.e., varying across the thickness of the film. Therefore, they do not meet one of the basic assumptions of the classical model: uniform in-plane stress. Furthermore, effects of three significant parameters, film thickness, crack spacing, and Young’s modulus, on the in-plane stress distribution have also been investigated. ‘Thickness-averaged In-plane Stress’ (TIS), a new failure criterion, is proposed for estimating the interfacial shear strength, which leads to a more realistic estimation of the tensile strength and interfacial shear strength of thick brittle films/coatings on ductile substrates. PMID:29584647

  12. Growth and characterization of β-Ga2O3 crystals

    NASA Astrophysics Data System (ADS)

    Nikolaev, V. I.; Maslov, V.; Stepanov, S. I.; Pechnikov, A. I.; Krymov, V.; Nikitina, I. P.; Guzilova, L. I.; Bougrov, V. E.; Romanov, A. E.

    2017-01-01

    Here we report on the growth and characterization of β-Ga2O3 bulk crystals and polycrystalline layer on different substrates. Bulk β-Ga2O3 crystals were produced by free crystallisation of gallium oxide melt in sapphire crucible. Transparent single crystals measuring up to 8 mm across were obtained. Good structural quality was confirmed by x-ray diffraction rocking curve FWHM values of 46″. Young's modulus, shear modulus and hardness of the β-Ga2O3 crystals were measured by nanoindentation and Vickers microindentation techniques. Polycrystalline β-Ga2O3 films were deposited on silicon and sapphire substrates by sublimation method. It was found that structure and morphology of the films were greatly influenced by the material and orientation of the substrates. The best results were achieved on a-plane sapphire substrates where predominantly (111) oriented films were obtained.

  13. Progress Report for a New Cryogenic Sapphire Oscillator

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Dick, G. J.; Tjoelker, R. L.

    2006-01-01

    We present design progress and subsystem test results for a new short-term frequency standard, the Voltage Controlled Sapphire Oscillator (VCSO). Included are sapphire resonator and coupling design, cryocooler environmental sensitivity tests, Q measurement results, and turnover temperature results. A previous report presented history of the design related to resonator frequency and frequency compensation [1]. Performance goals are a frequency stability of 1x10(exp -14) (1 second less than or equal to (tau) less than or equal to 100 seconds) and two years or more continuous operation. Long-term operation and small size are facilitated by use of a small Stirling cryo-cooler (160W wall power) with an expected 5 year life.

  14. Size and shape dependence of CO adsorption sites on sapphire supported Fe microcrystals

    NASA Technical Reports Server (NTRS)

    Papageorgopoulos, C.; Heinemann, K.

    1985-01-01

    The surface structure and stoichiometry of alumina substrates, as well as the size, growth characteristics, and shape of Fe deposits on sapphire substrates have been investigated by low energy electron diffraction (LEED), Auger electron spectroscopy, electron energy loss spectroscopy, and X-ray photoemission spectroscopy (XPS), as well as work function measurements, in conjunction with transition electron microscopy observations. The substrates used in this work were the following: (1) new, clean Al2O3; (2) same surface amorphized by Ar ion bombardment; (3) same surface regenerated by 650 C annealing; (4) amorphous alumina films on Ta slab; and (5) polycrystal alumina films, obtained by heating amorphous films to 600 C. Substrate cleaning was found to be most effective in producing a reproducible surface upon oxygen RF plasma treatment. The Fe nucleation and growth process was found to depend strongly on the type of substrate surface and deposition conditions. Ar ion bombardment under beam flooding, and subsequent annealing at 650 C was found an effective means to restore the original Al2O3 (1102) surface for renewed Fe deposition.

  15. Sapphire capillary interstitial irradiators for laser medicine

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Dolganova, I. N.; Dubyanskaya, E. N.; Mukhina, E. E.; Zaytsev, K. I.; Kurlov, V. N.

    2018-04-01

    In this paper, we demonstrate instruments for laser radiation delivery based on sapphire capillary needles. Such sapphire irradiators (introducers) can be used for various medical applications, such as photodynamic therapy, laser hyperthermia, laser interstitial thermal therapy, and ablation of tumors of various organs. Unique properties of sapphire allow for effective redistribution of the heat, generated in biological tissues during their exposure to laser radiation. This leads to homogeneous distribution of the laser irradiation around the needle, and lower possibility of formation of the overheating focuses, as well as the following non-transparent thrombi.

  16. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    PubMed

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  17. Nanostructuring of sapphire using time-modulated nanosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Lorenz, P.; Zagoranskiy, I.; Ehrhardt, M.; Bayer, L.; Zimmer, K.

    2017-02-01

    The nanostructuring of dielectric surfaces using laser radiation is still a challenge. The IPSM-LIFE (laser-induced front side etching using in-situ pre-structured metal layer) method allows the easy, large area and fast laser nanostructuring of dielectrics. At IPSM-LIFE a metal covered dielectric is irradiated where the structuring is assisted by a self-organized molten metal layer deformation process. The IPSM-LIFE can be divided into two steps: STEP 1: The irradiation of thin metal layers on dielectric surfaces results in a melting and nanostructuring process of the metal layer and partially of the dielectric surface. STEP 2: A subsequent high laser fluence treatment of the metal nanostructures result in a structuring of the dielectric surface. At this study a sapphire substrate Al2O3(1-102) was covered with a 10 nm thin molybdenum layer and irradiated by an infrared laser with an adjustable time-dependent pulse form with a time resolution of 1 ns (wavelength λ = 1064 nm, pulse duration Δtp = 1 - 600 ns, Gaussian beam profile). The laser treatment allows the fabrication of different surface structures into the sapphire surface due to a pattern transfer process. The resultant structures were investigated by scanning electron microscopy (SEM). The process was simulated and the simulation results were compared with experimental results.

  18. Effect of precursor on epitaxially grown of ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate by hydrothermal technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Trilochan; Ju, Jin-Woo; Kannan, V.

    2008-03-04

    Single crystalline ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate, using two different precursors by hydrothermal route at a temperature of 90 deg. C were successfully grown. The effect of starting precursor on crystalline nature, surface morphology and optical emission of the films were studied. ZnO thin films were grown in aqueous solution of zinc acetate and zinc nitrate. X-ray diffraction analysis revealed that all the thin films were single crystalline in nature and exhibited wurtzite symmetry and c-axis orientation. The thin films obtained with zinc nitrate had a more pitted rough surface morphology compared to the filmmore » grown in zinc acetate. However the thickness of the films remained unaffected by the nature of the starting precursor. Sharp luminescence peaks were observed from the thin films almost at identical energies but deep level emission was slightly prominent for the thin film grown in zinc nitrate.« less

  19. The Impact of GaN/Substrate Thermal Boundary Resistance on a HEMT Device

    DTIC Science & Technology

    2011-11-01

    stack between the GaN and Substrate layers. The University of Bristol recently reported that this TBR in commercial devices on Silicon Carbide ( SiC ...Circuit RF Radio Frequency PA Power Amplifier SiC Silicon Carbide FEA Finite Element Analysis heff Effective Heat transfer Coefficient (W/m 2 K...substrate material switched from sapphire to silicon , and by another factor of two from silicon to SiC . TABLE 1: SAMPLE RESULTS FROM DOUGLAS ET AL. FOR

  20. Sapphire: Canada's Answer to Space-Based Surveillance of Orbital Objects

    NASA Astrophysics Data System (ADS)

    Maskell, P.; Oram, L.

    The Canadian Department of National Defence is in the process of developing the Canadian Space Surveillance System (CSSS) as the main focus of the Surveillance of Space (SofS) Project. The CSSS consists of two major elements: the Sapphire System and the Sensor System Operations Centre (SSOC). The space segment of the Sapphire System is comprised of the Sapphire Satellite - an autonomous spacecraft with an electro-optical payload which will act as a contributing sensor to the United States (US) Space Surveillance Network (SSN). It will operate in a circular, sunsynchronous orbit at an altitude of approximately 750 kilometers and image a minimum of 360 space objects daily in orbits ranging from 6,000 to 40,000 kilometers in altitude. The ground segment of the Sapphire System is composed of a Spacecraft Control Center (SCC), a Satellite Processing and Scheduling Facility (SPSF), and the Sapphire Simulator. The SPSF will be responsible for data transmission, reception, and processing while the SCC will serve to control and monitor the Sapphire Satellite. Surveillance data will be received from Sapphire through two ground stations. Following processing by the SPSF, the surveillance data will then be forwarded to the SSOC. The SSOC will function as the interface between the Sapphire System and the US Joint Space Operations Center (JSpOC). The JSpOC coordinates input from various sensors around the world, all of which are a part of the SSN. The SSOC will task the Sapphire System daily and provide surveillance data to the JSpOC for correlation with data from other SSN sensors. This will include orbital parameters required to predict future positions of objects to be tracked. The SSOC receives daily tasking instructions from the JSpOC to determine which objects the Sapphire spacecraft is required to observe. The advantage of this space-based sensor over ground-based telescopes is that weather and time of day are not factors affecting observation. Thus, space-based optical

  1. Oleophobic properties of the step-and-terrace sapphire surface

    NASA Astrophysics Data System (ADS)

    Muslimov, A. E.; Butashin, A. V.; Kanevsky, V. M.

    2017-03-01

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical-mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of the surface. The results are analyzed using the Ventsel-Deryagin homogeneous wetting model.

  2. Oleophobic properties of the step-and-terrace sapphire surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Kanevsky, V. M.

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical–mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of themore » surface. The results are analyzed using the Ventsel–Deryagin homogeneous wetting model.« less

  3. High power continuous-wave titanium:sapphire laser

    DOEpatents

    Erbert, G.V.; Bass, I.L.; Hackel, R.P.; Jenkins, S.L.; Kanz, V.K.; Paisner, J.A.

    1993-09-21

    A high-power continuous-wave laser resonator is provided, wherein first, second, third, fourth, fifth and sixth mirrors form a double-Z optical cavity. A first Ti:sapphire rod is disposed between the second and third mirrors and at the mid-point of the length of the optical cavity, and a second Ti:sapphire rod is disposed between the fourth and fifth mirrors at a quarter-length point in the optical cavity. Each Ti:sapphire rod is pumped by two counter-propagating pump beams from a pair of argon-ion lasers. For narrow band operation, a 3-plate birefringent filter and an etalon are disposed in the optical cavity so that the spectral output of the laser consists of 5 adjacent cavity modes. For increased power, seventy and eighth mirrors are disposed between the first and second mirrors to form a triple-Z optical cavity. A third Ti:sapphire rod is disposed between the seventh and eighth mirrors at the other quarter-length point in the optical cavity, and is pumped by two counter-propagating pump beams from a third pair of argon-ion lasers. 5 figures.

  4. Surface study of irradiated sapphires from Phrae Province, Thailand using AFM

    NASA Astrophysics Data System (ADS)

    Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.

    2017-09-01

    The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.

  5. Effects of annealing temperature and duration on the morphological and optical evolution of self-assembled Pt nanostructures on c-plane sapphire.

    PubMed

    Sui, Mao; Li, Ming-Yu; Kunwar, Sundar; Pandey, Puran; Zhang, Quanzhen; Lee, Jihoon

    2017-01-01

    Metallic nanostructures (NSs) have been widely adapted in various applications and their physical, chemical, optical and catalytic properties are strongly dependent on their surface morphologies. In this work, the morphological and optical evolution of self-assembled Pt nanostructures on c-plane sapphire (0001) is demonstrated by the control of annealing temperature and dwelling duration with the distinct thickness of Pt films. The formation of Pt NSs is led by the surface diffusion, agglomeration and surface and interface energy minimization of Pt thin films, which relies on the growth parameters such as system temperature, film thickness and annealing duration. The Pt layer of 10 nm shows the formation of overlaying NPs below 650°C and isolated Pt nanoparticles above 700°C based on the enhanced surface diffusion and Volmer-Weber growth model whereas larger wiggly nanostructures are formed with 20 nm thick Pt layers based on the coalescence growth model. The morphologies of Pt nanostructures demonstrate a sharp distinction depending on the growth parameters applied. By the control of dwelling duration, the gradual transition from dense Pt nanoparticles to networks-like and large clusters is observed as correlated to the Rayleigh instability and Ostwald ripening. The various Pt NSs show a significant distinction in the reflectance spectra depending on the morphology evolution: i.e. the enhancement in UV-visible and NIR regions and the related optical properties are discussed in conjunction with the Pt NSs morphology and the surface coverage.

  6. Stress generated modifications of epitaxial ferroelectric SrTiO3 films on sapphire

    NASA Astrophysics Data System (ADS)

    Hollmann, E.; Schubert, J.; Kutzner, R.; Wördenweber, R.

    2009-06-01

    The effect of lattice-mismatch induced stress upon the crystallographic structure, strain, strain relaxation, and the generation of different types of defects in heteroepitaxially grown SrTiO3 films on CeO2 buffered sapphire is examined. Depending on the thickness of the SrTiO3 layer, characteristic changes in the structural perfection of the layers, their crystallographic orientation with respect to the substrate system, and their strain is observed. For thin films misfit dislocations partially compensate the stress in the SrTiO3 layer, whereas cracks develop in thicker SrTiO3 films. The cracks are orientated along two predominant crystallographic orientations of the sapphire. The structural modifications and the formation of misfit defects and cracks are explained in a model based on lattice misfit induced stress, on the one hand, and energy considerations taking into account the stress release due to crack formation and the energy necessary for the formation of new surfaces at the crack, on the other hand. The impact of lattice misfit is discussed in two steps, i.e., intrinsic and thermal induced misfits during heteroepitaxial film growth at a given temperature and the subsequent cooling of the sample, respectively. The comparison of the theoretical predictions and the experimental observations demonstrate that intrinsic mismatch and thermal mismatch have to be considered in order to explain strain dependent effects in complex heteroepitaxial layer systems such as induced ferroelectricity of SrTiO3 on sapphire.

  7. The study on the nanomachining property and cutting model of single-crystal sapphire by atomic force microscopy.

    PubMed

    Huang, Jen-Ching; Weng, Yung-Jin

    2014-01-01

    This study focused on the nanomachining property and cutting model of single-crystal sapphire during nanomachining. The coated diamond probe is used to as a tool, and the atomic force microscopy (AFM) is as an experimental platform for nanomachining. To understand the effect of normal force on single-crystal sapphire machining, this study tested nano-line machining and nano-rectangular pattern machining at different normal force. In nano-line machining test, the experimental results showed that the normal force increased, the groove depth from nano-line machining also increased. And the trend is logarithmic type. In nano-rectangular pattern machining test, it is found when the normal force increases, the groove depth also increased, but rather the accumulation of small chips. This paper combined the blew by air blower, the cleaning by ultrasonic cleaning machine and using contact mode probe to scan the surface topology after nanomaching, and proposed the "criterion of nanomachining cutting model," in order to determine the cutting model of single-crystal sapphire in the nanomachining is ductile regime cutting model or brittle regime cutting model. After analysis, the single-crystal sapphire substrate is processed in small normal force during nano-linear machining; its cutting modes are ductile regime cutting model. In the nano-rectangular pattern machining, due to the impact of machined zones overlap, the cutting mode is converted into a brittle regime cutting model. © 2014 Wiley Periodicals, Inc.

  8. Submicron diameter single crystal sapphire optical fiber

    DOE PAGES

    Hill, Cary; Homa, Daniel; Liu, Bo; ...

    2014-10-02

    In this work, a submicron-diameter single crystal sapphire optical fiber was demonstrated via wet acid etching at elevated temperatures. Etch rates on the order 2.3 µm/hr were achievable with a 3:1 molar ratio sulfuric-phosphoric acid solution maintained at a temperature of 343°C. A sapphire fiber with an approximate diameter of 800 nm was successfully fabricated from a commercially available fiber with an original diameter of 50 µm. The simple and controllable etching technique provides a feasible approach to the fabrication of unique waveguide structures via traditional silica masking techniques. The ability to tailor the geometry of sapphire optical fibers ismore » the first step in achieving optical and sensing performance on par with its fused silica counterpart.« less

  9. Wafer-Scale and Wrinkle-Free Epitaxial Growth of Single-Orientated Multilayer Hexagonal Boron Nitride on Sapphire.

    PubMed

    Jang, A-Rang; Hong, Seokmo; Hyun, Chohee; Yoon, Seong In; Kim, Gwangwoo; Jeong, Hu Young; Shin, Tae Joo; Park, Sung O; Wong, Kester; Kwak, Sang Kyu; Park, Noejung; Yu, Kwangnam; Choi, Eunjip; Mishchenko, Artem; Withers, Freddie; Novoselov, Kostya S; Lim, Hyunseob; Shin, Hyeon Suk

    2016-05-11

    Large-scale growth of high-quality hexagonal boron nitride has been a challenge in two-dimensional-material-based electronics. Herein, we present wafer-scale and wrinkle-free epitaxial growth of multilayer hexagonal boron nitride on a sapphire substrate by using high-temperature and low-pressure chemical vapor deposition. Microscopic and spectroscopic investigations and theoretical calculations reveal that synthesized hexagonal boron nitride has a single rotational orientation with AA' stacking order. A facile method for transferring hexagonal boron nitride onto other target substrates was developed, which provides the opportunity for using hexagonal boron nitride as a substrate in practical electronic circuits. A graphene field effect transistor fabricated on our hexagonal boron nitride sheets shows clear quantum oscillation and highly improved carrier mobility because the ultraflatness of the hexagonal boron nitride surface can reduce the substrate-induced degradation of the carrier mobility of two-dimensional materials.

  10. Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.

    PubMed

    Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto

    2016-11-21

    The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.

  11. High power continuous-wave titanium:sapphire laser

    DOEpatents

    Erbert, Gaylen V.; Bass, Isaac L.; Hackel, Richard P.; Jenkins, Sherman L.; Kanz, Vernon K.; Paisner, Jeffrey A.

    1993-01-01

    A high-power continuous-wave laser resonator (10) is provided, wherein first, second, third, fourth, fifth and sixth mirrors (11-16) form a double-Z optical cavity. A first Ti:Sapphire rod (17) is disposed between the second and third mirrors (12,13) and at the mid-point of the length of the optical cavity, and a second Ti:Sapphire rod (18) is disposed between the fourth and fifth mirrors (14,15) at a quarter-length point in the optical cavity. Each Ti:Sapphire rod (17,18) is pumped by two counter-propagating pump beams from a pair of argon-ion lasers (21-22, 23-24). For narrow band operation, a 3-plate birefringent filter (36) and an etalon (37) are disposed in the optical cavity so that the spectral output of the laser consists of 5 adjacent cavity modes. For increased power, seventy and eighth mirrors (101, 192) are disposed between the first and second mirrors (11, 12) to form a triple-Z optical cavity. A third Ti:Sapphire rod (103) is disposed between the seventh and eighth mirrors (101, 102) at the other quarter-length point in the optical cavity, and is pumped by two counter-propagating pump beams from a third pair of argon-ion lasers (104, 105).

  12. Quantitative investigation into the source of current slump in AlGaN/GaN HEMT on both Si (111) and sapphire: Self-heating and trapping

    NASA Astrophysics Data System (ADS)

    Bag, Ankush; Mukhopadhyay, Partha; Ghosh, Saptarsi; Das, Palash; Chakraborty, Apurba; Dinara, Syed M.; Kabi, Sanjib; Biswas, Dhurbes

    2015-05-01

    We have experimentally studied trapping and self-heating effect in terms of current slump in AlGaN/GaN HEMT grown and identically processed on Silicon (111) and Sapphire (0001) substrates. Different responses have been observed through DC characterization of different duty cycle (100%, 50%, 5% and 0.5%) of pulses at drain end. Effect of self-heating is more in case of HEMT on Sapphire due to its comparative poor thermal conductivity whereas trapped charges have strong contribution in current drop of HEMT on Si (111) due to larger lattice as well as thermal expansion coefficient mismatched epitaxy between GaN and Si (111). These results have been compared among substrates that lead us to find out optimal source of current slump quantitatively between traps and self-heating.

  13. Effect of Zn and Te beam intensity upon the film quality of ZnTe layers on severely lattice mismatched sapphire substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, W.; Kobayashi, M.; Asahi, T.

    2017-06-01

    Zinc telluride layers were grown on highly-lattice-mismatched sapphire substrates by molecular beam epitaxy, and their crystallographic properties were studied by means of X-ray diffraction pole figures. The crystal quality of the ZnTe thin film was further studied by scanning electron microscopy, X-ray rocking curves and low-temperature photoluminescence measurements. These methods show that high-crystallinity (111)-oriented single domain ZnTe layers with the flat surface and good optical properties are realized when the beam intensity ratio of Zn and Te beams is adjusted. The migration of Zn and Te was inhibited by excess surface material and cracks were appeared. In particular, excess Te inhibited the formation of a high-crystallinity ZnTe film. The optical properties of the ZnTe layer revealed that the exciton-related features were dominant, and therefore the film quality was reasonably high even though the lattice constants and the crystal structures were severely mismatched.

  14. Single-Crystal Sapphire Optical Fiber Sensor Instrumentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pickrell, Gary; Scott, Brian; Wang, Anbo

    2013-12-31

    This report summarizes technical progress on the program “Single-Crystal Sapphire Optical Fiber Sensor Instrumentation,” funded by the National Energy Technology Laboratory of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. This project was completed in three phases, each with a separate focus. Phase I of the program, from October 1999 to April 2002, was devoted to development of sensing schema for use in high temperature, harsh environments. Different sensing designs were proposed and tested in the laboratory. Phase II of the program, frommore » April 2002 to April 2009, focused on bringing the sensor technologies, which had already been successfully demonstrated in the laboratory, to a level where the sensors could be deployed in harsh industrial environments and eventually become commercially viable through a series of field tests. Also, a new sensing scheme was developed and tested with numerous advantages over all previous ones in Phase II. Phase III of the program, September 2009 to December 2013, focused on development of the new sensing scheme for field testing in conjunction with materials engineering of the improved sensor packaging lifetimes. In Phase I, three different sensing principles were studied: sapphire air-gap extrinsic Fabry-Perot sensors; intensity-based polarimetric sensors; and broadband polarimetric sensors. Black body radiation tests and corrosion tests were also performed in this phase. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. At the beginning of Phase II, in June 2004, the BPDI sensor was tested at the Wabash River coal

  15. Strain Multiplexed Metasurface Holograms on a Stretchable Substrate.

    PubMed

    Malek, Stephanie C; Ee, Ho-Seok; Agarwal, Ritesh

    2017-06-14

    We demonstrate reconfigurable phase-only computer-generated metasurface holograms with up to three image planes operating in the visible regime fabricated with gold nanorods on a stretchable polydimethylsiloxane substrate. Stretching the substrate enlarges the hologram image and changes the location of the image plane. Upon stretching, these devices can switch the displayed holographic image between multiple distinct images. This work opens up the possibilities for stretchable metasurface holograms as flat devices for dynamically reconfigurable optical communication and display. It also confirms that metasurfaces on stretchable substrates can serve as platform for a variety of reconfigurable optical devices.

  16. High Mobility Transport Layer Structures for Rhombohedral Si/Ge/SiGe Devices

    NASA Technical Reports Server (NTRS)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Kim, Hyun-Jung (Inventor); Lee, Kunik (Inventor)

    2017-01-01

    An electronic device includes a trigonal crystal substrate defining a (0001) C-plane. The substrate may comprise Sapphire or other suitable material. A plurality of rhombohedrally aligned SiGe (111)-oriented crystals are disposed on the (0001) C-plane of the crystal substrate. A first region of material is disposed on the rhombohedrally aligned SiGe layer. The first region comprises an intrinsic or doped Si, Ge, or SiGe layer. The first region can be layered between two secondary regions comprising n+doped SiGe or n+doped Ge, whereby the first region collects electrons from the two secondary regions.

  17. Nanostructured sapphire optical fiber for sensing in harsh environments

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Liu, Kai; Ma, Yiwei; Tian, Fei; Du, Henry

    2017-05-01

    We describe an innovative and scalable strategy of transforming a commercial unclad sapphire optical fiber to an allalumina nanostructured sapphire optical fiber (NSOF) that overcomes decades-long challenges faced in the field of sapphire fiber optics. The strategy entails fiber coating with metal Al followed by subsequent anodization to form anodized alumina oxide (AAO) cladding of highly organized pore channel structure. We show that Ag nanoparticles entrapped in AAO show excellent structural and morphological stability and less susceptibility to oxidation for potential high-temperature surface-enhanced Raman Scattering (SERS). We reveal, with aid of numerical simulations, that the AAO cladding greatly increases the evanescent-field overlap both in power and extent and that lower porosity of AAO results in higher evanescent-field overlap. This work has opened the door to new sapphire fiber-based sensor design and sensor architecture.

  18. Progress on 10 Kelvin cryo-cooled sapphire oscillator

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Dick, G. John; Diener, William A.

    2004-01-01

    We present recent progress on the 10 Kelvin Cryocooled Sapphire Oscillator (10K CSO). Included are incorporation of a new pulse tube cryocooler, cryocooler vibration comparisons between G-M and pulse-tube types, phase noise, and frequency stability tests. For the advantage of a single stage pulse tube cryocooler, we also present results for a 40K Compensated Sapphire Oscillator (40K CSO).

  19. Holographic fabrication of gratings in metal substrates

    NASA Technical Reports Server (NTRS)

    Fletcher, R. M.; Wagner, D. K.; Ballantyne, J. M.

    1982-01-01

    A program for investigating the grain enlargement resulting from the laser recrystallization of a thin gallium arsenide film on a patterned substrate, a technique known as graphoepitaxy was evaluated. More specifically, the effects of recrystallizing an uncapped gallium arsenide film using a continuous wave neodymium YAG laser operating at 1.06 microns were studied. In an effort to minimize arsenic loss from the film, the specimens were held in an arsine atmosphere during recrystallization. Two methods for fabricating patterned substrates were developed, one using reactive ion etching of a molybdenum film on both sapphire and silicon substates and another by preferential wet etching of a silicon substrate onto which a film of molybdenum was subsequently deposited.

  20. Modal reduction in single crystal sapphire optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Yujie; Hill, Cary; Liu, Bo

    2015-10-12

    A new type of single crystal sapphire optical fiber (SCSF) design is proposed to reduce the number of guided modes via a highly dispersive cladding with a periodic array of high and low index regions in the azimuthal direction. The structure retains a “core” region of pure single crystal (SC) sapphire in the center of the fiber and a “cladding” region of alternating layers of air and SC sapphire in the azimuthal direction that is uniform in the radial direction. The modal characteristics and confinement losses of the fundamental mode were analyzed via the finite element method by varying themore » effective core diameter and the dimensions of the “windmill” shaped cladding. The simulation results showed that the number of guided modes were significantly reduced in the “windmill” fiber design, as the radial dimension of the air and SC sapphire cladding regions increase with corresponding decrease in the azimuthal dimension. It is anticipated that the “windmill” SCSF will readily improve the performance of current fiber optic sensors in the harsh environment and potentially enable those that were limited by the extremely large modal volume of unclad SCSF.« less

  1. Oxidizing annealing effects on VO2 films with different microstructures

    NASA Astrophysics Data System (ADS)

    Dou, Yan-Kun; Li, Jing-Bo; Cao, Mao-Sheng; Su, De-Zhi; Rehman, Fida; Zhang, Jia-Song; Jin, Hai-Bo

    2015-08-01

    Vanadium dioxide (VO2) films have been prepared by direct-current magnetron sputter deposition on m-, a-, and r-plane sapphire substrates. The obtained VO2 films display different microstructures depending on the orientation of sapphire substrates, i.e. mixed microstructure of striped grains and equiaxed grains on m-sapphire, big equiaxed grains on a-sapphire and fine-grained microstructure on r-sapphire. The VO2 films were treated by the processes of oxidation in air. The electric resistance and infrared transmittance of the oxidized films were characterized to examine performance characteristics of VO2 films with different microstructures in oxidation environment. The oxidized VO2 films on m-sapphire exhibit better electrical performance than the other two films. After air oxidization for 600 s at 450 °C, the VO2 films on m-sapphire show a resistance change of 4 orders of magnitude over the semiconductor-to-metal transition. The oxidized VO2 films on a-sapphire have the highest optical modulation efficiency in infrared region compared to other samples. The different performance characteristics of VO2 films are understood in terms of microstructures, i.e. grain size, grain shape, and oxygen vacancies. The findings reveal the correlation of microstructures and performances of VO2 films, and provide useful knowledge for the design of VO2 materials to different applications.

  2. Efficient continuous-wave and passively Q-switched pulse laser operations in a diffusion-bonded sapphire/Er:Yb:YAl3(BO3)4/sapphire composite crystal around 1.55 μm.

    PubMed

    Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-01-08

    A composite crystal consisting of a 1.5-mm-thick Er:Yb:YAl 3 (BO 3 ) 4 crystal between two 1.2-mm-thick sapphire crystals was fabricated by the thermal diffusion bonding technique. Compared with a lone Er:Yb:YAl 3 (BO 3 ) 4 crystal measured under the identical experimental conditions, higher laser performances were demonstrated in the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal due to the reduction of the thermal effects. End-pumped by a 976 nm laser diode in a hemispherical cavity, a 1.55 μm continuous-wave laser with a maximum output power of 1.75 W and a slope efficiency of 36% was obtained in the composite crystal when the incident pump power was 6.54 W. Passively Q-switched by a Co 2+ :MgAl 2 O 4 crystal, a 1.52 μm pulse laser with energy of 10 μJ and repetition frequency of 105 kHz was also realized in the composite crystal. Pulse width was 315 ns. The results show that the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal is an excellent active element for 1.55 μm laser.

  3. Shear strength of metal-sapphire contacts

    NASA Technical Reports Server (NTRS)

    Pepper, S. V.

    1976-01-01

    The shear strength of polycrystalline Ag, Cu, Ni, and Fe contacts on clean (0001) sapphire has been studied in ultrahigh vacuum. Both clean metal surfaces and surfaces exposed to O2, Cl2, and C2H4 were used. The results indicate that there are two sources of strength of Al2O3-metal contacts: an intrinsic one that depends on the particular clean metal in contact with Al2O3 and an additional one due to intermediate films. The shear strength of the clean metal contacts correlated directly with the free energy of oxide formation for the lowest metal oxide, in accord with the hypothesis that a chemical bond is formed between metal cations and oxygen anions in the sapphire surface. Contacts formed by metals exposed to chlorine exhibited uniformly low shear strength indicative of van der Waals bonding between chlorinated metal surfaces and sapphire. Contacts formed by metals exposed to oxygen exhibited enhanced shear strength, in accord with the hypothesis that an intermediate oxide layer increases interfacial strength.

  4. Epitaxy of boron phosphide on AlN, 4H-SiC, 3C-SiC and ZrB2 substrates

    NASA Astrophysics Data System (ADS)

    Padavala, Balabalaji

    The semiconductor boron phosphide (BP) has many outstanding features making it attractive for developing various electronic devices, including neutron detectors. In order to improve the efficiency of these devices, BP must have high crystal quality along with the best possible electrical properties. This research is focused on growing high quality crystalline BP films on a variety of superior substrates like AlN, 4H-SiC, 3C-SiC and ZrB2 by chemical vapor deposition. In particular, the influence of various parameters such as temperature, reactant flow rates, and substrate type and its crystalline orientation on the properties of BP films were studied in detail. Twin-free BP films were produced by depositing on off-axis 4H-SiC(0001) substrate tilted 4° toward [11¯00] and crystal symmetry matched zincblende 3C-SiC. BP crystalline quality improved at higher deposition temperature (1200°C) when deposited on AlN, 4H-SiC, whereas increased strain in 3C-SiC and increased boron segregation in ZrB2 at higher temperatures limited the best deposition temperature to below 1200°C. In addition, higher flow ratios of PH 3 to B2H6 resulted in smoother films and improved quality of BP on all substrates. The FWHM of the Raman peak (6.1 cm -1), XRD BP(111) peak FWHM (0.18°) and peak ratios of BP(111)/(200) = 5157 and BP(111)/(220) = 7226 measured on AlN/sapphire were the best values reported in the literature for BP epitaxial films. The undoped films on AlN/sapphire were n-type with a highest electron mobility of 37.8 cm2/V˙s and a lowest carrier concentration of 3.15x1018 cm -3. Raman imaging had lower values of FWHM (4.8 cm-1 ) and a standard deviation (0.56 cm-1) for BP films on AlN/sapphire compared to 4H-SiC, 3C-SiC substrates. X-ray diffraction and Raman spectroscopy revealed residual tensile strain in BP on 4H-SiC, 3C-SiC, ZrB2/4H-SiC, bulk AlN substrates while compressive strain was evident on AlN/sapphire and bulk ZrB2 substrates. Among the substrates studied, AlN/sapphire

  5. Sapphire shaped crystals for laser-assisted cryodestruction of biological tissues

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Dubyanskaya, E. N.; Kuznetsov, A. A.; Katyba, G. M.; Dolganova, I. N.; Mukhina, E. E.; Chernomyrdin, N. V.; Zaytsev, K. I.; Tuchin, V. V.; Kurlov, V. N.

    2018-04-01

    We have developed cryo-applicators based on the sapphire shaped crystals fabricated using the edge-defined film-fed growth (EFG) and noncapillary shaping (NCS) techniques. Due to the unique physical properties of sapphire: i.e. high thermal, mechanical, and chemical strength, impressive thermal conductivity and optical transparency, these cryo-applicators yield combination of the tissue cryo-destruction with its exposure to laser radiation for controlling the thermal regimes of cryosurgery, and with the optical diagnosis of tissue freezing. We have applied the proposed sapphire cryo-applicators for the destruction of tissues in vitro. The observed results highlight the prospectives of the sapphire cryo-applicators in cryosurgery.

  6. High-temperature sensor instrumentation with a thin-film-based sapphire fiber.

    PubMed

    Guo, Yuqing; Xia, Wei; Hu, Zhangzhong; Wang, Ming

    2017-03-10

    A novel sapphire fiber-optic high-temperature sensor has been designed and fabricated based on blackbody radiation theory. Metallic molybdenum has been used as the film material to develop the blackbody cavity, owing to its relatively high melting point compared to that of sapphire. More importantly, the fabrication process for the blackbody cavity is simple, efficient, and economical. Thermal radiation emitted from such a blackbody cavity is transmitted via optical fiber to a remote place for detection. The operating principle, the sensor structure, and the fabrication process are described here in detail. The developed high-temperature sensor was calibrated through a calibration blackbody furnace at temperatures from 900°C to 1200°C and tested by a sapphire crystal growth furnace up to 1880°C. The experimental results of our system agree well with those from a commercial Rayteck MR1SCCF infrared pyrometer, and the maximum residual is approximately 5°C, paving the way for high-accuracy temperature measurement especially for extremely harsh environments.

  7. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    NASA Astrophysics Data System (ADS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  8. Pulsed operation of (Al,Ga,In)N blue laser diodes

    NASA Astrophysics Data System (ADS)

    Abare, Amber C.; Mack, Michael P.; Hansen, Mark W.; Sink, R. K.; Kozodoy, Peter; Keller, Sarah L.; Hu, Evelyn L.; Speck, James S.; Bowers, John E.; Mishra, Umesh K.; Coldren, Larry A.; DenBaars, Steven P.

    1998-04-01

    Room temperature (RT) pulsed operation of blue (420 nm) nitride based multi-quantum well (MQW) laser diodes grown on a-plane and c-plane sapphire substrates has been demonstrated. A combination of atmospheric and low pressure metal organic chemical vapor deposition (MOCVD) using a modified two-flow horizontal reactor was employed. The emission is strongly TE polarized and has a sharp transition in the far field pattern above threshold. Threshold current densities as low as 12.6 kA/cm2 were observed for 10 X 1200 micrometer lasers with uncoated reactive ion etched (RIE) facets on c-plane sapphire. Cleaved facet lasers were also demonstrated with similar performance on a-plane sapphire. Differential efficiencies as high as 7% and output powers up to 77 mW were observed. Laser diodes tested under pulsed conditions operated up to 6 hours at room temperature. Performance was limited by resistive heating during the electrical pulses. Lasing was achieved up to 95 degrees Celsius and up to a 150 ns pulse length (RT). Threshold current increased with temperature with a characteristic temperature, T0, of 125 K.

  9. Spectroscopic properties for identifying sapphire samples from Ban Bo Kaew, Phrae Province, Thailand

    NASA Astrophysics Data System (ADS)

    Mogmued, J.; Monarumit, N.; Won-in, K.; Satitkune, S.

    2017-09-01

    Gemstone commercial is a high revenue for Thailand especially ruby and sapphire. Moreover, Phrae is a potential gem field located in the northern part of Thailand. The studies of spectroscopic properties are mainly to identify gemstone using advanced techniques (e.g. UV-Vis-NIR spectrophotometry, FTIR spectrometry and Raman spectroscopy). Typically, UV-Vis-NIR spectrophotometry is a technique to study the cause of color in gemstones. FTIR spectrometry is a technique to study the functional groups in gem-materials. Raman pattern can be applied to identify the mineral inclusions in gemstones. In this study, the natural sapphires from Ban Bo Kaew were divided into two groups based on colors including blue and green. The samples were analyzed by UV-Vis-NIR spectrophotometer, FTIR spectrometer and Raman spectroscope for studying spectroscopic properties. According to UV-Vis-NIR spectra, the blue sapphires show higher Fe3+/Ti4+ and Fe2+/Fe3+ absorption peaks than those of green sapphires. Otherwise, green sapphires display higher Fe3+/Fe3+ absorption peaks than blue sapphires. The FTIR spectra of both blue and green sapphire samples show the absorption peaks of -OH,-CH and CO2. The mineral inclusions such as ferrocolumbite and rutile in sapphires from this area were observed by Raman spectroscope. The spectroscopic properties of sapphire samples from Ban Bo Kaew, Phrae Province, Thailand are applied to be the specific evidence for gemstone identification.

  10. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    NASA Astrophysics Data System (ADS)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  11. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  12. Reliability improvement methods for sapphire fiber temperature sensors

    NASA Astrophysics Data System (ADS)

    Schietinger, C.; Adams, B.

    1991-08-01

    Mechanical, optical, electrical, and software design improvements can be brought to bear in the enhancement of fiber-optic sapphire-fiber temperature measurement tool reliability in harsh environments. The optical fiber thermometry (OFT) equipment discussed is used in numerous process industries and generally involves a sapphire sensor, an optical transmission cable, and a microprocessor-based signal analyzer. OFT technology incorporating sensors for corrosive environments, hybrid sensors, and two-wavelength measurements, are discussed.

  13. Picosecond temporal contrast of Ti:Sapphire lasers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kalashnikov, Mikhail P.; Khodakovskiy, Nikita

    2017-05-01

    The temporal shape of recompressed Ti:sapphire CPA pulses typically contains relatively long pre- and post- pedestals appearing on a picosecond time scale. Despite playing a key role in laser-matter interactions, these artifacts - especially the shape of the leading front of the recompressed pulses - are poorly investigated and understood. The related publications consider picosecond pedestals appearing at both fronts of the main pulse to be related to scattering of the stretched pulse off diffraction gratings inside the stretcher or due to clipping of the pulse spectrum at dielectric coatings. In our experiments we analyzed different types of stretcher-compressor combinations used in Ti:Sapphire laser systems. These include a prism-based stretcher and a bulk compressor, transmission and reflection diffraction gratings - based combinations. We identified pedestals that are typical for the particular stretcher-compressor combination. Especially investigated are those which are coherent with the major recompressed pulse, since with self-phase modulation in power amplifiers they will grow nonlinearly and finally appear symmetric around the major pulse, generating the pre-pedestal from the post-pedestal. Thus, a previously unreported influence of the trailing pedestal has been identified. It is commonly known that recompressed pulses from Ti:sapphire chirped-pulse amplifier systems are accompanied by a slowly decaying ragged post-pedestal. The detailed investigation shows that it consists of numerous pulses with temporal separation in the picosecond range. These are coherent with the main pulse. Moreover, the temporal structure of the trailing pedestal is independent of the particular realization of the Ti:sapphire system and it is present in radiation of any Ti:Sapphire CPA system including Kerr- mode locked master oscillators. Our investigations show that the coherent ragged post-pedestal is the post-radiation of inverted Ti:sapphire medium resulting from phonon

  14. Microscale out-of-plane anemometer

    NASA Technical Reports Server (NTRS)

    Liu, Chang (Inventor); Chen, Jack (Inventor)

    2005-01-01

    A microscale out-of-plane thermal sensor. A resistive heater is suspended over a substrate by supports raised with respect to the substrate to provide a clearance underneath the resistive heater for fluid flow. A preferred fabrication process for the thermal sensor uses surface micromachining and a three-dimensional assembly to raise the supports and lift the resistive heater over the substrate.

  15. High-temperature effects on the light transmission through sapphire optical fiber

    DOE PAGES

    Wilson, Brandon A.; Petrie, Christian M.; Blue, Thomas E.

    2018-03-13

    Single crystal sapphire optical fiber was tested at high temperatures (1500°C) to determine its suitability for optical instrumentation in high-temperature environments. Broadband light transmission (450-2300 nm) through sapphire fiber was measured as a function of temperature as a test of the fiber's ability to survive and operate in high-temperature environments. Upon heating sapphire fiber to 1400°C, large amounts of light attenuation were measured across the entire range of light wavelengths that were tested. SEM and TEM images of the heated sapphire fiber indicated that a layer had formed at the surface of the fiber, most likely due to a chemicalmore » change at high temperatures. The microscopy results suggest that the surface layer may be in the form of aluminum hydroxide. Subsequent tests of sapphire fiber in an inert atmosphere showed minimal light attenuation at high temperatures along with the elimination of any surface layers on the fiber, indicating that the air atmosphere is indeed responsible for the increased attenuation and surface layer formation at high temperatures.« less

  16. High-temperature effects on the light transmission through sapphire optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilson, Brandon A.; Petrie, Christian M.; Blue, Thomas E.

    Single crystal sapphire optical fiber was tested at high temperatures (1500°C) to determine its suitability for optical instrumentation in high-temperature environments. Broadband light transmission (450-2300 nm) through sapphire fiber was measured as a function of temperature as a test of the fiber's ability to survive and operate in high-temperature environments. Upon heating sapphire fiber to 1400°C, large amounts of light attenuation were measured across the entire range of light wavelengths that were tested. SEM and TEM images of the heated sapphire fiber indicated that a layer had formed at the surface of the fiber, most likely due to a chemicalmore » change at high temperatures. The microscopy results suggest that the surface layer may be in the form of aluminum hydroxide. Subsequent tests of sapphire fiber in an inert atmosphere showed minimal light attenuation at high temperatures along with the elimination of any surface layers on the fiber, indicating that the air atmosphere is indeed responsible for the increased attenuation and surface layer formation at high temperatures.« less

  17. A multiple reflection model for the investigation of infrared transmission of a graphene/substrate system

    NASA Astrophysics Data System (ADS)

    Zhang, Jie; Ding, Lan; Liang, Changneng; Xiao, Yiming; Xu, Wen

    2017-11-01

    We develop a multiple reflection model (MRM) for the examination of infrared transmission properties of a graphene/substrate system. The incident angle and the multiple reflection beams in the substrate with finite thickness are taken into consideration. The model can be applied to predict the optical responses of graphene/substrate systems or to extract the real part of the optical conductance of graphene from the experimental measurement. As an example, we calculate the relative transmittance of graphene/quartz and graphene/sapphire systems by using MRM and provide an experimental verification in the near-infrared range. The measured results show good agreement with the calculated ones. Our method can be easily extended to accurately and non-invasively identify the layer numbers of other 2D materials, and assess the quality of them.

  18. Effect of the out-of-plane stress on the properties of epitaxial SrTiO3 films with nano-pillar array on Si-substrate

    NASA Astrophysics Data System (ADS)

    Bai, Gang; Xie, Qiyun; Liu, Zhiguo; Wu, Dongmei

    2015-08-01

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO3 films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO3 films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO3 films similar to PZT and other lead-based ferroelectrics can be expected.

  19. Kerr-lens mode-locked Ti:Sapphire laser pumped by a single laser diode

    NASA Astrophysics Data System (ADS)

    Kopylov, D. A.; Esaulkov, M. N.; Kuritsyn, I. I.; Mavritskiy, A. O.; Perminov, B. E.; Konyashchenko, A. V.; Murzina, T. V.; Maydykovskiy, A. I.

    2018-04-01

    The performance of a Ti:sapphire laser pumped by a single 461 nm laser diode is presented for both the continuous-wave and the mode-locked regimes of operation. We introduce a simple astigmatism correction scheme for the laser diode beam consisting of two cylindrical lenses affecting the pump beam along the fast axis of the laser diode, which provides the mode-matching between the nearly square-shaped pump beam and the cavity mode. The resulting efficiency of the suggested Ti:Sapphire oscillator pumped by such a laser diode is analyzed for the Ti:sapphire crystals of 3 mm, 5 mm and 10 mm in length. We demonstrate that such a system provides the generation of ultrashort pulses up to 15 fs in duration with the repetition rate of 87 MHz, the average power being 170 mW.

  20. Strong geometrical effects in submillimeter selective area growth and light extraction of GaN light emitting diodes on sapphire

    DOE PAGES

    Tanaka, Atsunori; Chen, Renjie; Jungjohann, Katherine L.; ...

    2015-11-27

    Advanced semiconductor devices often utilize structural and geometrical effects to tailor their characteristics and improve their performance. Our detailed understanding of such geometrical effects in the epitaxial selective area growth of GaN on sapphire substrates is reported here, and we utilize them to enhance light extraction from GaN light emitting diodes. Systematic size and spacing effects were performed side-by-side on a single 2” sapphire substrate to minimize experimental sampling errors for a set of 144 pattern arrays with circular mask opening windows in SiO 2. We show that the mask opening diameter leads to as much as 4 times increasemore » in the thickness of the grown layers for 20 μm spacings and that spacing effects can lead to as much as 3 times increase in thickness for a 350 μm dot diameter. We also observed that the facet evolution in comparison with extracted Ga adatom diffusion lengths directly influences the vertical and lateral overgrowth rates and can be controlled with pattern geometry. Lastly, such control over the facet development led to 2.5 times stronger electroluminescence characteristics from well-faceted GaN/InGaN multiple quantum well LEDs compared to non-faceted structures.« less

  1. Optimizing Ti:Sapphire laser for quantitative biomedical imaging

    NASA Astrophysics Data System (ADS)

    James, Jeemol; Thomsen, Hanna; Hanstorp, Dag; Alemán Hérnandez, Felipe Ademir; Rothe, Sebastian; Enger, Jonas; Ericson, Marica B.

    2018-02-01

    Ti:Sapphire lasers are powerful tools in the field of scientific research and industry for a wide range of applications such as spectroscopic studies and microscopic imaging where tunable near-infrared light is required. To push the limits of the applicability of Ti:Sapphire lasers, fundamental understanding of the construction and operation is required. This paper presents two projects, (i) dealing with the building and characterization of custom built tunable narrow linewidth Ti:Sapphire laser for fundamental spectroscopy studies; and the second project (ii) the implementation of a fs-pulsed commercial Ti:Sapphire laser in an experimental multiphoton microscopy platform. For the narrow linewidth laser, a gold-plated diffraction grating with a Littrow geometry was implemented for highresolution wavelength selection. We demonstrate that the laser is tunable between 700 to 950 nm, operating in a pulsed mode with a repetition rate of 1 kHz and maximum average output power around 350 mW. The output linewidth was reduced from 6 GHz to 1.5 GHz by inserting an additional 6 mm thick etalon. The bandwidth was measured by means of a scanning Fabry Perot interferometer. Future work will focus on using a fs-pulsed commercial Ti:Sapphire laser (Tsunami, Spectra physics), operating at 80 MHz and maximum average output power around 1 W, for implementation in an experimental multiphoton microscopy set up dedicated for biomedical applications. Special focus will be on controlling pulse duration and dispersion in the optical components and biological tissue using pulse compression. Furthermore, time correlated analysis of the biological samples will be performed with the help of time correlated single photon counting module (SPCM, Becker&Hickl) which will give a novel dimension in quantitative biomedical imaging.

  2. Mathematical modeling of a Ti:sapphire solid-state laser

    NASA Technical Reports Server (NTRS)

    Swetits, John J.

    1987-01-01

    The project initiated a study of a mathematical model of a tunable Ti:sapphire solid-state laser. A general mathematical model was developed for the purpose of identifying design parameters which will optimize the system, and serve as a useful predictor of the system's behavior.

  3. Direct diode pumped Ti:sapphire ultrafast regenerative amplifier system

    DOE PAGES

    Backus, Sterling; Durfee, Charles; Lemons, Randy; ...

    2017-02-10

    Here, we report on a direct diode-pumped Ti:sapphire ultrafast regenerative amplifier laser system producing multi-uJ energies with repetition rate from 50 to 250 kHz. By combining cryogenic cooling of Ti:sapphire with high brightness fiber-coupled 450nm laser diodes, we for the first time demonstrate a power-scalable CW-pumped architecture that can be directly applied to demanding ultrafast applications such as coherent high-harmonic EUV generation without any complex post-amplification pulse compression. Initial results promise a new era for Ti:sapphire amplifiers not only for ultrafast laser applications, but also for tunable CW sources. We discuss the unique challenges to implementation, as well as themore » solutions to these challenges.« less

  4. Direct diode pumped Ti:sapphire ultrafast regenerative amplifier system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Backus, Sterling; Durfee, Charles; Lemons, Randy

    Here, we report on a direct diode-pumped Ti:sapphire ultrafast regenerative amplifier laser system producing multi-uJ energies with repetition rate from 50 to 250 kHz. By combining cryogenic cooling of Ti:sapphire with high brightness fiber-coupled 450nm laser diodes, we for the first time demonstrate a power-scalable CW-pumped architecture that can be directly applied to demanding ultrafast applications such as coherent high-harmonic EUV generation without any complex post-amplification pulse compression. Initial results promise a new era for Ti:sapphire amplifiers not only for ultrafast laser applications, but also for tunable CW sources. We discuss the unique challenges to implementation, as well as themore » solutions to these challenges.« less

  5. Bulk vertical micromachining of single-crystal sapphire using inductively coupled plasma etching for x-ray resonant cavities

    NASA Astrophysics Data System (ADS)

    Chen, P.-C.; Lin, P.-T.; Mikolas, D. G.; Tsai, Y.-W.; Wang, Y.-L.; Fu, C.-C.; Chang, S.-L.

    2015-01-01

    To provide coherent x-ray sources for probing the dynamic structures of solid or liquid biological substances on the picosecond timescale, a high-aspect-ratio x-ray resonator cavity etched from a single crystal substrate with a nearly vertical sidewall structure is required. Although high-aspect-ratio resonator cavities have been produced in silicon, they suffer from unwanted multiple beam effects. However, this problem can be avoided by using the reduced symmetry of single-crystal sapphire in which x-ray cavities may produce a highly monochromatic transmitted x-ray beam. In this study, we performed nominal 100 µm deep etching and vertical sidewall profiles in single crystal sapphire using inductively coupled plasma (ICP) etching. The large depth is required to intercept a useful fraction of a stopped-down x-ray beam, as well as for beam clearance. An electroplated Ni hard mask was patterned using KMPR 1050 photoresist and contact lithography. The quality and performance of the x-ray cavity depended upon the uniformity of the cavity gap and therefore verticality of the fabricated vertical sidewall. To our knowledge, this is the first report of such deep, vertical etching of single-crystal sapphire. A gas mixture of Cl2/BCl3/Ar was used to etch the sapphire with process variables including BCl3 flow ratio and bias power. By etching for 540 min under optimal conditions, we obtained an x-ray resonant cavity with a depth of 95 µm, width of ~30 µm, gap of ~115 µm and sidewall profile internal angle of 89.5°. The results show that the etching parameters affected the quality of the vertical sidewall, which is essential for good x-ray resonant cavities.

  6. Femtosecond laser-induced periodic surface structural formation on sapphire with nanolayered gold coating

    NASA Astrophysics Data System (ADS)

    Yin, Kai; Wang, Cong; Duan, Ji'an; Guo, Chunlei

    2016-09-01

    Sapphire has a potential as a new generation of electronics display. However, direct processing of sapphire surface by visible or near-IR laser light is challenging since sapphire is transparent to these wavelengths. In this study, we investigate the formation of femtosecond laser-induced periodic surface structures (LIPSSs) on sapphire coated with nanolayered gold film. We found a reduced threshold by about 25 % in generating uniform LIPSSs on sapphire due to the nanolayered gold film. Different thickness of nanolayered gold films are studied, and it is shown that the change in thickness does not significantly affect the threshold reduction. It is believed that the diffusion of hot electrons in the gold films increases interfacial carrier density and electron-phonon coupling that results in a reduced threshold and more uniform periodic surface structure generation.

  7. A Century of Sapphire Crystal Growth

    DTIC Science & Technology

    2004-05-17

    should be aware that notwithstanding any other provision of law , no person shall be subject to a penalty for failing to comply with a collection of...and ruby were oxides of the elements aluminum and silicon.1 In 1817, J. L. Gay- Lussac found that pure aluminum oxide (also called alumina) could...thought to consist of Al2O3 and SiO2 •1817: Gay- Lussac : •1840: Rose: Found SiO2 in sapphire is from agate mortar used for grinding •1837-72: Gaudin

  8. Titanium-doped sapphire laser research and design study

    NASA Technical Reports Server (NTRS)

    Moulton, Peter F.

    1987-01-01

    Three main topics were considered in this study: the fundamental laser parameters of titanium-doped sapphire, characterization of commercially grown material, and design of a tunable, narrow-linewidth laser. Fundamental parameters investigated included the gain cross section, upper-state lifetime as a function of temperature and the surface-damage threshold. Commercial material was found to vary widely in the level of absorption of the laser wavelength with the highest absorption in Czochralski-grown crystals. Several Yi:sapphire lasers were constructed, including a multimode laser with greater than 50mJ of output energy and a single-transverse-mode ring laser, whose spectral and temporal characteristics were completely characterized. A design for a narrow-linewidth (single-frequency) Ti:sapphire laser was developed, based on the results of the experimental work. The design involves the use of a single-frequency, quasi-cw master oscillator, employed as an injection source for a pulsed ring laser.

  9. Raman scattering in single-crystal sapphire at elevated temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thapa, Juddha; Liu, Bo; Woodruff, Steven D.

    Sapphire is a widely used high-temperature material and this work presents thorough characterization of all the measurable Raman scattering modes in sapphire and their temperature dependencies. Here, Raman scattering in bulk sapphire rods is measured from room temperature to 1081 °C and is illustrated as a method of noncontact temperature measurement. A single-line argon ion laser at 488 nm was used to excite the sapphire rods inside a cylindrical furnace. All the anti-Stokes peaks (or lines) were observable through the entire temperature range of interest, while Stokes peaks were observable until they were obscured by background thermal emission. Temperature measurementsmore » were found to be most reliable for A 1g and E g modes using the peaks at ±418, ±379, +578, +645, and, +750 cm -1 (+ and – are designated for Stokes and anti-Stokes peaks respectively). The 418 cm -1 peak was found to be the most intense peak. The temperature dependence of peak position, peak width, and peak area of the ±418 and ±379 peaks is presented. For +578, +645 and +750, the temperature dependence of peak position is presented. The peaks’ spectral positions provide the most precise temperature information within the experimental temperature range. Finally, the resultant temperature calibration curves are given, which indicate that sapphire can be used in high-temperature Raman thermometry with an accuracy of about 1.38°C average standard deviation over the entire >1000°C temperature range.« less

  10. Raman scattering in single-crystal sapphire at elevated temperatures

    DOE PAGES

    Thapa, Juddha; Liu, Bo; Woodruff, Steven D.; ...

    2017-10-25

    Sapphire is a widely used high-temperature material and this work presents thorough characterization of all the measurable Raman scattering modes in sapphire and their temperature dependencies. Here, Raman scattering in bulk sapphire rods is measured from room temperature to 1081 °C and is illustrated as a method of noncontact temperature measurement. A single-line argon ion laser at 488 nm was used to excite the sapphire rods inside a cylindrical furnace. All the anti-Stokes peaks (or lines) were observable through the entire temperature range of interest, while Stokes peaks were observable until they were obscured by background thermal emission. Temperature measurementsmore » were found to be most reliable for A 1g and E g modes using the peaks at ±418, ±379, +578, +645, and, +750 cm -1 (+ and – are designated for Stokes and anti-Stokes peaks respectively). The 418 cm -1 peak was found to be the most intense peak. The temperature dependence of peak position, peak width, and peak area of the ±418 and ±379 peaks is presented. For +578, +645 and +750, the temperature dependence of peak position is presented. The peaks’ spectral positions provide the most precise temperature information within the experimental temperature range. Finally, the resultant temperature calibration curves are given, which indicate that sapphire can be used in high-temperature Raman thermometry with an accuracy of about 1.38°C average standard deviation over the entire >1000°C temperature range.« less

  11. Review and perspective: Sapphire optical fiber cladding development for harsh environment sensing

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Buric, Michael; Ohodnicki, Paul R.; Nakano, Jinichiro; Liu, Bo; Chorpening, Benjamin T.

    2018-03-01

    The potential to use single-crystal sapphire optical fiber as an alternative to silica optical fibers for sensing in high-temperature, high-pressure, and chemically aggressive harsh environments has been recognized for several decades. A key technological barrier to the widespread deployment of harsh environment sensors constructed with sapphire optical fibers has been the lack of an optical cladding that is durable under these conditions. However, researchers have not yet succeeded in incorporating a high-temperature cladding process into the typical fabrication process for single-crystal sapphire fibers, which generally involves seed-initiated fiber growth from the molten oxide state. While a number of advances in fabrication of a cladding after fiber-growth have been made over the last four decades, none have successfully transitioned to a commercial manufacturing process. This paper reviews the various strategies and techniques for fabricating an optically clad sapphire fiber which have been proposed and explored in published research. The limitations of current approaches and future prospects for sapphire fiber cladding are discussed, including fabrication methods and materials. The aim is to provide an understanding of the past research into optical cladding of sapphire fibers and to assess possible material systems for future research on this challenging problem for harsh environment sensors.

  12. Single Mode Air-Clad Single Crystal Sapphire Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hill, Cary; Homa, Dan; Yu, Zhihao

    The observation of single mode propagation in an air-clad single crystal sapphire optical fiber at wavelengths at and above 783 nm is presented for the first time. A high-temperature wet acid etching method was used to reduce the diameter of a 10 cm length of commercially-sourced sapphire fiber from 125 micrometers to 6.5 micrometers, and far-field imaging provided modal information at intervals as the fiber diameter decreased. Modal volume was shown to decrease with decreasing diameter, and single mode behavior was observed at the minimum diameter achieved. While weakly-guiding approximations are generally inaccurate for low modal volume optical fiber withmore » high core-cladding refractive index disparity, consistency between these approximations and experimental results was observed when the effective numerical aperture was measured and substituted for the theoretical numerical aperture in weakly-guiding approximation calculations. With the demonstration of very low modal volume in sapphire at fiber diameters much larger than anticipated by legacy calculations, the resolution of sapphire fiber distributed sensors may be increased and other sensing schemes requiring very low modal volume, such as fiber Bragg gratings, may be realized in extreme environment applications.« less

  13. Single Mode Air-Clad Single Crystal Sapphire Optical Fiber

    DOE PAGES

    Hill, Cary; Homa, Dan; Yu, Zhihao; ...

    2017-05-03

    The observation of single mode propagation in an air-clad single crystal sapphire optical fiber at wavelengths at and above 783 nm is presented for the first time. A high-temperature wet acid etching method was used to reduce the diameter of a 10 cm length of commercially-sourced sapphire fiber from 125 micrometers to 6.5 micrometers, and far-field imaging provided modal information at intervals as the fiber diameter decreased. Modal volume was shown to decrease with decreasing diameter, and single mode behavior was observed at the minimum diameter achieved. While weakly-guiding approximations are generally inaccurate for low modal volume optical fiber withmore » high core-cladding refractive index disparity, consistency between these approximations and experimental results was observed when the effective numerical aperture was measured and substituted for the theoretical numerical aperture in weakly-guiding approximation calculations. With the demonstration of very low modal volume in sapphire at fiber diameters much larger than anticipated by legacy calculations, the resolution of sapphire fiber distributed sensors may be increased and other sensing schemes requiring very low modal volume, such as fiber Bragg gratings, may be realized in extreme environment applications.« less

  14. High temperature sensing using higher-order-mode rejected sapphire-crystal fiber gratings

    NASA Astrophysics Data System (ADS)

    Zhan, Chun; Kim, Jae Hun; Lee, Jon; Yin, Stuart; Ruffin, Paul; Luo, Claire

    2007-09-01

    In this paper, we report the fabrication of higher-order-mode rejected fiber Bragg gratings (FBGs) in sapphire crystal fiber using infrared (IR) femtosecond laser illumination. The grating is tested in high temperature furnace up to 1600 degree Celsius. As sapphire fiber is only available as highly multimode fiber, a scheme to filter out higher order modes in favor for the fundamental mode is theoretically evaluated and experimentally demonstrated. The approach is to use an ultra thin sapphire crystal fiber (60 micron in diameter) to decrease the number of modes. The small diameter fiber also enables bending the fiber to certain radius which is carefully chosen to provide low loss for the fundamental mode LP01 and high loss for the other high-order modes. After bending, less-than-2-nm resonant peak bandwidth is achieved. The grating spectrum is improved, and higher resolution sensing measurement can be achieved. This mode filtering method is very easy to implement. Furthermore, the sapphire fiber is sealed with hi-purity alumina ceramic cement inside a flexible high temperature titanium tube, and the highly flexible titanium tube offers a robust packaging to sapphire fiber. Our high temperature sapphire grating sensor is very promising in extremely high temperature sensing application.

  15. Silicon-Germanium Films Grown on Sapphire for Ka-Band Communications Applications

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    NASA's vision in the space communications area is to develop a broadband data network in which there is a high degree of interconnectivity among the various satellite systems, ground stations, and wired systems. To accomplish this goal, we will need complex electronic circuits integrating analog and digital data handling at the Ka-band (26 to 40 GHz). The purpose of this project is to show the feasibility of a new technology for Ka-band communications applications, namely silicon germanium (SiGe) on sapphire. This new technology will have several advantages in comparison to the existing silicon-substrate- based circuits. The main advantages are extremely low parasitic reactances that enable much higher quality active and passive components, better device isolation, higher radiation tolerance, and the integration of digital and analog circuitry on a single chip.

  16. Nanostructured carbon films with oriented graphitic planes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Teo, E. H. T.; Kalish, R.; Kulik, J.

    2011-03-21

    Nanostructured carbon films with oriented graphitic planes can be deposited by applying energetic carbon bombardment. The present work shows the possibility of structuring graphitic planes perpendicular to the substrate in following two distinct ways: (i) applying sufficiently large carbon energies for deposition at room temperature (E>10 keV), (ii) utilizing much lower energies for deposition at elevated substrate temperatures (T>200 deg. C). High resolution transmission electron microscopy is used to probe the graphitic planes. The alignment achieved at elevated temperatures does not depend on the deposition angle. The data provides insight into the mechanisms leading to the growth of oriented graphiticmore » planes under different conditions.« less

  17. Local electronic and optical behavior of ELO a-plane GaN

    NASA Astrophysics Data System (ADS)

    Baski, A. A.; Moore, J. C.; Ozgur, U.; Kasliwal, V.; Ni, X.; Morkoc, H.

    2007-03-01

    Conductive atomic force microscopy (CAFM) and near-field optical microscopy (NSOM) were used to study a-plane GaN films grown via epitaxial lateral overgrowth (ELO). The ELO films were prepared by metal organic chemical vapor deposition on a patterned SiO2 layer with 4-μm wide windows, which was deposited on a GaN template grown on r-plane sapphire. The window regions of the coalesced ELO films appear as depressions with a high density of surface pits. At reverse bias below 12 V, very low uniform conduction (2 pA) is seen in the window regions. Above 20 V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies also suggest a greater density of surface terminated dislocations in the window regions, while wing regions explicitly show enhanced optical quality of the overgrown GaN. The combination of CAFM and NSOM data therefore indicates a correlation between the presence of surface pits, localized reverse-bias current leakage, and low PL intensity in the window regions.

  18. Fabrication Of SNS Weak Links On SOS Substrates

    NASA Technical Reports Server (NTRS)

    Hunt, Brian D.

    1995-01-01

    High-quality superconductor/normal-conductor/superconductor (SNS) devices ("weak links") containing epitaxial films of YBa(2)Cu(3)O(7-x) and SrTiO(3) fabricated on silicon-on-sapphire (SOS) substrates with help of improved multilayer buffer system. Process for fabrication of edge-defined SNS weak links described in "Edge-Geometry SNS Devices Made of Y/Ba/Cu" (NPO-18552).

  19. Electron Microscopy Characterization of Vanadium Dioxide Thin Films and Nanoparticles

    NASA Astrophysics Data System (ADS)

    Rivera, Felipe

    Vanadium dioxide (VO_2) is a material of particular interest due to its exhibited metal to insulator phase transition at 68°C that is accompanied by an abrupt and significant change in its electronic and optical properties. Since this material can exhibit a reversible drop in resistivity of up to five orders of magnitude and a reversible drop in infrared optical transmission of up to 80%, this material holds promise in several technological applications. Solid phase crystallization of VO_2 thin films was obtained by a post-deposition annealing process of a VO_{x,x approx 2} amorphous film sputtered on an amorphous silicon dioxide (SiO_2) layer. Scanning electron microscopy (SEM) and electron-backscattered diffraction (EBSD) were utilized to study the morphology of the solid phase crystallization that resulted from this post-deposition annealing process. The annealing parameters ranged in temperature from 300°C up to 1000°C and in time from 5 minutes up to 12 hours. Depending on the annealing parameters, EBSD showed that this process yielded polycrystalline vanadium dioxide thin films, semi-continuous thin films, and films of isolated single-crystal particles. In addition to these films on SiO_2, other VO_2 thin films were deposited onto a-, c-, and r-cuts of sapphire and on TiO_2(001) heated single-crystal substrates by pulsed-laser deposition (PLD). The temperature of the substrates was kept at ˜500°C during deposition. EBSD maps and orientation imaging microscopy were used to study the epitaxy and orientation of the VO_2 grains deposited on the single crystal substrates, as well as on the amorphous SiO_2 layer. The EBSD/OIM results showed that: 1) For all the sapphire substrates analyzed, there is a predominant family of crystallographic relationships wherein the rutile VO_2{001} planes tend to lie parallel to the sapphire's {10-10} and the rutile VO_2{100} planes lie parallel to the sapphire's {1-210} and {0001}. Furthermore, while this family of

  20. Frictional interactions in forming processes: New studies with transparent sapphire strip-drawing dies

    NASA Astrophysics Data System (ADS)

    Rao, R. S.; Lu, C. Y.; Wright, P. K.; Devenpeck, M. L.; Richmond, O.; Appleby, E. J.

    1982-05-01

    This research is concerned with the frictional interactions at the toolwork interfaces in the machining and strip-drawing processes. A novel feature is that transparent sapphire (single crystal Al2O3) is being used as the tool and die material. This allows the tribological features of the interface to be directly observed and recorded on movie-film. These qualitative studies provide information on the role of lubricants. In addition, techniques are being developed to quantify the velocity gradient along the interface. For example, in the drawing work it has been found that tracer markings (e.g. dye-spots), applied to the undrawn strip, remain intact during drawing and can be tracked along the sapphire/strip interface. Such data will be used as input to a finite-element, elasto-plastic-workhardening model of the deformation process. The latter can compute strip deformation characteristics, drawing forces and local coefficients of friction at the interface. Introductory results will be presented in this paper, obtained from drawing tin-plated mild steel with sapphire and cemented carbide dies. Drawing loads and die-separating forces will be presented and movie-films of the action of tracer markings at the interface shown. In order to demonstrate how this data can be used in an analysis of a large strain deformation process with friction, initial results from running the FIPDEF elasto-plastic code will be discussed. From a commercial viewpoint research on strip-drawing is of special interest to the can-making industry. From a physical viewpoint stripdrawing is of particular interest because it is a symmetrical, plane strain deformation and, in comparison with other metal processing operations, it is more readily modeled. However, until now the elasto-plastic codes that have been developed to predictively model drawing have had limitations: the most notable being that of quantifying the friction conditions at the die-work interface. Hence the specification of the

  1. Molecular-orbital model for metal-sapphire interfacial strength

    NASA Technical Reports Server (NTRS)

    Johnson, K. H.; Pepper, S. V.

    1982-01-01

    Self-consistent-field X-Alpha scattered-wave cluster molecular-orbital models have been constructed for transition and noble metals (Fe, Ni, Cu, and Ag) in contact with a sapphire (Al2O3) surface. It is found that a chemical bond is established between the metal d-orbital electrons and the nonbonding 2p-orbital electrons of the oxygen anions on the Al2O3 surface. An increasing number of occupied metal-sapphire antibonding molecular orbitals explains qualitatively the observed decrease of contact shear strength through the series Fe, Ni, Cu, and Ag.

  2. Luminescence studies of laser MBE grown GaN on ZnO nanostructures

    NASA Astrophysics Data System (ADS)

    Dewan, Sheetal; Tomar, Monika; Kapoor, Ashok K.; Tandon, R. P.; Gupta, Vinay

    2017-08-01

    GaN films have been successfully fabricated using Laser Molecular Beam Epitaxy (LMBE) technique on bare c-plane sapphire substrate and ZnO nanostructures (NS) decorated Si (100) substrates. The ZnO nanostructures were grown on Si (100) substrate using high pressure assisted Pulsed laser deposition technique in inert gas ambience. Discrete nanostructured morphology of ZnO was obtained using the PLD growth on Si substrates. Photoluminescence studies performed on the prepared GaN/Sapphire and GaN/ZnO-NS/Si systems, revealed a significant PL enhancement in case of GaN/ZnO-NS/Si system compared to the former. The hexagonal nucleation sites provided by the ZnO nanostructures strategically enhanced the emission of GaN film grown by Laser MBE Technique at relatively lower temperature of 700°C. The obtained results are attractive for the realization of highly luminescent GaN films on Si substrate for photonic devices.

  3. Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction grown by metal-organic chemical vapor deposition on sapphire substrate

    PubMed Central

    Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong

    2014-01-01

    Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm2 at the reverse bias of −1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface. PMID:25205042

  4. Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction grown by metal-organic chemical vapor deposition on sapphire substrate.

    PubMed

    Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong

    2014-09-10

    Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n(+)-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm(2) at the reverse bias of -1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface.

  5. Development of a sapphire optical pressure sensor for high-temperature applications

    NASA Astrophysics Data System (ADS)

    Mills, David A.; Alexander, Dylan; Subhash, Ghatu; Sheplak, Mark

    2014-06-01

    This paper presents the fabrication, packaging, and characterization of a sapphire optical pressure sensor for hightemperature applications. Currently available instrumentation poses significant limitations on the ability to achieve realtime, continuous measurements in high-temperature environments such as those encountered in industrial gas turbines and high-speed aircraft. The fiber-optic lever design utilizes the deflection of a circular platinum-coated sapphire diaphragm to modulate the light reflected back to a single send/receive sapphire optical fiber. The 7 mm diameter, 50 μm thick diaphragm is attached using a novel thermocompression bonding process based on spark plasma sintering technology. Bonds using platinum as an intermediate layer are achieved at a temperature of 1200°C with a hold time of 5 min. Initial characterization of the bond interface using a simple tensile test indicates a bond strength in excess of 12 MPa. Analysis of the buckled diaphragm after bonding is also presented. The packaged sensor enables continuous operation up to 900°C. Room-temperature characterization reveals a first resonance of 18.2 kHz, a flat-band sensitivity of -130 dB re 1 V/Pa (0.32 μV/Pa) from 4-20 kHz, a minimum detectable pressure of 3.8 Pa, and a linear response up to 169 dB at 1.9 kHz.

  6. Structural anisotropy of nonpolar and semipolar InN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Darakchieva, V.; Xie, M.-Y.; Franco, N.; Giuliani, F.; Nunes, B.; Alves, E.; Hsiao, C. L.; Chen, L. C.; Yamaguchi, T.; Takagi, Y.; Kawashima, K.; Nanishi, Y.

    2010-10-01

    We present a detailed study of the structural characteristics of molecular beam epitaxy grown nonpolar InN films with a- and m-plane surface orientations on r-plane sapphire and (100) γ-LiAlO2, respectively, and semipolar (101¯1) InN grown on r-plane sapphire. The on-axis rocking curve (RC) widths were found to exhibit anisotropic dependence on the azimuth angle with minima at InN [0001] for the a-plane films, and maxima at InN [0001] for the m-plane and semipolar films. The different contributions to the RC broadening are analyzed and discussed. The finite size of the crystallites and extended defects are suggested to be the dominant factors determining the RC anisotropy in a-plane InN, while surface roughness and curvature could not play a major role. Furthermore, strategy to reduce the anisotropy and magnitude of the tilt and minimize defect densities in a-plane InN films is suggested. In contrast to the nonpolar films, the semipolar InN was found to contain two domains nucleating on zinc-blende InN(111)A and InN(111)B faces. These two wurtzite domains develop with different growth rates, which was suggested to be a consequence of their different polarity. Both, a- and m-plane InN films have basal stacking fault densities similar or even lower compared to nonpolar InN grown on free-standing GaN substrates, indicating good prospects of heteroepitaxy on foreign substrates for the growth of InN-based devices.

  7. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown tomore » be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.« less

  8. Negative differential resistance in low Al-composition p-GaN/Mg-doped Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liang, Hongwei; Shen, Rensheng; Wang, Dongsheng; Tao, Pengcheng; Liu, Yang; Xia, Xiaochuan; Luo, Yingmin; Du, Guotong

    2014-02-01

    Negative differential resistance (NDR) behavior was observed in low Al-composition p-GaN/Mg-doped-Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate. The energy band and free carrier concentration of hetero-junction were studied by the model of the self-consistent solution of Schrödinger-Poisson equations combined with polarization engineering theory. At the forward bias of 0.95 V, the NDR effect has a high peak-to-valley current ratio of ˜9 with a peak current of 22.4 mA (˜current density of 11.4 A/cm2). An interesting phenomenon of NDR disappearance after consecutive scans and recurrence after electrical treatment was observed, which was associated with Poole-Frenkel effect.

  9. Off-axis silicon carbide substrates

    DOEpatents

    Edgar, James; Dudley, Michael; Kuball, Martin; Zhang, Yi; Wang, Guan; Chen, Hui; Zhang, Yu

    2014-09-02

    A method of epitaxial growth of a material on a crystalline substrate includes selecting a substrate having a crystal plane that includes a plurality of terraces with step risers that join adjacent terraces. Each terrace of the plurality or terraces presents a lattice constant that substantially matches a lattice constant of the material, and each step riser presents a step height and offset that is consistent with portions of the material nucleating on adjacent terraces being in substantial crystalline match at the step riser. The method also includes preparing a substrate by exposing the crystal plane; and epitaxially growing the material on the substrate such that the portions of the material nucleating on adjacent terraces merge into a single crystal lattice without defects at the step risers.

  10. High-Quality In-Plane Aligned CsPbX3 Perovskite Nanowire Lasers with Composition-Dependent Strong Exciton-Photon Coupling.

    PubMed

    Wang, Xiaoxia; Shoaib, Muhammad; Wang, Xiao; Zhang, Xuehong; He, Mai; Luo, Ziyu; Zheng, Weihao; Li, Honglai; Yang, Tiefeng; Zhu, Xiaoli; Ma, Libo; Pan, Anlian

    2018-06-14

    Cesium lead halide perovskite nanowires have emerged as promising low-dimensional semiconductor structures for integrated photonic applications. Understanding light-matter interactions in a nanowire cavity is of both fundamental and practical interest in designing low-power-consumption nanoscale light sources. In this work, high-quality in-plane aligned halide perovskite CsPbX 3 (X = Cl, Br, I) nanowires are synthesized by a vapor growth method on an annealed M-plane sapphire substrate. Large-area nanowire laser arrays have been achieved based on the as-grown aligned CsPbX 3 nanowires at room temperature with quite low pumping thresholds, very high quality factors, and a high degree of linear polarization. More importantly, it is found that exciton-polaritons are formed in the nanowires under the excitation of a pulsed laser, indicating a strong exciton-photon coupling in the optical microcavities made of cesium lead halide perovskites. The coupling strength in these CsPbX 3 nanowires is dependent on the atomic composition, where the obtained room-temperature Rabi splitting energy is ∼210 ± 13, 146 ± 9, and 103 ± 5 meV for the CsPbCl 3 , CsPbBr 3 , and CsPbI 3 nanowires, respectively. This work provides fundamental insights for the practical applications of all-inorganic perovskite CsPbX 3 nanowires in designing light-emitting devices and integrated nanophotonic systems.

  11. [The design of all solid-state tunable pulsed Ti:sapphire laser system].

    PubMed

    Chen, Zhe; Ku, Geng; Wan, Junchao; Wang, Wei; Zhou, Chuanqing

    2013-05-01

    This paper presented a design of broadly all solid-state tunable pulsed Ti:sapphire laser with high power and stable performance. The laser was pumped by custom-made Nd:YAG laser which had water cooling system and amplified by two stage amplifier. The method accomplished tunable output of all solid-state tunable pulsed Ti:sapphire laser by modifying the reflection angle of the back mirror. We investigated the relationship between the power of the pumping laser and the all solid-state tunable pulsed Ti: sapphire laser by changing the power of the pumping source.

  12. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  13. Lattice strain measurements of deuteride phase formation in epitaxial niobium on sapphire

    NASA Astrophysics Data System (ADS)

    Allain, Monica Marie Cortez

    Deuteride phase formation in epitaxial niobium on sapphire was investigated for two film thicknesses (200 and 1200A). A palladium cap of approximately 40A facilitated deuterium absorption from the gas phase and each exposure condition ensured that the film passed through the miscibility gap. In situ resistivity and x-ray diffraction (XRD) provided a correlation between the film resistance and each of the phases. This correlation was used during helium-3 nuclear reaction analysis to determine the deuterium concentration at the beginning and end of the miscibility gap providing a closer look at the strain behavior vs. deuterium concentration within the single and two-phase region. Three orthogonal reciprocal lattice points, the out-of-plane (1--10), the in-plane (002), and the in-plane (110), were monitored with XRD during deuterium absorption to saturation. Cycling effects on the 1200A Nb film were analyzed and found not to influence the strain behavior. The strain was anisotropic for both films, giving an enhanced out-of-plane expansion relative to the two in-plane directions. This is consistent with a clamping force inhibiting in-plane expansion. The observed out-of-plane strain can be used to estimate the in-plane clamping stress; the result is approximately 1 and 2 GPa for the 1200 and 200A Nb films respectively. The volumetric expansion determined from in situ XRD measurements demonstrate that the know value of the specific volume of deuterium, Deltanu/O, in bulk Nb (Deltanu/O = 0.174) does not hold for thin-film, epitaxial geometry (Deltanu/O ≈ 1). Further, the behavior of the specific volume shows a discontinuity at the phase boundary that does not exist in bulk. Lattice strain and overall film expansion from simultaneous XRD and x-ray reflectivity (XRR) measurements, respectively, were performed on both films. These results demonstrate a larger out-of-plane film expansion compared to the out-of-plane lattice strain for the 1200A Nb film compared to the 200

  14. In-plane and out-of-plane defectivity in thin films of lamellar block copolymers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahadevapuram, Nikhila; Mitra, Indranil; Bozhchenko, Alona

    2015-10-29

    We investigate the ordering of poly(styrene-b-methyl methacrylate) (PS-PMMA) lamellar copolymers (periodicity L 0 = 46 nm) confined between a free surface and brushed poly(styrene-r-methyl methacrylate) silicon substrate. The processing temperature was selected to eliminate wetting layers at the top and bottom interfaces, producing approximately neutral boundaries that stabilize perpendicular domain orientations. The PS-PMMA film thickness (t = 0.5L 0–2.5L 0) and brush grafting density (Σ = 0.2–0.6 nm –2) were systematically varied to examine their impacts on in-plane and out-of-plane ordering. Samples were characterized with a combination of high-resolution microscopy, X-ray reflectivity, and grazing-incidence small-angle X-ray scattering. In-plane order atmore » the top of the film (quantified through calculation of orientational correlation lengths) improved with t n, where the exponent n increased from 0.75 to 1 as Σ decreased from 0.6 to 0.2 nm –2. Out-of-plane defects such as tilted domains were detected in all films, and the distribution of domain tilt angles was nearly independent of t and Σ. These studies demonstrate that defectivity in perpendicular lamellar phases is three-dimensional, comprised of in-plane topological defects and out-of-plane domain tilt, with little or no correlation between these two types of disorder. As a result, strong interactions between the block copolymer and underlying substrate may trap both kinds of thermally generated defects.« less

  15. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  16. Expected progress based on aluminium galium nitride Focal Plan Array for near and deep Ultraviolet

    NASA Astrophysics Data System (ADS)

    Reverchon, J.-L.; Robin, K.; Bansropun, S.; Gourdel, Y.; Robo, J.-A.; Truffer, J.-P.; Costard, E.; Brault, J.; Frayssinet, E.; Duboz, J.-Y.

    The fast development of nitrides has given the opportunity to investigate AlGaN as a material for ultraviolet detection. A camera based on such a material presents an extremely low dark current at room temperature. It can compete with technologies based on photocathodes, MCP intensifiers, back thinned CCD or hybrid CMOS focal plane arrays for low flux measurements. First, we will present results on focal plane array of 320 × 256 pixels with a pitch of 30 μm. The peak responsivity is tuned from 260 nm to 360 nm in different cameras. All these results are obtained in a standard SWIR supply chaine and with AlGaN Schottky diodes grown on sapphire. We will present here the first attempts to transfer the standard design Schottky photodiodes on from sapphire to silicon substrates. We will show the capability to remove the silicon substrate, to etch the window layer in order to extend the band width to lower wavelength and to maintain the AlGaN membrane integrity.

  17. Development of High-Performance eSWIR HgCdTe-Based Focal-Plane Arrays on Silicon Substrates

    NASA Astrophysics Data System (ADS)

    Park, J. H.; Pepping, J.; Mukhortova, A.; Ketharanathan, S.; Kodama, R.; Zhao, J.; Hansel, D.; Velicu, S.; Aqariden, F.

    2016-09-01

    We report the development of high-performance and low-cost extended short-wavelength infrared (eSWIR) focal-plane arrays (FPAs) fabricated from molecular beam epitaxial (MBE)-grown HgCdTe on Si-based substrates. High-quality n-type eSWIR HgCdTe (cutoff wavelength ˜2.68 μm at 77 K, electron carrier concentration 5.82 × 1015 cm-3) layers were grown on CdTe/Si substrates by MBE. High degrees of uniformity in composition and thickness were demonstrated over three-inch areas, and low surface defect densities (voids 9.56 × 101 cm-2, micro-defects 1.67 × 103 cm-2) were measured. This material was used to fabricate 320 × 256 format, 30 μm pitch FPAs with a planar device architecture using arsenic implantation to achieve p-type doping. The dark current density of test devices showed good uniformity between 190 K and room temperature, and high-quality eSWIR imaging from hybridized FPAs was obtained with a median dark current density of 2.63 × 10-7 A/cm2 at 193 K with a standard deviation of 1.67 × 10-7 A/cm2.

  18. Epitaxial growth of ReS2(001) thin film via deposited-Re sulfurization

    NASA Astrophysics Data System (ADS)

    Urakami, Noriyuki; Okuda, Tetsuya; Hashimoto, Yoshio

    2018-02-01

    In this paper, we present the formation of large-size rhenium disulfide (ReS2) films via the sulfurization of Re films deposited on sapphire substrates. The effects of sulfurization temperature and pressure on the crystal quality were investigated. A [001]-oriented single crystal of ReS2 films with 6 × 10 mm2 area was realized. By sulfurizing Re films at 1100 °C, ReS2 films with well-defined sharp interfaces to c-plane sapphire substrates could be formed. Below and above the sulfurization temperature of 1100 °C, incomplete sulfurization and film degradation were observed. The twofold symmetry of the monocrystalline in-plane structure composed of Re-Re bonds along with Re-S bonds pointed to a distorted 1T structure, indicating that this structure is the most stable atomic arrangement for ReS2. For a S/Re compositional ratio equal to or slightly lower than 2.0, characteristic Raman vibrational modes with the narrowest line widths were observed. The typical absorption peak of ReS2 can be detected at 1.5 eV.

  19. Investigations of gain redshift in high peak power Ti:sapphire laser systems

    NASA Astrophysics Data System (ADS)

    Wu, Fenxiang; Yu, Linpeng; Zhang, Zongxin; Li, Wenkai; Yang, Xiaojun; Wu, Yuanfeng; Li, Shuai; Wang, Cheng; Liu, Yanqi; Lu, Xiaoming; Xu, Yi; Leng, Yuxin

    2018-07-01

    Gain redshift in high peak power Ti:sapphire laser systems can result in narrowband spectral output and hence lengthen the compressed pulse duration. In order to realize broadband spectral output in 10 PW-class Ti:sapphire lasers, the influence on gain redshift induced by spectral pre-shaping, gain distribution of cascaded amplifiers and Extraction During Pumping (EDP) technique have been investigated. The theoretical and experimental results show that the redshift of output spectrum is sensitive to the spectral pre-shaping and the gain distribution of cascaded amplifiers, while insensitive to the pumping scheme with or without EDP. Moreover, the output spectrum from our future 10 PW Ti:sapphire laser is theoretically analyzed based on the investigations above, which indicates that a Fourier-transform limited (FTL) pulse duration of 21 fs can be achieved just by optimizing the spectral pre-shaping and gain distribution in 10 PW-class Ti:sapphire lasers.

  20. Quantum-Well Infrared Photodetector (QWIP) Focal Plane Assembly

    NASA Technical Reports Server (NTRS)

    Jhabvala, Murzy; Jhabvala, Christine A.; Ewin, Audrey J.; Hess, Larry A.; Hartmann, Thomas M.; La, Anh T.

    2012-01-01

    A paper describes the Thermal Infrared Sensor (TIRS), a QWIP-based instrument intended to supplement the Operational Land Imager (OLI) for the Landsat Data Continuity Mission (LDCM). The TIRS instrument is a far-infrared imager operating in the pushbroom mode with two IR channels: 10.8 and 12 microns. The focal plane will contain three 640x512 QWIP arrays mounted on a silicon substrate. The silicon substrate is a custom-fabricated carrier board with a single layer of aluminum interconnects. The general fabrication process starts with a 4-in. (approx.10-cm) diameter silicon wafer. The wafer is oxidized, a single substrate contact is etched, and aluminum is deposited, patterned, and alloyed. This technology development is aimed at incorporating three large-format infrared detecting arrays based on GaAs QWIP technology onto a common focal plane with precision alignment of all three arrays. This focal plane must survive the rigors of flight qualification and operate at a temperature of 43 K (-230 C) for five years while orbiting the Earth. The challenges presented include ensuring thermal compatibility among all the components, designing and building a compact, somewhat modular system and ensuring alignment to very tight levels. The multi-array focal plane integrated onto a single silicon substrate is a new application of both QWIP array development and silicon wafer scale integration. The Invar-based assembly has been tested to ensure thermal reliability.

  1. [Evaluation of the Abbott Cell-Dyn Sapphire hematology analyzer].

    PubMed

    Park, Younhee; Song, Jaewoo; Song, Sungwook; Song, Kyung Soon; Ahn, Mee Suk; Yang, Mi-Sook; Kim, Il; Choi, Jong Rak

    2007-06-01

    The performance of Cell-Dyn Sapphire (Abbott Diagnostic, USA) was compared to the Bayer Advia 2120 (Bayer Diagnostics, USA), Sysmex XE-2100 (Sysmex Corporation, Japan), and reference microscopy. Three hundred samples for routine CBC and WBC differentials were randomly chosen for a comparison analysis. The Cell-Dyn Sapphire system was evaluated according to the linearity, imprecision, inter-instrument correlations, and white blood cell differential. The CBC parameters (WBC, RBC, hemoglobin and platelet) showed a significant linearity with correlation coefficients greater than 0.99 (P<0.0001). Coefficients of variation (CV) for within-run and differential count of WBC were less than 5% except for Total CV for monocytes, eosinophils, and basophils and within-run CV for low valued eosinophils. The correlation coefficients with manual count were lower in monocytes, eosinophils, and basophils than in neutrophils and lymphocytes. The correlation with other hematology anlayzers was significant exclusive of basophils. These results demonstrate that the Cell-Dyn Sapphire has a good linearity, an acceptable reproducibility, a minimal carryover, and a comparable performance with the sysmex XE-2100 and Advia 2120.

  2. Development of a templated approach to fabricate diamond patterns on various substrates.

    PubMed

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  3. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  4. Evolution of morphological and optical properties of self-assembled Ag nanostructures on c-plane sapphire (0001) by the precise control of deposition amount

    NASA Astrophysics Data System (ADS)

    Kunwar, Sundar; Li, Ming-Yu; Pandey, Puran; Sui, Mao; Zhang, Quanzhen; Lee, Jihoon

    2016-12-01

    Silver (Ag) nanoparticles (NPs) have been widely adapted in various optoelectronic and sensing applications due to the size, shape and density dependent tunable properties. In this work, the systematic control of the size, configuration and density of self-assembled Ag nanostructures on c-plane sapphire (0001) is demonstrated through the solid state dewetting process by the variation of deposition amount (DA) at two distinctive temperature of 400 °C and 650 °C. The corresponding morphological evolution of Ag nanostructures is systematically discussed based on the diffusion, Volmer-Weber and coalescence growth model. In specific, at the relatively lower temperature of 400 °C, the Ag nanostructures evolve in three distinctive regimes based on the DA control: i.e. the dome-shaped Ag NPs between 2 and 14 nm (regime I), the irregular nano-mounds (NMs) between 20 and 40 nm (regime II), and the coalescence of Ag NMs into a layer between 60 and 200 nm (regime III). Meanwhile, at the relatively higher temperature of 650 °C, due to growth regime shift induced by the enhanced surface diffusion based on the increased thermal energy, the connected Ag NMs are resulted even at higher DAs and evolve along with the gradually increased DAs. The evolution of optical properties such as average reflectivity, plasmonic absorption band and the reflectance maxima (peaks) very sensitively respond to the evolution of size, shape and spacing of Ag nanostructures and discussed based on the surface plasmon, reflection and scattering. Specifically, the dome-shaped configuration exhibits strong absorption in the NIR region and weak absorption in visible region while the elongated NMs show the enhanced absorption in visible region. Furthermore, the Raman spectra (A 1g vibrational mode) of the Ag nanostructures demonstrate the strong correlation with the evolution of size, density and surface coverage of the nanostructures.

  5. Single crystal growth of submillimeter diameter sapphire tube by the micro-pulling down method

    NASA Astrophysics Data System (ADS)

    Kamada, Kei; Murakami, Rikito; Kochurikhin, Vladimir V.; Luidmila, Gushchina; Jin Kim, Kyoung; Shoji, Yasuhiro; Yamaji, Akihiro; Kurosawa, Shunsuke; Ohashi, Yuji; Yokota, Yuui; Yoshikawa, Akira

    2018-06-01

    This paper addresses several aspects of the μ-PD growth technology as applied to submillimeter diameter sapphire tubes for UFD application. The μ-PD method has been successfully adapted for single crystal sapphire tube growth. A compound crucible made possible the growth of single crystal sapphire tube as small as around 0.70-0.72 mm in outer diameter and 0.28-0.29 in inner diameter over 160 mm in length at growth rate of 2-4 mm/min along 〈0 0 1〉 direction. An Ir crucible with a die composed of an equivalent hole and Ir wire was heated by RF coil in N2 atmosphere. The μ-PD method has been successfully adapted for single crystal sapphire tube growth. Grown crystal tube showed good XRC value of 30.2 arcsec.

  6. Quasi ?non-destructive? laser ablation-inductively coupled plasma-mass spectrometry fingerprinting of sapphires

    NASA Astrophysics Data System (ADS)

    Guillong, M.; Günther, D.

    2001-07-01

    A homogenized 193 nm excimer laser with a flat-top beam profile was used to study the capabilities of LA-ICP-MS for 'quasi' non-destructive fingerprinting and sourcing of sapphires from different locations. Sapphires contain 97-99% of Al 2O 3 (corundum), with the remainder composed of several trace elements, which can be used to distinguish the origin of these gemstones. The ablation behavior of sapphires, as well as the minimum quantity of sample removal that is required to determine these trace elements, was investigated. The optimum ablation conditions were a fluency of 6 J cm -2, a crater diameter of 120 μm, and a laser repetition rate of 10 Hz. The optimum time for the ablation was determined to be 2 s, equivalent to 20 laser pulses. The mean sample removal was 60 nm per pulse (approx. 3 ng per pulse). This allowed satisfactory trace element determination, and was found to cause the minimum amount of damage, while allowing for the fingerprinting of sapphires. More than 40 isotopes were measured using different spatial resolutions (20-120 μm) and eight elements were reproducibly detected in 25 sapphire samples from five different locations. The reproducibility of the trace element distribution is limited by the heterogeneity of the sample. The mean of five or more replicate analyses per sample was used. Calibration was carried out using NIST 612 glass reference material as external standard. The linear dynamic range of the ICP-MS (nine orders of magnitude) allowed the use of Al, the major element in sapphire, as an internal standard. The limits of detection for most of the light elements were in the μg g -1 range and were better for heavier elements (mass >85), being in the 0.1 μg g -1 range. The accuracy of the determinations was demonstrated by comparison with XRF analyses of the same set of samples. Using the quantitative analyses obtained using LA-ICP-MS, natural sapphires from five different origins were statistically classified using ternary plots and

  7. Influence of the substrate material on the optical properties of tungsten diselenide monolayers

    NASA Astrophysics Data System (ADS)

    Lippert, Sina; Schneider, Lorenz Maximilian; Renaud, Dylan; Kang, Kyung Nam; Ajayi, Obafunso; Kuhnert, Jan; Halbich, Marc-Uwe; Abdulmunem, Oday M.; Lin, Xing; Hassoon, Khaleel; Edalati-Boostan, Saeideh; Duck Kim, Young; Heimbrodt, Wolfram; Yang, Eui-Hyeok; Hone, James C.; Rahimi-Iman, Arash

    2017-06-01

    Monolayers of transition-metal dichalcogenides such as WSe2 have become increasingly attractive due to their potential in electrical and optical applications. Because the properties of these 2D systems are known to be affected by their surroundings, we report how the choice of the substrate material affects the optical properties of monolayer WSe2. To accomplish this study, pump-density-dependent micro-photoluminescence measurements are performed with time-integrating and time-resolving acquisition techniques. Spectral information and power-dependent mode intensities are compared at 290 K and 10 K for exfoliated WSe2 on SiO2/Si, sapphire (Al2O3), hBN/Si3N4/Si, and MgF2, indicating substrate-dependent appearance and strength of exciton, trion, and biexciton modes. Additionally, one CVD-grown WSe2 monolayer on sapphire is included in this study for direct comparison with its exfoliated counterpart. Time-resolved micro-photoluminescence shows how radiative decay times strongly differ for different substrate materials. Our data indicates exciton-exciton annihilation as a shortening mechanism at room temperature, and subtle trends in the decay rates in correlation to the dielectric environment at cryogenic temperatures. On the measureable time scales, trends are also related to the extent of the respective 2D-excitonic modes’ appearance. This result highlights the importance of further detailed characterization of exciton features in 2D materials, particularly with respect to the choice of substrate.

  8. Deep-UV sensors based on SAW oscillators using low-temperature-grown AlN films on sapphires.

    PubMed

    Laksana, Chipta; Chen, Meei-Ru; Liang, Yen; Tzou, An-Jyeg; Kao, Hui-Ling; Jeng, Erik; Chen, Jyh; Chen, Hou-Guang; Jian, Sheng-Rui

    2011-08-01

    High-quality epitaxial AlN films were deposited on sapphire substrates at low growth temperature using a helicon sputtering system. SAW filters fabricated on the AlN films exhibited excellent characteristics, with center frequency of 354.2 MHz, which corresponds to a phase velocity of 5667 m/s. An oscillator fabricated using AlN-based SAW devices is presented and applied to deep-UV light detection. A frequency downshift of about 43 KHz was observed when the surface of SAW device was illuminated by a UV source with dominant wavelength of around 200 nm. The results indicate the feasibility of developing remote sensors for deep-UV measurement using AlN-based SAW oscillators.

  9. Generation of continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling of a Ti:sapphire laser.

    PubMed

    Cha, Yong-Ho; Ko, Kwang-Hoon; Lim, Gwon; Han, Jae-Min; Park, Hyun-Min; Kim, Taek-Soo; Jeong, Do-Young

    2010-03-20

    We have generated continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling a high-power Ti:sapphire laser in an external enhancement cavity. An LBO crystal that is Brewster-cut and antireflection coated on both ends is used for a long-term stable frequency doubling. By optimizing the input coupler's reflectivity, we could generate 1.5 W 378 nm radiation from a 5 W 756 nm Ti:sapphire laser. According to our knowledge, this is the highest CW frequency-doubled power of a Ti:sapphire laser.

  10. High internal quantum efficiency ultraviolet to green luminescence peaks from pseudomorphic m-plane Al{sub 1−x}In{sub x}N epilayers grown on a low defect density m-plane freestanding GaN substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chichibu, S. F., E-mail: chichibulab@yahoo.co.jp; Hazu, K.; Furusawa, K.

    2014-12-07

    Structural and optical qualities of half-a-μm-thick m-plane Al{sub 1−x}In{sub x}N epilayers grown by metalorganic vapor phase epitaxy were remarkably improved via coherent growth on a low defect density m-plane freestanding GaN substrate prepared by hydride vapor phase epitaxy. All the epilayers unexceptionally suffer from uniaxial or biaxial anisotropic in-plane stress. However, full-width at half-maximum values of the x-ray ω-rocking curves were nearly unchanged as the underlayer values being 80 ∼ 150 arc sec for (101{sup ¯}0) and (101{sup ¯}2) diffractions with both 〈0001〉 and 〈112{sup ¯}0〉 azimuths, as long as pseudomorphic structure was maintained. Such Al{sub 1−x}In{sub x}N epilayers commonly exhibited a broadmore » but predominant luminescence peak in ultraviolet (x ≤ 0.14) to green (x = 0.30) wavelengths. Its equivalent value of the internal quantum efficiency at room temperature was as high as 67% for x = 0.14 and 44% for x = 0.30. Because its high-energy cutoff commonly converged with the bandgap energy, the emission peak is assigned to originate from the extended near-band-edge states with strong carrier localization.« less

  11. Development of the vertical Bridgman technique for 6-inch diameter c-axis sapphire growth supported by numerical simulation

    NASA Astrophysics Data System (ADS)

    Miyagawa, Chihiro; Kobayashi, Takumi; Taishi, Toshinori; Hoshikawa, Keigo

    2014-09-01

    Based on the growth of 3-inch diameter c-axis sapphire using the vertical Bridgman (VB) technique, numerical simulations were made and used to guide the growth of a 6-inch diameter sapphire. A 2D model of the VB hot-zone was constructed, the seeding interface shape of the 3-inch diameter sapphire as revealed by green laser scattering was estimated numerically, and the temperature distributions of two VB hot-zone models designed for 6-inch diameter sapphire growth were numerically simulated to achieve the optimal growth of large crystals. The hot-zone model with one heater was selected and prepared, and 6-inch diameter c-axis sapphire boules were actually grown, as predicted by the numerical results.

  12. 276 nm Substrate-Free Flip-Chip AlGaN Light-Emitting Diodes

    NASA Astrophysics Data System (ADS)

    Hwang, Seongmo; Morgan, Daniel; Kesler, Amanda; Lachab, Mohamed; Zhang, Bin; Heidari, Ahmad; Nazir, Haseeb; Ahmad, Iftikhar; Dion, Joe; Fareed, Qhalid; Adivarahan, Vinod; Islam, Monirul; Khan, Asif

    2011-03-01

    Lateral-conduction, substrate-free flip-chip (SFFC) light-emitting diodes (LEDs) with peak emission at 276 nm are demonstrated for the first time. The AlGaN multiple quantum well LED structures were grown by metal-organic chemical vapor deposition (MOCVD) on thick-AlN laterally overgrown on sapphire substrates. To fabricate the SFFC LEDs, a newly-developed laser-assisted ablation process was employed to separate the substrate from the LED chips. The chips had physical dimensions of 1100×900 µm2, and were comprised of four devices each with a 100×100 µm2 junction area. Electrical and optical characterization of the devices revealed no noticeable degradation to their performance due to the laser-lift-off process.

  13. Network of vertically c-oriented prismatic InN nanowalls grown on c-GaN/sapphire template by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Barick, B. K.; Saroj, Rajendra Kumar; Prasad, Nivedita; Sutar, D. S.; Dhar, S.

    2018-05-01

    Networks of vertically c-oriented prism shaped InN nanowalls, are grown on c-GaN/sapphire templates using a CVD technique, where pure indium and ammonia are used as metal and nitrogen precursors. A systematic study of the growth, structural and electronic properties of these samples shows a preferential growth of the islands along [ 1 1 2 bar 0 ] and [0 0 0 1] directions leading to the formation of such a network structure, where the vertically [0 0 0 1] oriented tapered walls are laterally align along one of the three [ 1 1 2 bar 0 ] directions. Inclined facets of these walls are identified as semipolar (1 1 2 bar 2) -planes of wurtzite InN. Onset of absorption for these samples is observed to be higher than the band gap of InN suggesting a high background carrier concentration in this material. Study of the valence band edge through XPS indicates the formation of positive depletion regions below the surface of the side facets [(1 1 2 bar 2) -planes] of the walls. This is in contrast with the observation for c-plane InN epilayers, where electron accumulation is often reported below the top surface.

  14. Sapphire Whispering Gallery Thermometer

    NASA Astrophysics Data System (ADS)

    Strouse, G. F.

    2007-12-01

    An innovative sapphire whispering gallery thermometer (SWGT) is being explored at the National Institute of Standards and Technology (NIST) as a potential replacement for a standard platinum resistance thermometer (SPRT) for industrial applications that require measurement uncertainties of ≤ 10 mK. The NIST SWGT uses a synthetic sapphire monocrystalline disk configured as a uniaxial, dielectric resonator with whispering gallery modes between 14 GHz and 20 GHz and with Q-factors as large as 90,000. The prototype SWGT stability at the ice melting point (0°C) is ≤ 1 mK with a frequency resolution equivalent to 0.05 mK. The prototype SWGT measurement uncertainty ( k= 1) is 10 mK from 0°C to 100°C for all five resonance modes studied. These results for the SWGT approach the capabilities of industrial resistance thermometers. The SWGT promises greatly increased resistance to mechanical shock relative to SPRTs, over the range from -196°C to 500°C while retaining the low uncertainties needed by secondary calibration laboratories. The temperature sensitivity of the SWGT depends upon a well-defined property (the refractive index at microwave frequencies) and the thermal expansion of a pure material. Therefore, it is expected that SWGTs can be calibrated over a wide temperature range using a reference function, along with deviations measured at a few fixed points. This article reports the prototype SWGT stability, resolution, repeatability, and the temperature dependence of five whispering gallery resonance frequencies in the range from 0°C to 100°C.

  15. Chemically stabilized epitaxial wurtzite-BN thin film

    NASA Astrophysics Data System (ADS)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along <0001> direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  16. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  17. Properties Data for Adhesion and Surface Chemistry of Aluminum: Sapphire-Aluminum, Single-Crystal Couple

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pohlchuck, Bobby; Whitle, Neville C.; Hector, Louis G., Jr.; Adams, Jim

    1998-01-01

    An investigation was conducted to examine the adhesion and surface chemistry of single-crystal aluminum in contact with single-crystal sapphire (alumina). Pull-off force (adhesion) measurements were conducted under loads of 0. I to I mN in a vacuum of 10(exp -1) to 10(exp -9) Pa (approx. 10(exp -10) to 10(exp -11) torr) at room temperature. An Auger electron spectroscopy analyzer incorporated directly into an adhesion-measuring vacuum system was primarily used to define the chemical nature of the surfaces before and after adhesion measurements. The surfaces were cleaned by argon ion sputtering. With a clean aluminum-clean -sapphire couple the mean value and standard deviation of pull-off forces required to separate the surfaces were 3015 and 298 micro-N, respectively. With a contaminated aluminum-clean sapphire couple these values were 231 and 241 micro-N. The presence of a contaminant film on the aluminum surface reduced adhesion by a factor of 13. Therefore, surfaces cleanliness, particularly aluminum cleanliness, played an important role in the adhesion of the aluminum-sapphire couples. Pressures on the order of 10(exp -8) to 10(exp -9) Pa (approx. 10(exp -10) to 10(exp -11) torr) maintained a clean aluminum surface for only a short time (less then 1 hr) but maintained a clean sapphire surface, once it was achieved, for a much longer time.

  18. Mosaic structure in epitaxial thin films having large lattice mismatch

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Speck, J. S.; Clarke, D. R.

    1997-11-01

    Epitaxial films having a large lattice mismatch with their substrate invariably form a mosaic structure of slightly misoriented sub-grains. The mosaic structure is usually characterized by its x-ray rocking curve on a surface normal reflection but this is limited to the out-of-plane component unless off-axis or transmission experiments are performed. A method is presented by which the in-plane component of the mosaic misorientation can be determined from the rocking curves of substrate normal and off-axis reflections. Results are presented for two crystallographically distinct heteroepitaxial systems, ZnO, AlN, and GaN (wurtzite crystal structure) on c-plane sapphire and MgO (rock salt crystal structure) on (001) GaAs. The differences in the mosaic structure of these films are attributed to the crystallographic nature of their lattice dislocations.

  19. Characterization of the Performance of Sapphire Optical Fiber in Intense Radiation Fields, when Subjected to Very High Temperatures

    NASA Astrophysics Data System (ADS)

    Petrie, Christian M.

    The U.S. Department of Energy is interested in extending optically-based instrumentation from non-extreme environments to extremely high temperature radiation environments for the purposes of developing in-pile instrumentation. The development of in-pile instrumentation would help support the ultimate goal of understanding the behavior and predicting the performance of nuclear fuel systems at a microstructural level. Single crystal sapphire optical fibers are a promising candidate for in-pile instrumentation due to the high melting temperature and radiation hardness of sapphire. In order to extend sapphire fiber-based optical instrumentation to high temperature radiation environments, the ability of sapphire fibers to adequately transmit light in such an environment must first be demonstrated. Broadband optical transmission measurements of sapphire optical fibers were made in-situ as the sapphire fibers were heated and/or irradiated. The damage processes in sapphire fibers were also modeled from the primary knock-on event from energetic neutrons to the resulting damage cascade in order to predict the formation of stable defects that ultimately determine the resulting change in optical properties. Sapphire optical fibers were shown to withstand temperatures as high as 1300 °C with minimal increases in optical attenuation. A broad absorption band was observed to grow over time without reaching a dynamic equilibrium when the sapphire fiber was heated at temperatures of 1400 °C and above. The growth of this absorption band limits the use of sapphire optical fibers, at least in air, to temperatures of 1300 °C and below. Irradiation of sapphire fibers with gamma rays caused saturation of a defect center located below 500 nm, and extending as far as ~1000 nm, with little effect on the transmission at 1300 and 1550 nm. Increasing temperature during gamma irradiation generally reduced the added attenuation. Reactor irradiation of sapphire fibers caused an initial rapid

  20. Hydride vapor phase epitaxy of AlN using a high temperature hot-wall reactor

    NASA Astrophysics Data System (ADS)

    Baker, Troy; Mayo, Ashley; Veisi, Zeinab; Lu, Peng; Schmitt, Jason

    2014-10-01

    Aluminum nitride (AlN) was grown on c-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). The experiments utilized a two zone inductively heated hot-wall reactor. The surface morphology, crystal quality, and growth rate were investigated as a function of growth temperature in the range of 1450-1575 °C. AlN templates grown to a thickness of 1 μm were optimized with double axis X-ray diffraction (XRD) rocking curve full width half maximums (FWHMs) of 135″ for the (002) and 513″ for the (102).

  1. Deconvoluting the Photonic and Electronic Response of 2D Materials: The Case of MoS2.

    PubMed

    Zhang, Kehao; Borys, Nicholas J; Bersch, Brian M; Bhimanapati, Ganesh R; Xu, Ke; Wang, Baoming; Wang, Ke; Labella, Michael; Williams, Teague A; Haque, Md Amanul; Barnard, Edward S; Fullerton-Shirey, Susan; Schuck, P James; Robinson, Joshua A

    2017-12-05

    Evaluating and tuning the properties of two-dimensional (2D) materials is a major focus of advancing 2D science and technology. While many claim that the photonic properties of a 2D layer provide evidence that the material is "high quality", this may not be true for electronic performance. In this work, we deconvolute the photonic and electronic response of synthetic monolayer molybdenum disulfide. We demonstrate that enhanced photoluminescence can be robustly engineered via the proper choice of substrate, where growth of MoS 2 on r-plane sapphire can yield >100x enhancement in PL and carrier lifetime due to increased molybdenum-oxygen bonding compared to that of traditionally grown MoS 2 on c-plane sapphire. These dramatic enhancements in optical properties are similar to those of super-acid treated MoS 2 , and suggest that the electronic properties of the MoS 2 are also superior. However, a direct comparison of the charge transport properties indicates that the enhanced PL due to increased Mo-O bonding leads to p-type compensation doping, and is accompanied by a 2x degradation in transport properties compared to MoS 2 grown on c-plane sapphire. This work provides a foundation for understanding the link between photonic and electronic performance of 2D semiconducting layers, and demonstrates that they are not always correlated.

  2. Deconvoluting the Photonic and Electronic Response of 2D Materials: The Case of MoS 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Kehao; Borys, Nicholas J.; Bersch, Brian M.

    Evaluating and tuning the properties of two-dimensional (2D) materials is a major focus of advancing 2D science and technology. While many claim that the photonic properties of a 2D layer provide evidence that the material is "high quality", this may not be true for electronic performance. In this work, we deconvolute the photonic and electronic response of synthetic monolayer molybdenum disulfide. We demonstrate that enhanced photoluminescence can be robustly engineered via the proper choice of substrate, where growth of MoS 2 on r-plane sapphire can yield > 100x enhancement in PL and carrier lifetime due to increased molybdenum-oxygen bonding comparedmore » to that of traditionally grown MoS 2 on c-plane sapphire. These dramatic enhancements in optical properties are similar to those of super-acid treated MoS 2 , and suggest that the electronic properties of the MoS 2 are also superior. However, a direct comparison of the charge transport properties indicates that the enhanced PL due to increased Mo-O bonding leads to p-type compensation doping, and is accompanied by a 2x degradation in transport properties compared to MoS 2 grown on c-plane sapphire. This work provides a foundation for understanding the link between photonic and electronic performance of 2D semiconducting layers, and demonstrates that they are not always correlated.« less

  3. Deconvoluting the Photonic and Electronic Response of 2D Materials: The Case of MoS 2

    DOE PAGES

    Zhang, Kehao; Borys, Nicholas J.; Bersch, Brian M.; ...

    2017-12-05

    Evaluating and tuning the properties of two-dimensional (2D) materials is a major focus of advancing 2D science and technology. While many claim that the photonic properties of a 2D layer provide evidence that the material is "high quality", this may not be true for electronic performance. In this work, we deconvolute the photonic and electronic response of synthetic monolayer molybdenum disulfide. We demonstrate that enhanced photoluminescence can be robustly engineered via the proper choice of substrate, where growth of MoS 2 on r-plane sapphire can yield > 100x enhancement in PL and carrier lifetime due to increased molybdenum-oxygen bonding comparedmore » to that of traditionally grown MoS 2 on c-plane sapphire. These dramatic enhancements in optical properties are similar to those of super-acid treated MoS 2 , and suggest that the electronic properties of the MoS 2 are also superior. However, a direct comparison of the charge transport properties indicates that the enhanced PL due to increased Mo-O bonding leads to p-type compensation doping, and is accompanied by a 2x degradation in transport properties compared to MoS 2 grown on c-plane sapphire. This work provides a foundation for understanding the link between photonic and electronic performance of 2D semiconducting layers, and demonstrates that they are not always correlated.« less

  4. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    NASA Astrophysics Data System (ADS)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  5. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    NASA Astrophysics Data System (ADS)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  6. Bonding Lexan and sapphire to form high-pressure, flame-resistant window

    NASA Technical Reports Server (NTRS)

    Richardson, William R.; Walker, Ernie D.

    1987-01-01

    Flammable materials have been studied in normal gravity and microgravity for many years. Photography plays a major role in the study of the combustion process giving a permanent visual record that can be analyzed. When these studies are extended to manned spacecraft, safety becomes a primary concern. The need for a high-pressure, flame-resistant, shatter-resistant window permitting photographic recording of combustion experiments in manned spacecraft prompted the development of a method for bonding Lexan and sapphire. Materials that resist shattering (e.g., Lexan) are not compatible with combustion experiments; the material loses strength at combustion temperatures. Sapphire is compatible with combustion temperatures in oxygen-enriched atmospheres but is subject to shattering. Combining the two materials results in a shatter-resistant, flame-resistant window. Combustion in microgravity produces a low-visibility flame; however, flame propagation and flame characteristics are readily visible as long as there is no deterioration of the image. Since an air gap between the Lexan and the sapphire would reduce transmission, a method was developed for bonding these unlike materials to minimize light loss.

  7. Temperature Compensated Sapphire Resonator for Ultrastable Oscillator Operating at Temperatures Near 77 Deg Kelvin

    NASA Technical Reports Server (NTRS)

    Dick, G. John (Inventor); Santiago, David G. (Inventor)

    1999-01-01

    A sapphire resonator for an ultrastable oscillator capable of substantial performance improvements over the best available crystal quartz oscillators in a compact cryogenic package is based on a compensation mechanism enabled by the difference between copper and sapphire thermal expansion coefficients for so tuning the resonator as to cancel the temperature variation of the sapphire's dielectric constant. The sapphire resonator consists of a sapphire ring separated into two parts with webs on the outer end of each to form two re-entrant parts which are separated by a copper post. The re-entrant parts are bonded to the post by indium solder for good thermal conductivity between parts of that subassembly which is supported on the base plate of a closed copper cylinder (rf shielding casing) by a thin stainless steel cylinder. A unit for temperature control is placed in the stainless steel cylinder and is connected to the subassembly of re-entrant parts and copper post by a layer of indium for good thermal conduction. In normal use, the rf shielding casing is placed in a vacuum tank which is in turn placed in a thermos flask of liquid nitrogen. The temperature regulator is controlled from outside the thermos flask to a temperature in a range of about 40K to 150K, such as 87K for the WGH-811, mode of resonance in response to microwave energy inserted into the rf shielding casing through a port from an outside source.

  8. Revealing the Crystalline Integrity of Wafer-Scale Graphene on SiO2/Si: An Azimuthal RHEED Approach.

    PubMed

    Lu, Zonghuan; Sun, Xin; Xiang, Yu; Washington, Morris A; Wang, Gwo-Ching; Lu, Toh-Ming

    2017-07-12

    The symmetry of graphene is usually determined by a low-energy electron diffraction (LEED) method when the graphene is on the conductive substrates, but LEED cannot handle graphene transferred to SiO 2 /Si substrates due to the charging effect. While transmission electron microscopy can generate electron diffraction on post-transferred graphene, this method is too localized. Herein, we employed an azimuthal reflection high-energy electron diffraction (RHEED) method to construct the reciprocal space mapping and determine the symmetry of wafer-size graphene both pre- and post-transfer. In this work, single-crystalline Cu(111) films were prepared on sapphire(0001) and spinel(111) substrates with sputtering. Then the graphene was epitaxially grown on single-crystalline Cu(111) films with a low pressure chemical vapor deposition. The reciprocal space mapping using azimuthal RHEED confirmed that the graphene grown on Cu(111) films was single-crystalline, no matter the form of the monolayer or multilayer structure. While the Cu(111) film grown on sapphire(0001) may occasionally consist of 60° in-plane rotational twinning, the reciprocal space mapping revealed that the in-plane orientation of graphene grown atop was not affected. The proposed method for checking the crystalline integrity of the post-transferred graphene sheets is an important step in the realization of the graphene as a platform to fabricate electronic and optoelectronic devices.

  9. Implementation of ZnO/ZnMgO strained-layer superlattice for ZnO heteroepitaxial growth on sapphire

    NASA Astrophysics Data System (ADS)

    Petukhov, Vladimir; Bakin, Andrey; Tsiaoussis, Ioannis; Rothman, Johan; Ivanov, Sergey; Stoemenos, John; Waag, Andreas

    2011-05-01

    The main challenge in fabrication of ZnO-based devices is the absence of reliable p-type material. This is mostly caused by insufficient crystalline quality of the material and not well-enough-developed native point defect control of ZnO. At present high-quality ZnO wafers are still expensive and ZnO heteroepitaxial layers on sapphire are the most reasonable alternative to homoepitaxial layers. But it is still necessary to improve the crystalline quality of the heteroepitaxial layers. One of the approaches to reduce defect density in heteroepitaxial layers is to introduce a strained-layer superlattice (SL) that could stop dislocation propagation from the substrate-layer interface. In the present paper we have employed fifteen periods of a highly strained SL structure. The structure was grown on a conventional double buffer layer comprising of high-temperature MgO/low-temperature ZnO on sapphire. The influence of the SLs on the properties of the heteroepitaxial ZnO layers is investigated. Electrical measurements of the structure with SL revealed very high values of the carrier mobility up to 210 cm2/Vs at room temperature. Structural characterization of the obtained samples showed that the dislocation density in the following ZnO layer was not reduced. The high mobility signal appears to come from the SL structure or the SL/ZnO interface.

  10. A Completely Solid-State Tunable Ti:Sapphire Laser System

    NASA Technical Reports Server (NTRS)

    Guerra, David V.; Coyle, D. Barry; Krebs, Danny J.

    1994-01-01

    Compact, completely solid-state tunable pulsed laser system passively cooled developed for potential employment in aircraft and sounding-rocket lidar experiments. Ti:sapphire based laser system pumped with frequency-doubled diode-pumped Nd:YAG. Rugged, self-contained system extremely flexible and provides pulsed output at specific frequencies with low input-power requirements. In-situ measurements enables scientists to study upper-atmosphere dynamics. Tuning range easily extended to bands between 650-950 nm in order to study other atmospheric constituents.

  11. Polarized neutron reflectivity from monolayers of self-assembled magnetic nanoparticles.

    PubMed

    Mishra, D; Petracic, O; Devishvili, A; Theis-Bröhl, K; Toperverg, B P; Zabel, H

    2015-04-10

    We prepared monolayers of iron oxide nanoparticles via self-assembly on a bare silicon wafer and on a vanadium film sputter deposited onto a plane sapphire substrate. The magnetic configuration of nanoparticles in such a dense assembly was investigated by polarized neutron reflectivity. A theoretical model fit shows that the magnetic moments of nanoparticles form quasi domain-like configurations at remanence. This is attributed to the dipolar coupling amongst the nanoparticles.

  12. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Ziwen; Xue, Zhongying; Zhang, Miao

    Direct growth of graphene on dielectric substrates is a prerequsite for the development of graphene-based electronic and optoelectronic devices. However, the current graphene synthesis directly on dielectric substrates always involves metal contamination problem, and the direct production of graphene patterns still remains unattainable and challenging. We propose herein a semiconducting Ge-assisted chemical vapor deposition approach to directly grow monolayer graphene on arbitrary dielectric substrates. By pre-patterning of catalytic Ge layer, the graphene with desired pattern can be achieved with extreme ease. Due to the catalysis of Ge, monolayer graphene is able to form on Ge covered dielectric substrates including SiOmore » 2/Si, quartz glass and sapphire substrates. Optimization of the process parameters leads to the complete sublimation of catalytic Ge layer during or immediately after monolayer graphene formation, thus resulting in direct deposition of large-area continuous graphene on dielectric substrates. The large-area, highly conductive graphene synthesized on transparent dielectric substrate using the proposed approach has exhibited wide applications, e.g., in defogger and in thermochromic displays, with both devices possessing excellent performances.« less

  13. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

    DOE PAGES

    Wang, Ziwen; Xue, Zhongying; Zhang, Miao; ...

    2017-05-31

    Direct growth of graphene on dielectric substrates is a prerequsite for the development of graphene-based electronic and optoelectronic devices. However, the current graphene synthesis directly on dielectric substrates always involves metal contamination problem, and the direct production of graphene patterns still remains unattainable and challenging. We propose herein a semiconducting Ge-assisted chemical vapor deposition approach to directly grow monolayer graphene on arbitrary dielectric substrates. By pre-patterning of catalytic Ge layer, the graphene with desired pattern can be achieved with extreme ease. Due to the catalysis of Ge, monolayer graphene is able to form on Ge covered dielectric substrates including SiOmore » 2/Si, quartz glass and sapphire substrates. Optimization of the process parameters leads to the complete sublimation of catalytic Ge layer during or immediately after monolayer graphene formation, thus resulting in direct deposition of large-area continuous graphene on dielectric substrates. The large-area, highly conductive graphene synthesized on transparent dielectric substrate using the proposed approach has exhibited wide applications, e.g., in defogger and in thermochromic displays, with both devices possessing excellent performances.« less

  14. Growth of Low Defect Density Gallium Nitride (GaN) Films on Novel Tantalum Carbide (TaC) Substrates for Improved Device Performance

    DTIC Science & Technology

    2009-05-01

    2 Figure 2. Schematic of a Schottky diode structure (a) grown on an insulating substrate such as sapphire that requires front side...an on-axis substrate at 1000 °C taken (a) at a high magnification and (b) in a region where micropores were observed. ..........8 Figure 5. The 5 x...is useful for vertical high power devices. It can also be made insulating by growing it in a very pure state, which is useful for lateral high

  15. Influence of Cr and W alloying on the fiber-matrix interfacial shear strength in cast and directionally solidified sapphire NiAl composites

    NASA Technical Reports Server (NTRS)

    Asthana, R.; Tiwari, R.; Tewari, S. N.

    1995-01-01

    Sapphire-reinforced NiAl matrix composites with chromium or tungsten as alloying additions were synthesized using casting and zone directional solidification (DS) techniques and characterized by a fiber pushout test as well as by microhardness measurements. The sapphire-NiAl(Cr) specimens exhibited an interlayer of Cr rich eutectic at the fiber-matrix interface and a higher interfacial shear strength compared to unalloyed sapphire-NiAl specimens processed under identical conditions. In contrast, the sapphire-NiAl(W) specimens did not show interfacial excess of tungsten rich phases, although the interfacial shear strength was high and comparable to that of sapphire-NiAl(Cr). The postdebond sliding stress was higher in sapphire-NiAl(Cr) than in sapphire-NiAl(W) due to interface enrichment with chromium particles. The matrix microhardness progressively decreased with increasing distance from the interface in both DS NiAl and NiAl(Cr) specimens. The study highlights the potential of casting and DS techniques to improve the toughness and strength of NiAl by designing dual-phase microstructures in NiAl alloys reinforced with sapphire fibers.

  16. High-pressure sapphire cell for phase equilibria measurements of CO2/organic/water systems.

    PubMed

    Pollet, Pamela; Ethier, Amy L; Senter, James C; Eckert, Charles A; Liotta, Charles L

    2014-01-24

    The high pressure sapphire cell apparatus was constructed to visually determine the composition of multiphase systems without physical sampling. Specifically, the sapphire cell enables visual data collection from multiple loadings to solve a set of material balances to precisely determine phase composition. Ternary phase diagrams can then be established to determine the proportion of each component in each phase at a given condition. In principle, any ternary system can be studied although ternary systems (gas-liquid-liquid) are the specific examples discussed herein. For instance, the ternary THF-Water-CO2 system was studied at 25 and 40 °C and is described herein. Of key importance, this technique does not require sampling. Circumventing the possible disturbance of the system equilibrium upon sampling, inherent measurement errors, and technical difficulties of physically sampling under pressure is a significant benefit of this technique. Perhaps as important, the sapphire cell also enables the direct visual observation of the phase behavior. In fact, as the CO2 pressure is increased, the homogeneous THF-Water solution phase splits at about 2 MPa. With this technique, it was possible to easily and clearly observe the cloud point and determine the composition of the newly formed phases as a function of pressure. The data acquired with the sapphire cell technique can be used for many applications. In our case, we measured swelling and composition for tunable solvents, like gas-expanded liquids, gas-expanded ionic liquids and Organic Aqueous Tunable Systems (OATS)(1-4). For the latest system, OATS, the high-pressure sapphire cell enabled the study of (1) phase behavior as a function of pressure and temperature, (2) composition of each phase (gas-liquid-liquid) as a function of pressure and temperature and (3) catalyst partitioning in the two liquid phases as a function of pressure and composition. Finally, the sapphire cell is an especially effective tool to gather

  17. Controlling material birefringence in sapphire via self-assembled, sub-wavelength defects

    NASA Astrophysics Data System (ADS)

    Singh, Astha; Sharma, Geeta; Ranjan, Neeraj; Mittholiya, Kshitij; Bhatnagar, Anuj; Singh, B. P.; Mathur, Deepak; Vasa, Parinda

    2018-02-01

    Birefringence is the optical property of a material having a refractive index that depends on the polarization and propagation direction of light. Generally, this is an intrinsic optical property of a material and cannot be altered. Here, we report a novel technique—direct laser writing—that enables us to control the natural, material birefringence of sapphire over a broad range of wavelengths. The broadband form birefringence originating from self-assembled, periodic array of sub-wavelength (˜ 50-200 nm) defects created by laser writing, can enhance, suppress or maintain the material birefringence of sapphire without affecting its transparency range in visible or its surface quality.

  18. Atomic Resolution of Calcium and Oxygen Sublattices of Calcite in Ambient Conditions by Atomic Force Microscopy Using qPlus Sensors with Sapphire Tips.

    PubMed

    Wastl, Daniel S; Judmann, Michael; Weymouth, Alfred J; Giessibl, Franz J

    2015-01-01

    Characterization and imaging at the atomic scale with atomic force microscopy in biocompatible environments is an ongoing challenge. We demonstrate atomically resolved imaging of the calcite (101̅4) surface plane using stiff quartz cantilevers ("qPlus sensors", stiffness k = 1280 N/m) equipped with sapphire tips in ambient conditions without any surface preparation. With 10 atoms in one surface unit cell, calcite has a highly complex surface structure comprising three different chemical elements (Ca, C, and O). We obtain true atomic resolution of calcite in air at relative humidity ranging from 20% to 40%, imaging atomic steps and single atomic defects. We observe a great durability of sapphire tips with their Mohs hardness of 9, only one step below diamond. Depending on the state of the sapphire tip, we resolve either the calcium or the oxygen sublattice. We determine the tip termination by comparing the experimental images with simulations and discuss the possibility of chemical tip identification in air. The main challenges for imaging arise from the presence of water layers, which form on almost all surfaces and have the potential to dissolve the crystal surface. Frequency shift versus distance spectra show the presence of at least three ordered hydration layers. The measured height of the first hydration layer corresponds well to X-ray diffraction data and molecular dynamic simulations, namely, ∼220 pm. For the following hydration layers we measure ∼380 pm for the second and third layer, ending up in a total hydration layer thickness of at least 1 nm. Understanding the influence of water layers and their structure is important for surface segregation, surface reactions including reconstructions, healing of defects, and corrosion.

  19. Control of relative carrier-envelope phase slip in femtosecond Ti:sapphire and Cr:forsterite lasers.

    PubMed

    Kobayashi, Yohei; Torizuka, Kenji; Wei, Zhiyi

    2003-05-01

    We were able to control relative carrier-envelope phase slip among mode-locked Ti:sapphire and Cr:forsterite lasers by employing electronic feedback. The pulse timings of these lasers were passively synchronized with our crossing-beam technique. Since the optical-frequency ratio of Ti:sapphire and Cr:forsterite is approximately 3:2, we can observe the phase relation by superimposing the third harmonic of Cr:forsterite and the second harmonic of Ti:sapphire lasers in time and in space. The spectrum width of the locked beat note was less than 3 kHz, which corresponds to the controlled fluctuation of a cavity-length difference of less than 10 pm.

  20. Efficient evaluation of epitaxial MoS2 on sapphire by direct band structure imaging

    NASA Astrophysics Data System (ADS)

    Kim, Hokwon; Dumcenco, Dumitru; Fregnaux, Mathieu; Benayad, Anass; Kung, Yen-Cheng; Kis, Andras; Renault, Olivier; Lanes Group, Epfl Team; Leti, Cea Team

    The electronic band structure evaluation of two-dimensional metal dichalcogenides is critical as the band structure can be greatly influenced by the film thickness, strain, and substrate. Here, we performed a direct measurement of the band structure of as-grown monolayer MoS2 on single crystalline sapphire by reciprocal-space photoelectron emission microscopy with a conventional laboratory ultra-violet He I light source. Arrays of gold electrodes were deposited onto the sample in order to avoid charging effects due to the insulating substrate. This allowed the high resolution mapping (ΔE = 0.2 eV Δk = 0.05 Å-1) of the valence states in momentum space down to 7 eV below the Fermi level. The high degree of the epitaxial alignment of the single crystalline MoS2 nuclei was verified by the direct momentum space imaging over a large area containing multiple nuclei. The derived values of the hole effective mass were 2.41 +/-0.05 m0 and 0.81 +/-0.05 m0, respectively at Γ and K points, consistent with the theoretical values of the freestanding monolayer MoS2 reported in the literature. HK acknowledges the french CEA Basic Technological Research program (RTB) for funding.

  1. Epitaxy, strain, and composition effects on metal-insulator transition characteristics of SmNiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aydogdu, Gulgun H.; Ha, Sieu D.; Viswanath, B.

    SmNiO{sub 3} (SNO) thin films were deposited on LaAlO{sub 3} (LAO), SrTiO{sub 3}, SrLaAlO{sub 4}, Si, and Al{sub 2}O{sub 3} (sapphire) substrates by RF magnetron sputtering and studies were conducted to understand how film structure and composition influence the insulator-metal transition properties. It is observed that the compressive strain induces the insulator to metal transition (MIT), while tensile strain suppresses it. In the case of non-epitaxial films, semiconducting behavior is obtained on sapphire over a broad temperature range, while on heavily-doped Si substrate; an MIT is seen in out-of-plane resistance measurement. In addition, thickness dependence on the resistance behavior andmore » nickel oxidation state has been examined for epitaxial SNO films on LAO substrates. Fine control of the MIT by modifications to the mismatch strain and thickness provides insights to enhance the performance and the functionality of these films for emerging electron devices.« less

  2. High-harmonic generation by field enhanced femtosecond pulses in metal-sapphire nanostructure

    PubMed Central

    Han, Seunghwoi; Kim, Hyunwoong; Kim, Yong Woo; Kim, Young-Jin; Kim, Seungchul; Park, In-Yong; Kim, Seung-Woo

    2016-01-01

    Plasmonic high-harmonic generation (HHG) drew attention as a means of producing coherent extreme ultraviolet (EUV) radiation by taking advantage of field enhancement occurring in metallic nanostructures. Here a metal-sapphire nanostructure is devised to provide a solid tip as the HHG emitter, replacing commonly used gaseous atoms. The fabricated solid tip is made of monocrystalline sapphire surrounded by a gold thin-film layer, and intended to produce EUV harmonics by the inter- and intra-band oscillations of electrons driven by the incident laser. The metal-sapphire nanostructure enhances the incident laser field by means of surface plasmon polaritons, triggering HHG directly from moderate femtosecond pulses of ∼0.1 TW cm−2 intensities. The measured EUV spectra exhibit odd-order harmonics up to ∼60 nm wavelengths without the plasma atomic lines typically seen when using gaseous atoms as the HHG emitter. This experimental outcome confirms that the plasmonic HHG approach is a promising way to realize coherent EUV sources for nano-scale near-field applications in spectroscopy, microscopy, lithography and atto-second physics. PMID:27721374

  3. Prenucleation Induced by Crystalline Substrates

    NASA Astrophysics Data System (ADS)

    Men, H.; Fan, Z.

    2018-04-01

    Prenucleation refers to the phenomenon of atomic ordering in the liquid adjacent to the substrate/liquid interface at temperatures above the liquidus. In this paper, we have systematically investigated and holistically quantified the prenucleation phenomenon as a function of temperature and the lattice misfit between the substrate and the solid, using molecular dynamics (MD) simulations. Our results have confirmed that at temperatures above the liquidus, the atoms in the liquid at the interface may exhibit pronounced atomic ordering, manifested by atomic layering normal to the interface, in-plane atomic ordering parallel to the interface, and the formation of a 2-dimensional (2D) ordered structure (a few atomic layers in thickness) on the substrate surface. Holistic quantification of such atomic ordering at the interface has revealed that the atomic layering is independent of lattice misfit and is only slightly enhanced by reducing temperature while both in-plane atomic ordering and the formation of the 2D ordered structure are significantly enhanced by reducing the lattice misfit and/or temperature. This substrate-induced atomic ordering in the liquid may have a significant influence on the subsequent heterogeneous nucleation process.

  4. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  5. Ti:sapphire-pumped diamond Raman laser with sub-100-fs pulse duration.

    PubMed

    Murtagh, Michelle; Lin, Jipeng; Mildren, Richard P; Spence, David J

    2014-05-15

    We report a synchronously pumped femtosecond diamond Raman laser operating at 895 nm with a 33% slope efficiency. Pumped using a mode-locked Ti:sapphire laser at 800 nm with a duration of 170 fs, the bandwidth of the Stokes output is broadened and chirped to enable subsequent pulse compression to 95 fs using a prism pair. Modeling results indicate that self-phase modulation drives the broadening of the Stokes spectrum in this highly transient laser. Our results demonstrate the potential for Raman conversion to extend the wavelength coverage and pulse shorten Ti:sapphire lasers.

  6. Crystal orientation dependence of band matching in all-B2-trilayer current-perpendicular-to-plane giant magnetoresistance pseudo spin-valves using Co{sub 2}Fe(Ge{sub 0.5}Ga{sub 0.5}) Heusler alloy and NiAl spacer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jiamin; Hono, K., E-mail: kazuhiro.hono@nims.go.jp; Graduate School of Pure and Applied Sciences, University of Tsukuba, 1-2-1, Sengen, Tsukuba 305-0047

    2015-05-07

    We have experimentally investigated the crystal orientation dependence of band matching in current-perpendicular-to-plane giant magnetoresistance (CPP-GMR) pseudo-spin-valves using Co{sub 2}Fe(Ge{sub 0.5}Ga{sub 0.5}) (CFGG) Heusler alloy ferromagnetic layer and NiAl spacer. The high quality epitaxial CFGG/NiAl/CFGG all-B2-trilayers structure devices were fabricated on both MgO(001) and sapphire (112{sup ¯}0) single crystal substrates to create (001) and (110) crystal orientations. Same magneto-transport properties were observed from these two differently orientated devices indicating that there is no or little orientation dependence of band matching on MR output. We also found that all-B2-trilayer structure was free of lattice matching influence depending on the crystal orientation,more » which made it a good candidate for CPP-GMR device.« less

  7. Carrier envelope offset frequency detection and stabilization of a diode-pumped mode-locked Ti:sapphire laser.

    PubMed

    Gürel, Kutan; Wittwer, Valentin J; Hakobyan, Sargis; Schilt, Stéphane; Südmeyer, Thomas

    2017-03-15

    We demonstrate the first diode-pumped Ti:sapphire laser frequency comb. It is pumped by two green laser diodes with a total pump power of 3 W. The Ti:sapphire laser generates 250 mW of average output power in 61-fs pulses at a repetition rate of 216 MHz. We generated an octave-spanning supercontinuum spectrum in a photonic-crystal fiber and detected the carrier envelope offset (CEO) frequency in a standard f-to-2f interferometer setup. We stabilized the CEO-frequency through direct current modulation of one of the green pump diodes with a feedback bandwidth of 55 kHz limited by the pump diode driver used in this experiment. We achieved a reduction of the CEO phase noise power spectral density by 140 dB at 1 Hz offset frequency. An advantage of diode pumping is the ability for high-bandwidth modulation of the pump power via direct current modulation. After this experiment, we studied the modulation capabilities and noise properties of green pump laser diodes with improved driver electronics. The current-to-output-power modulation transfer function shows a bandwidth larger than 1 MHz, which should be sufficient to fully exploit the modulation bandwidth of the Ti:sapphire gain for CEO stabilization in future experiments.

  8. Alteration of architecture of MoO₃ nanostructures on arbitrary substrates: growth kinetics, spectroscopic and gas sensing properties.

    PubMed

    Illyaskutty, Navas; Sreedhar, Sreeja; Sanal Kumar, G; Kohler, Heinz; Schwotzer, Matthias; Natzeck, Carsten; Pillai, V P Mahadevan

    2014-11-21

    MoO3 nanostructures have been grown in thin film form on five different substrates by RF magnetron sputtering and subsequent annealing; non-aligned nanorods, aligned nanorods, bundled nanowires, vertical nanorods and nanoslabs are formed respectively on the glass, quartz, wafer, alumina and sapphire substrates. The nanostructures formed on these substrates are characterized by AFM, SEM, GIXRD, XPS, micro-Raman, diffuse reflectance and photoluminescence spectroscopy. A detailed growth model for morphology alteration with respect to substrates has been discussed by considering various aspects such as surface roughness, lattice parameters and the thermal expansion coefficient, of both substrates and MoO3. The present study developed a strategy for the choice of substrates to materialize different types MoO3 nanostructures for future thin film applications. The gas sensing tests point towards using these MoO3 nanostructures as principal detection elements in gas sensors.

  9. Thermal boundary resistance between sapphire and aluminum monocrystals at low temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahling, S.; Engert, J.; Gladun, A.

    1981-12-01

    The thermal boundary resistance at boundaries between monocrystalline sapphire and monocrystalline aluminum and between monocrystalline sapphire and polycrystalline aluminum has been measured in the temperature range from 0.1 to 6 K with aluminum in the superconducting and normal states. The ratio of the thermal boundary resistance of the aluminum monocrystals in the superconducting state to that in the normal state increases as the temperature is lowered, reaches a maximum at about 0.13 K, and decreases at still lower temperatures. At the maximum, the thermal boundary resistance in the superconducting state is two orders of magnitude larger than the resistance inmore » the normal state.« less

  10. In-Plane Channel-Structured Catalyst Layer for Polymer Electrolyte Membrane Fuel Cells.

    PubMed

    Lee, Dong-Hyun; Jo, Wonhee; Yuk, Seongmin; Choi, Jaeho; Choi, Sungyu; Doo, Gisu; Lee, Dong Wook; Kim, Hee-Tak

    2018-02-07

    In this study, we present a novel catalyst layer (CL) with in-plane flow channels to enhance the mass transports in polymer electrolyte membrane fuel cells. The CL with in-plane channels on its surface is fabricated by coating a CL slurry onto a surface-treated substrate with the inverse line pattern and transferring the dried CL from the substrate to a membrane. The membrane electrode assembly with the in-plane channel-patterned CL has superior power performances in high current densities compared with an unpatterned, flat CL, demonstrating a significant enhancement of the mass-transport property by the in-plane channels carved in the CL. The performance gain is more pronounced when the channel direction is perpendicular to the flow field direction, indicating that the in-plane channels increase the utilization of the CL under the rib area. An oxygen-transport resistance analysis shows that both molecular and Knudsen diffusion can be facilitated with the introduction of the in-plane channels. The direct CL patterning technique provides a platform for the fabrication of advanced CL structures with a high structural fidelity and design flexibility and a rational guideline for designing high-performance CLs.

  11. Substrate-induced reduction of graphene thermal conductivity

    NASA Astrophysics Data System (ADS)

    Koniakhin, S. V.; Utesov, O. I.; Terterov, I. N.; Nalitov, A. V.

    2017-01-01

    We develop a theory of heat conductivity in supported graphene, accounting for coherent phonon scattering on disorder induced by an amorphous substrate. We derive spectra for in-plane and out-of-plane phonons in the framework of Green's function approach. The energy parameters of the theory are obtained using molecular dynamics simulations for graphene on a SiO2 substrate. The heat conductivity is calculated by the Boltzmann transport equation. We find that the interaction with the substrate drastically reduces the phonon lifetime and completely suppresses the contribution of flexural (ZA) phonons to the heat conductivity. As a result, the total heat conductivity is reduced by several times, which matches with the tendency observed in the available experimental data. The considered effect is important for managing the thermal properties of graphene-based electronic devices.

  12. High-Temperature Mechanical Properties of Cr(3+) Doped Sapphire Fibers

    NASA Technical Reports Server (NTRS)

    Sayir, A.; QuispeCancapa, J. J.; deArellanoLopez, A. R.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    High-temperature slow-crack growth of single crystal 10 wt% Cr2O3 - Al2O3 (nominal composition) fibers has been studied by tensile rupture experiments at 1400 C, under different stressing rates (0.5 to 41.5 MPa/s). Slow-crack growth (SCG) is less pronounced with increasing Cr2O3. Rupture stresses increased with the stressing rate from 397 MPa to 515 MPa, resulting in a SCG exponent, N=19. The Cr2O3 composition was analyzed by Energy Dispersed X-Ray Spectra (EDS) and fracture surfaces were studied by scanning electron microscopy (SEM). Results are compared with previous studies on 100-300 ppm Cr3(+) doped sapphire fibers and on commercial sapphire fibers.

  13. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    NASA Astrophysics Data System (ADS)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  14. Ti:Sapphire micro-structures by femtosecond laser inscription: Guiding and luminescence properties

    NASA Astrophysics Data System (ADS)

    Ren, Yingying; Jiao, Yang; Vázquez de Aldana, Javier R.; Chen, Feng

    2016-08-01

    We report on the fabrication of buried cladding waveguides with different diameters in a Ti:Sapphire crystal by femtosecond laser inscription. The propagation properties are studied, showing that the cladding waveguides could support near- to mid-infrared waveguiding at both TE and TM polarizations. Confocal micro-photoluminescence experiments reveal that the original fluorescence properties in the waveguide region are very well preserved, while it suffers from a strong quenching at the centers of laser induced filaments. Broadband waveguide fluorescence emissions with high efficiency are realized, indicating the application of the cladding waveguides in Ti:Sapphire as compact broadband luminescence sources in biomedical fields.

  15. Sharpness and intensity modulation of the metal-insulator transition in ultrathin VO2 films by interfacial structure manipulation

    NASA Astrophysics Data System (ADS)

    McGee, Ryan; Goswami, Ankur; Pal, Soupitak; Schofield, Kalvin; Bukhari, Syed Asad Manzoor; Thundat, Thomas

    2018-03-01

    Vanadium dioxide (VO2) undergoes a structural transformation from monoclinic (insulator) to tetragonal (metallic) upon heating above 340 K, accompanied by abrupt changes to its electronic, optical, and mechanical properties. Not only is this transition scientifically intriguing, but there are also numerous applications in sensing, memory, and optoelectronics. Here we investigate the effect different substrates and the processing conditions have on the characteristics metal-insulator transition (MIT), and how the properties can be tuned for specific applications. VO2 thin films were grown on c -plane sapphire (0001) and p-type silicon <100 > by pulsed laser deposition. High-resolution x-ray diffraction along with transmission electron microscopy reveals textured epitaxial growth on sapphire by domain-matching epitaxy, while the presence of a native oxide layer on silicon prevented any preferential growth resulting in a polycrystalline film. An orientation relationship of <001 > (010)VO2||<11 ¯00 > (0001)Al 2O3 was established for VO2 grown on sapphire, while no such relationship was found for VO2 grown on silicon. Surface-energy minimization is the driving force behind grain growth, as the lowest energy VO2 plane grew on silicon, while on sapphire the desire for epitaxial growth was dominant. Polycrystallinity of films grown on silicon caused a weaker and less prominent MIT than observed on sapphire, whose MIT was higher in magnitude and steeper in slope. The position of the MIT was shown to depend on the competing effects of misfit strain and grain growth. Higher deposition temperatures caused an increase in the MIT, while compressive strain resulted in a decreased MIT.

  16. InN: A material with photovoltaic promise and challenges

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Burnham, Shawn; Doolittle, W. Alan; Cheung, Maurice; Cartwright, Alexander

    2006-03-01

    The potential of InN as a photovoltaic material is described. For solar applications, several key developments such as p-type doping and solid-state rectifying junctions have yet to be demonstrated. However, the ability of InGaN materials to optimally span the solar spectrum offers a tantalizing solution for high-efficiency photovoltaics albeit in an inherently lattice mismatched material system. For this reason, the characteristics of InN grown on (1 1 1)-oriented germanium and (0 0 0 1)-plane sapphire substrates via molecular beam epitaxy for the application of InN solar cells is described. To provide an efficient sub-cell interconnect for tandem solar cells, epitaxial Al was deposited on a germanium substrate with InN grown on this epitaxial aluminum layer. Consistent with previous results, the electrical characteristics of n-InN/p-Ge, n-InN/n-Ge, and n-InN/Al/Ge were measured and showed no rectifying behavior. As evidenced by X-ray diffraction, minute amounts of unintentional oxygen incorporation during InN growth forms a secondary phase, tentatively assigned to an indium oxynitride, InON x, phase. Photoluminescence measurements of the InN/InON x show spectral peaks at ˜0.7 and ˜3.8 eV consistent with the bulk excitonic bandgap of the two materials. Photoluminescence was also found at ˜1.7 eV and shown to be related to emission from the sapphire substrates.

  17. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses.

    PubMed

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika; Le, Tuan; Stingl, Andreas; Hasler, Karl-Heinz; Sumpf, Bernd; Erbert, Götz; Andersen, Peter E; Petersen, Paul Michael

    2011-06-20

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected.

  18. Neurosurgery contact handheld probe based on sapphire shaped crystal

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Stryukov, D. O.; Rossolenko, S. N.; Kiselev, A. M.; Kurlov, V. N.

    2017-01-01

    A handheld contact probe based on sapphire shaped crystal is developed for intraoperative spectrally-resolved optical diagnostics, laser coagulation and aspiration of malignant brain tissue. The technology was integrated into the neurosurgical workflow for intraoperative real-time identification and removing of invasive brain cancer.

  19. Orientation of Vanadium Dioxide Grains on Various Substrates

    NASA Astrophysics Data System (ADS)

    Rivera, Felipe; Davis, Robert; Vanfleet, Richard

    2010-10-01

    Crystalline vanadium dioxide VO2 experiences a fast and reversible semiconductor-to-metal structural phase transition near 68^oC. The changes exhibited during this phase transition comprise a well known change in resistivity of several orders of magnitude, as well as a significant drop in optical transmittance in the infrared. Due to the changes in these optical and electronic properties, vanadium dioxide shows promise as a material to be used in many applications ranging from thermochromic window coatings to optoelectronic devices. However, since there is a structural component to the phase transition of VO2, it is of interest to study the orientation of the crystalline grains deposited. Substrates such as glass, SiO2, Sapphire, and TiO2 have been used for the deposition of this material. We used orientation imaging microscopy to study and characterize the orientation of the grains deposited on several of these substrates. Here we present results on this study.

  20. Femtosecond laser pulse distortion in Ti:sapphire multipass amplifier by atomic phase shifts

    NASA Astrophysics Data System (ADS)

    Hwang, Seungjin; Jeong, Jihoon; Cho, Seryeyohan; Lee, Jongmin; Yu, Tae Jun

    2017-11-01

    We have derived modified Frantz-Nodvik equations that simultaneously account for atomic phase shift (APS) and gain depletion as the chirped laser pulse passes through a gain medium, and have analyzed the effect of temporal pulse distortion in a Ti:sapphire multipass amplifier chain. The combination of APS and gain depletion distorted a temporal pulse and decreased the peak power. The pulse width increased from 21.3 fs to 22.8 fs and the peak power reduced to 89% for the PW class Ti:sapphire CPA laser system in the particular conditions.

  1. JFET/SOS (Junction Field-Effect Transistor/Silicon-on-Sapphire) Devices: Gamma-Radiation-Induced Effects.

    DTIC Science & Technology

    1988-03-01

    Results, ATR-86A(8501)-1, The Aerospace Corporation: El Segundo, Calif. (20 May 1987). 3. D. Neaman , W. Shedd, and B. Buchanan, "Permanently Ionizing...Radiation Effects in Dielectrically Bounded Field-Effect Transistors," IEEE Trans.. Nucl. Sci. NS-20 [6], 158-165 (Decembe. 1973). 4. D. Neaman , W. Shedd...1974). 5. D. Neaman , W. Shedd, and B. Buchanan, "Silicon-Sapphire Interface Charge Trapping -- Effects of Sapphire Type and Epi Growth Conditions

  2. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    NASA Astrophysics Data System (ADS)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  3. Ultrathin pyrolytic carbon films on a magnetic substrate

    NASA Astrophysics Data System (ADS)

    Umair, Ahmad; Raza, Tehseen Z.; Raza, Hassan

    2016-07-01

    We report the growth of ultrathin pyrolytic carbon (PyC) films on nickel substrate by using chemical vapor deposition at 1000 °C under methane ambience. We find that the ultra-fast cooling is crucial for PyC film uniformity by controlling the segregation of carbon on nickel. We characterize the in-plane crystal size of the PyC film by using Raman spectroscopy. The Raman peaks at ˜1354 and ˜1584 cm-1 wavenumbers are used to extract the D and G bands. The corresponding peak intensities are then used in an excitation energy dependent equation to calculate the in-plane crystal size. Using Raman area mapping, the mean value of in-plane crystal size over an area of 100 μm × 100 μm is about 22.9 nm with a standard deviation of about 2.4 nm.

  4. Testing of Sapphire Optical Fiber and Sensors in Intense Radiation Fields When Subjected to Very High Temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blue, Thomas; Windl, Wolfgang

    The primary objective of this project was to determine the optical attenuation and signal degradation of sapphire optical fibers & sensors (temperature & strain), in-situ, operating at temperatures up to 1500°C during reactor irradiation through experiments and modeling. The results will determine the feasibility of extending sapphire optical fiber-based instrumentation to extremely high temperature radiation environments. This research will pave the way for future testing of sapphire optical fibers and fiber-based sensors under conditions expected in advanced high temperature reactors.

  5. Adsorption of dissolved aluminum on sapphire-c and kaolinite: implications for points of zero charge of clay minerals

    PubMed Central

    2014-01-01

    We have studied the impact of dissolved aluminum on interfacial properties of two aluminum bearing minerals, corundum and kaolinite. The effect of intentionally adding dissolved aluminum on electrokinetic potential of basal plane surfaces of sapphire was studied by streaming potential measurements as a function of pH and was complemented by a second harmonic generation (SHG) study at pH 6. The electrokinetic data show a similar trend as the SHG data, suggesting that the SHG electric field correlates to zeta-potential. A comparable study was carried out on kaolinite particles. In this case electrophoretic mobility was measured as a function of pH. In both systems the addition of dissolved aluminum caused significant changes in the charging behavior. The isoelectric point consistently shifted to higher pH values, the extent of the shift depending on the amount of aluminum present or added. The experimental results imply that published isoelectric points of clay minerals may have been affected by this phenomenon. The presence of dissolved aluminum in experimental studies may be caused by particular pre-treatment methods (such as washing in acids and subsequent adsorption of dissolved aluminum) or even simply by starting a series of measurements from extreme pH (causing dissolution), and subsequently varying the pH in the very same batch. This results in interactions of dissolved aluminum with the target surface. A possible interpretation of the experimental results could be that at low aluminum concentrations adatoms of aluminum (we will refer to adsorbed mineral constituents as adatoms) can form at the sapphire basal plane, which can be rather easily removed. Simultaneously, once the surface has been exposed to sufficiently high aluminum concentration, a visible change of the surface is seen by AFM which is attributed to a surface precipitate that cannot be removed under the conditions employed in the current study. In conclusion, whenever pre-treatment or the

  6. Detection of beryllium treatment of natural sapphires by NRA

    NASA Astrophysics Data System (ADS)

    Gutiérrez, P. C.; Ynsa, M.-D.; Climent-Font, A.; Calligaro, T.

    2010-06-01

    Since the 1990's, artificial treatment of natural sapphires (Al 2O 3 crystals coloured by impurities) by diffusion of beryllium at high temperature has become a growing practice. This process permits to enhance the colour of these gemstones, and thus to increase their value. Detection of such a treatment - diffusion of tens of μg/g of beryllium in Al 2O 3 crystals - is usually achieved using high sensitivity techniques like laser-ablation inductively coupled plasma mass spectrometry (LA-ICP/MS) or laser-induced breakdown spectrometry (LIBS) which are unfortunately micro-destructive (leaving 50-100-μm diameter craters on the gems). The simple and non-destructive alternative method proposed in this work is based on the nuclear reaction 9Be(α, nγ) 12C with an external helium ion beam impinging on the gem directly placed in air. The 4439 keV prompt γ-ray tagging Be atoms are detected with a high efficiency bismuth germanate scintillator. Beam dose is monitored using the 2235 keV prompt γ-ray produced during irradiation by the aluminium of the sapphire matrix through the 27Al(α, pγ) 30Si nuclear reaction. The method is tested on a series of Be-treated sapphires previously analyzed by LA-ICP/MS to determine the optimal conditions to obtain a peak to background appropriate to reach the required μg/g sensitivity. Using a 2.8-MeV external He beam and a beam dose of 200 μC, beryllium concentrations from 5 to 16 μg/g have been measured in the samples, with a detection limit of 1 μg/g.

  7. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  8. Sapphire implant based neuro-complex for deep-lying brain tumors phototheranostics

    NASA Astrophysics Data System (ADS)

    Sharova, A. S.; Maklygina, YU S.; Yusubalieva, G. M.; Shikunova, I. A.; Kurlov, V. N.; Loschenov, V. B.

    2018-01-01

    The neuro-complex as a combination of sapphire implant optical port and osteoplastic biomaterial "Collapan" as an Aluminum phthalocyanine nanoform photosensitizer (PS) depot was developed within the framework of this study. The main goals of such neuro-complex are to provide direct access of laser radiation to the brain tissue depth and to transfer PS directly to the pathological tissue location that will allow multiple optical phototheranostics of the deep-lying tumor region without repeated surgical intervention. The developed complex spectral-optical properties research was carried out by photodiagnostics method using the model sample: a brain tissue phantom. The optical transparency of sapphire implant allows obtaining a fluorescent signal with high accuracy, comparable to direct measurement "in contact" with the tissue.

  9. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  10. Removal of Lattice Imperfections that Impact the Optical Quality of Ti:Sapphire using Advanced Magnetorheological Finishing Techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Menapace, J A; Schaffers, K I; Bayramian, A J

    2008-02-26

    Advanced magnetorheological finishing (MRF) techniques have been applied to Ti:sapphire crystals to compensate for sub-millimeter lattice distortions that occur during the crystal growing process. Precise optical corrections are made by imprinting topographical structure onto the crystal surfaces to cancel out the effects of the lattice distortion in the transmitted wavefront. This novel technique significantly improves the optical quality for crystals of this type and sets the stage for increasing the availability of high-quality large-aperture sapphire and Ti:sapphire optics in critical applications.

  11. In-plane orientation and composition dependences of crystal structure and electrical properties of {100}-oriented Pb(Zr,Ti)O3 films grown on (100) Si substrates by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okamoto, Shoji; Sankara Rama Krishnan, P. S.; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2017-10-01

    In-plane orientation-controlled Pb(Zr x ,Ti1- x )O3 (PZT) films with a thickness of approximately 2 µm and a Zr/(Zr + Ti) ratio of 0.39-0.65 were grown on (100) Si substrates by pulsed metal-organic chemical vapor deposition (MOCVD). In-plane-oriented epitaxial PZT films and in-plane random fiber-textured PZT films with {100} out-of-plane orientation were grown on (100)c SrRuO3//(100)c LaNiO3//(100) CeO2//(100) YSZ//(100) Si and (100)c SrRuO3/(100)c LaNiO3/(111) Pt/TiO2/SiO2/(100) Si substrates, respectively. The effects of Zr/(Zr + Ti) ratio and in-plane orientation on the crystal structure, dielectric, ferroelectric, and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that the epitaxial PZT films had a higher volume fraction of (100) orientation than the fiber-textured PZT films in the tetragonal Zr/(Zr + Ti) ratio region. A large difference was not detected between the epitaxial films and the fiber-textured films for Zr/(Zr + Ti) ratio dependence of the dielectric constant, and remanent polarization. However, in the rhombohedral phase region [Zr/(Zr + Ti) = 0.65], coercive field was found to be 1.5-fold different between the epitaxial and fiber-textured PZT films. The maximum field-induced strains measured at 0-100 kV/cm by scanning atomic force microscopy were obtained at approximately Zr/(Zr + Ti) = 0.50 and were about 0.5 and 0.3% for the epitaxial and fiber-textured PZT films, respectively.

  12. Out-of-Plane Electromechanical Response of Monolayer Molybdenum Disulfide Measured by Piezoresponse Force Microscopy.

    PubMed

    Brennan, Christopher J; Ghosh, Rudresh; Koul, Kalhan; Banerjee, Sanjay K; Lu, Nanshu; Yu, Edward T

    2017-09-13

    Two-dimensional (2D) materials have recently been theoretically predicted and experimentally confirmed to exhibit electromechanical coupling. Specifically, monolayer and few-layer molybdenum disulfide (MoS 2 ) have been measured to be piezoelectric within the plane of their atoms. This work demonstrates and quantifies a nonzero out-of-plane electromechanical response of monolayer MoS 2 and discusses its possible origins. A piezoresponse force microscope was used to measure the out-of-plane deformation of monolayer MoS 2 on Au/Si and Al 2 O 3 /Si substrates. Using a vectorial background subtraction technique, we estimate the effective out-of-plane piezoelectric coefficient, d 33 eff , for monolayer MoS 2 to be 1.03 ± 0.22 pm/V when measured on the Au/Si substrate and 1.35 ± 0.24 pm/V when measured on Al 2 O 3 /Si. This is on the same order as the in-plane coefficient d 11 reported for monolayer MoS 2 . Interpreting the out-of-plane response as a flexoelectric response, the effective flexoelectric coefficient, μ eff * , is estimated to be 0.10 nC/m. Analysis has ruled out the possibility of elastic and electrostatic forces contributing to the measured electromechanical response. X-ray photoelectron spectroscopy detected some contaminants on both MoS 2 and its substrate, but the background subtraction technique is expected to remove major contributions from the unwanted contaminants. These measurements provide evidence that monolayer MoS 2 exhibits an out-of-plane electromechanical response and our analysis offers estimates of the effective piezoelectric and flexoelectric coefficients.

  13. Multiwavelength infrared focal plane array detector

    NASA Technical Reports Server (NTRS)

    Forrest, Stephen R. (Inventor); Olsen, Gregory H. (Inventor); Kim, Dong-Su (Inventor); Lange, Michael J. (Inventor)

    1995-01-01

    A multiwavelength focal plane array infrared detector is included on a common substrate having formed on its top face a plurality of In.sub.x Ga.sub.1-x As (x.ltoreq.0.53) absorption layers, between each pair of which a plurality of InAs.sub.y P.sub.1-y (y<1) buffer layers are formed having substantially increasing lattice parameters, respectively, relative to said substrate, for preventing lattice mismatch dislocations from propagating through successive ones of the absorption layers of decreasing bandgap relative to said substrate, whereby a plurality of detectors for detecting different wavelengths of light for a given pixel are provided by removing material above given areas of successive ones of the absorption layers, which areas are doped to form a pn junction with the surrounding unexposed portions of associated absorption layers, respectively, with metal contacts being formed on a portion of each of the exposed areas, and on the bottom of the substrate for facilitating electrical connections thereto.

  14. A microwave exciter for Cs frequency standards based on a sapphire-loaded cavity oscillator.

    PubMed

    Koga, Y; McNeilage, C; Searls, J H; Ohshima, S

    2001-01-01

    A low noise and highly stable microwave exciter system has been built for Cs atomic frequency standards using a tunable sapphire-loaded cavity oscillator (SLCO), which works at room temperature. This paper discusses the successful implementation of a control system for locking the SLCO to a long-term reference signal and reports an upper limit of the achieved frequency tracking error 6 x 10(-15) at tau = 1 s.

  15. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    PubMed

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  16. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    NASA Astrophysics Data System (ADS)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  17. Numerical simulation of the distribution of individual gas bubbles in shaped sapphire crystals

    NASA Astrophysics Data System (ADS)

    Borodin, A. V.; Borodin, V. A.

    2017-11-01

    The simulation of the effective density of individual gas bubbles in a two-phase melt, consisting of a liquid and gas bubbles, is performed using the virtual model of the thermal unit. Based on the studies, for the first time the theoretically and experimentally grounded mechanism of individual gas bubbles formation in shaped sapphire is proposed. It is shown that the change of the melt flow pattern in crucible affects greatly the bubble density at the crystallization front, and in the crystal. The obtained results allowed reducing the number of individual gas bubbles in sapphire sheets.

  18. Understanding and controlling the substrate effect on graphene electron-transfer chemistry via reactivity imprint lithography

    NASA Astrophysics Data System (ADS)

    Wang, Qing Hua; Jin, Zhong; Kim, Ki Kang; Hilmer, Andrew J.; Paulus, Geraldine L. C.; Shih, Chih-Jen; Ham, Moon-Ho; Sanchez-Yamagishi, Javier D.; Watanabe, Kenji; Taniguchi, Takashi; Kong, Jing; Jarillo-Herrero, Pablo; Strano, Michael S.

    2012-09-01

    Graphene has exceptional electronic, optical, mechanical and thermal properties, which provide it with great potential for use in electronic, optoelectronic and sensing applications. The chemical functionalization of graphene has been investigated with a view to controlling its electronic properties and interactions with other materials. Covalent modification of graphene by organic diazonium salts has been used to achieve these goals, but because graphene comprises only a single atomic layer, it is strongly influenced by the underlying substrate. Here, we show a stark difference in the rate of electron-transfer reactions with organic diazonium salts for monolayer graphene supported on a variety of substrates. Reactions proceed rapidly for graphene supported on SiO2 and Al2O3 (sapphire), but negligibly on alkyl-terminated and hexagonal boron nitride (hBN) surfaces, as shown by Raman spectroscopy. We also develop a model of reactivity based on substrate-induced electron-hole puddles in graphene, and achieve spatial patterning of chemical reactions in graphene by patterning the substrate.

  19. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    PubMed Central

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-01-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps). PMID:27444267

  20. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    NASA Astrophysics Data System (ADS)

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-07-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps).

  1. Single and low order mode interrogation of a multimode sapphire fibre Bragg grating sensor with tapered fibres

    NASA Astrophysics Data System (ADS)

    Grobnic, D.; Mihailov, S. J.; Ding, H.; Bilodeau, F.; Smelser, C. W.

    2006-05-01

    Multimode sapphire fibre Bragg gratings (SFBG) made with an ultrafast Ti:sapphire 800 nm laser and a phase mask were probed using a tapered single mode fibre of different taper diameters to produce single and low order mode reflection/transmission responses. A configuration made of an input single mode tapered fibre and multimode silica fibre used for output coupling was also tested and has delivered a filtered multimode transmission spectrum. The tapered coupling improved the spectral resolution of the SFBG. Such improvements facilitate the utilization of the SFBG as a high temperature sensor. Wavelength shifts of the single mode response were monitored as a function of temperature up to 1500 °C with no detectable degradation in the grating strength or hysteresis in the Bragg resonance.

  2. Investigation of layered structure SAW devices fabricated using low temperature grown AlN thin film on GaN/sapphire.

    PubMed

    Lin, Hui-Feng; Wu, Chun-Te; Chien, Wei-Cheng; Chen, Sheng-Wen; Kao, Hui-Ling; Chyi, Jen-Inn; Chen, Jyh-Shin

    2005-05-01

    Epitaxial AlN films have been grown on GaN/sapphire using helicon sputtering at 300 degrees C. The surface acoustic wave (SAW) filters fabricated on AlN/GaN/sapphire exhibit more superior characteristics than those made on GaN/sapphire. This composite structure of AlN on GaN may bring about the development of high-frequency components, which integrate and use their semiconducting, optoelectronic, and piezoelectric properties.

  3. Substrate-Free InGaN/GaN Nanowire Light-Emitting Diodes.

    PubMed

    Neplokh, Vladimir; Messanvi, Agnes; Zhang, Hezhi; Julien, Francois H; Babichev, Andrey; Eymery, Joel; Durand, Christophe; Tchernycheva, Maria

    2015-12-01

    We report on the demonstration of substrate-free nanowire/polydimethylsiloxane (PDMS) membrane light-emitting diodes (LEDs). Metal-organic vapour-phase epitaxy (MOVPE)-grown InGaN/GaN core-shell nanowires were encapsulated into PDMS layer. After metal deposition to p-GaN, a thick PDMS cap layer was spin-coated and the membrane was manually peeled from the sapphire substrate, flipped upside down onto a steel holder, and transparent indium tin oxide (ITO) contact to n-GaN was deposited. The fabricated LEDs demonstrate rectifying diode characteristics. For the electroluminescence (EL) measurements, the samples were manually bonded using silver paint. The EL spectra measured at different applied voltages demonstrate a blue shift with the current increase. This shift is explained by the current injection into the InGaN areas of the active region with different average indium content.

  4. Injection mode-locking Ti-sapphire laser system

    DOEpatents

    Hovater, James Curtis; Poelker, Bernard Matthew

    2002-01-01

    According to the present invention there is provided an injection modelocking Ti-sapphire laser system that produces a unidirectional laser oscillation through the application of a ring cavity laser that incorporates no intracavity devices to achieve unidirectional oscillation. An argon-ion or doubled Nd:YVO.sub.4 laser preferably serves as the pump laser and a gain-switched diode laser serves as the seed laser. A method for operating such a laser system to produce a unidirectional oscillating is also described.

  5. Experimental investigation of the reaction between corundum xenocrysts and alkaline basaltic host magma: Constraints on magma residence times of basalt-hosted sapphires

    NASA Astrophysics Data System (ADS)

    Baldwin, L. C.; Ballhaus, C.

    2018-03-01

    Megacrystic sapphires (Fe-Ti-rich corundum) of up to 5 cm in size are well known from alkaline mafic rocks from intra-continental rift-related magmatic fields. There is no doubt that these sapphires represent xenocrysts that were trapped from their original lithology by ascending basaltic magmas carrying them to the Earth's surface. Most studies about basalt-hosted sapphires address the question about the origin of the sapphires, but there is hardly any information available about the time the sapphires resided inside the carrier melt. Sapphires are in reaction relationship with basalt and produce spinel coronas at the sapphire-basalt interface, spatially separating the mutually incompatible phases from one another. Assuming isothermal and isobaric conditions of spinel rim formation, the rim-thickness should be a function of the reaction time with the basaltic melt. In this paper, we report time-series experiments aimed at investigating the kinetics of spinel rim formation due to igneous corrosion of corundum. Therefore, we reacted corundum fragments with alkaline basalt powder at 1250 °C and 1GPa, using a Piston Cylinder Apparatus. The width of the spinel rim was used to estimate a residence time. Extrapolating the experimentally derived reaction rates to the thickness of natural spinel rims as described from the Siebengebirge Volcanic Field, Germany, and from Changle, China, we estimated residence times in the order of a few weeks to months.

  6. Characterization of Finite Ground Coplanar Waveguide with Narrow Ground Planes

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.; Tentzeris, Emmanouil M.; Katehi, Linda P. B.

    1997-01-01

    Coplanar waveguide with finite width ground planes is characterized through measurements, conformal mapping, and the Finite Difference Time Domain (FDTD) technique for the purpose of determining the optimum ground plane width. The attenuation and effective permittivity of the lines are related to its geometry. It is found that the characteristics of the Finite Ground Coplanar line (FGC) are not dependent on the ground plane width if it is greater than twice the center conductor width, but less than lambda(sub d)/8. In addition, electromagnetic field plots are presented which show for the first time that electric fields in the plane of the substrate terminate on the outer edge of the ground plane, and that the magnitude of these fields is related to the ground plane width.

  7. Effect of Al doping on the magnetic and electrical properties of Zn(Cu)O based diluted magnetic semiconductors

    NASA Astrophysics Data System (ADS)

    Chakraborti, D.; Trichy, G.; Narayan, J.; Prater, J. T.; Kumar, D.

    2007-12-01

    The effect of Al doping on the magnetic properties of Zn(Cu)O based dilute magnetic semiconducting thin films has been systematically investigated. Epitaxial thin films have been deposited onto sapphire c-plane single crystals using pulsed laser deposition technique. X-ray diffraction and high resolution transmission electron microscopy studies show that the Zn(Cu,Al)O films are epitaxially grown onto (0001) sapphire substrates with a 30°/90° rotation in the basal plane. The large lattice misfit of the order of 16% is accommodated by matching integral multiples of lattice and substrate planes. In these large mismatch systems, the resulting films are fully relaxed following deposition of the first complete monolayer of ZnO (consistent with a critical thickness that is less than one monolayer). Magnetic hysteresis measurements indicate that the pure Zn(Cu)O thin films are ferromagnetic at room temperature. Doping with up to 5% Al (n type) does not significantly affect the ferromagnetism even though it results in an increase in carrier densities of more than 3 orders of magnitude, rising from 1×1017 to 1.5×1020 cm-3. However, for Al additions above 5%, a drop in net magnetization is observed. Annealing the films in an oxygen atmosphere at 600 °C also resulted in a dramatic drop in magnetic moment of the samples. These results strongly suggest that carrier induced exchange is not directly responsible for the magnetic properties of these materials. Rather, a defect mediated exchange mechanism needs to be invoked for this system.

  8. Helical coil buckling mechanism for a stiff nanowire on an elastomeric substrate

    NASA Astrophysics Data System (ADS)

    Chen, Youlong; Liu, Yilun; Yan, Yuan; Zhu, Yong; Chen, Xi

    2016-10-01

    When a stiff nanowire is deposited on a compliant soft substrate, it may buckle into a helical coil form when the system is compressed. Using theoretical and finite element method (FEM) analyses, the detailed three-dimensional coil buckling mechanism for a silicon nanowire (SiNW) on a polydimethylsiloxane (PDMS) substrate is studied. A continuum mechanics approach based on the minimization of the strain energy in the SiNW and elastomeric substrate is developed. Due to the helical buckling, the bending strain in SiNW is significantly reduced and the maximum local strain is almost uniformly distributed along SiNW. Based on the theoretical model, the energy landscape for different buckling modes of SiNW on PDMS substrate is given, which shows that both the in-plane and out-of-plane buckling modes have the local minimum potential energy, whereas the helical buckling model has the global minimum potential energy. Furthermore, the helical buckling spacing and amplitudes are deduced, taking into account the influences of the elastic properties and dimensions of SiNWs. These features are verified by systematic FEM simulations and parallel experiments. As the effective compressive strain in elastomeric substrate increases, the buckling profile evolves from a vertical ellipse to a lateral ellipse, and then approaches to a circle when the effective compressive strain is larger than 30%. The study may shed useful insights on the design and optimization of high-performance stretchable electronics and 3D complex nano-structures.

  9. Band gap modulation of graphene by metal substrate: A first principles study

    NASA Astrophysics Data System (ADS)

    Sahoo, Mihir Ranjan; Sahu, Sivabrata; Kushwaha, Anoop Kumar; Nayak, S. K.

    2018-04-01

    Due to high in-plane charge carrier mobility with high electron velocity and long spin diffusion length, graphene guarantees as a completely unique material for devices with various applications. Unaffected 2pz orbitals of carbon atoms in graphene can be highly influenced by substrates and leads to tuning in electronic properties. We report here a density functional calculation of graphene monolayer based on metallic substrate like nickel surfaces. Band-gap of graphene near K points opens due to interactions between 2pz and d-orbitals of nickel atoms and the gap modulation can be done with the increasing number of layers of substrates.

  10. High free carrier concentration in p-GaN grown on AlN substrates

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mita, Seiji; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Tweedie, James; Bryan, Isaac; Bryan, Zachary; Kirste, Ronny; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko

    2017-07-01

    A high free hole concentration in III-nitrides is important for next generation optoelectronic and high power electronic devices. The free hole concentration exceeding 1018 cm-3 and resistivity as low as 0.7 Ω cm are reported for p-GaN layers grown by metalorganic vapor phase epitaxy on single crystal AlN substrates. Temperature dependent Hall measurements confirmed a much lower activation energy, 60-80 mV, for p-GaN grown on AlN as compared to sapphire substrates; the lowering of the activation energy was due to screening of Coulomb potential by free carriers. It is also shown that a higher doping density (more than 5 × 1019 cm-3) can be achieved in p-GaN/AlN without the onset of self-compensation.

  11. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    NASA Astrophysics Data System (ADS)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  12. Controllable preparation of copper phthalocyanine single crystal nano column and its chlorine gas sensing properties

    NASA Astrophysics Data System (ADS)

    Zhao, Jianhong; Qiao, Zhenfang; Zhang, Yumin; Zou, Taoyu; Yu, Leiming; Luo, Li; Wang, Xiaoyan; Yang, Yiji; Wang, Hai; Tang, Libin

    2016-09-01

    The unsubstituted copper phthalocyanine (CuPc) single crystal nano columns were fabricated for the first time as chlorine (Cl2) gas sensors in this paper. The nano columns of CuPc have been prepared on different substrates via template-free physical vapor deposition (PVD) approach. The growth mechanism of CuPc nano column on quartz was explored and the same condition used on other substrates including glass, sapphire (C-plane<0001>, M-plane<10 1 ¯ 0 >, R-plane<1 1 ¯ 02 >), Si and SiO2/Si came to a same conclusion, which confirmed that the aligned growth of CuPc nano column is not substrate-dependent. And then the CuPc nano column with special morphology was integrated as in-situ sensor device which exhibits high sensitivity and selectivity towards Cl2 at room temperature with a minimum detection limit as low as 0.08 ppm. The response of sensor was found to increase linearly (26 ˜659 % ) with the increase for Cl2 within concentration range (0.08 ˜4.0 ppm ) . These results clearly demonstrate the great potential of the nano column growth and device integration approach for sensor device.

  13. Visualization and Analysis of Impact Damage in Sapphire

    DTIC Science & Technology

    2011-11-01

    transparent armor materials like Starphire soda - lime and borosilicate glass [8], fused silica [9] and the transparent polycrystalline ceramic AlON...conventional glass -based armor when a transparent ceramic is used as strike face on a glass -polymer laminate [1, 2, 3]. Sapphire, i.e. single crystal aluminum...materials. Since part of transparent armor consists of brittle materials, the fragmentation of the ceramic and glass layers plays a key role in the

  14. Design and performance of an astigmatism-compensated self-mode-locked ring-cavity Ti:sapphire laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shen, Y.; Dai, J.; Wang, Q.

    1996-12-31

    Based on the nonlinear ABCD matrix and the renormalized q-parameter for Gaussian-beam propagation, self-focusing in conjunction with a spatial gain profile for self-mode locking in a ring-cavity Ti:sapphire laser is analyzed. In the experiment, an astigmatism-compensated self-mode-locked ring-cavity Ti:sapphire laser is demonstrated, and self-mode-locked operation is achieved in both bidirection and unidirection with pulse durations as short as 36 fs and 32 fs, respectively. The experimental observations are in good agreement with theoretical predictions.

  15. Effect of Charging Electron Exposure on 1064nm Transmission Through Bare Sapphire Optics and SiO2 over HfO2 AR-Coated Sapphire Optics

    NASA Technical Reports Server (NTRS)

    Ottens, Brian P.; Connelly, Joseph; Brown, Stephen; Roeder, James; Kauder, Lonny; Cavanaugh, John

    2010-01-01

    Experiments measuring the effect of electron exposure on 1064nm transmission for optical sapphire were conducted. Detailed before and after inspections did not identify any resulting Litchenburg patterns. Pre- and post-exposure 1064nm transmission measurements are compared.

  16. Effect of Charging Electron Exposure on 1064nm Transmission through Bare Sapphire Optics and SiO2 over HfO2 AR-coated Sapphire Optics

    NASA Technical Reports Server (NTRS)

    Ottens, Brian P.; Connelly, Joseph; Brown, Stephen; Roeder, james; Kauder, Lonny; Cavanaugh, John

    2008-01-01

    Experiments measuring the effect of electron exposure on 1064nm transmission for optical sapphire were conducted. Detailed before and after inspections did not identify any resulting Litchenburg patterns. Pre- and post-exposure 1064nm transmission measurements are compared.

  17. Power amplification for petawatt Ti: Sapphire lasers: New strategies for high fluence pumping

    NASA Astrophysics Data System (ADS)

    Canova, F.; Chambaret, J.-P.

    2006-06-01

    One of the major bottlenecks when we pump large Ti:Sapphire crystals, to reach Petawatt level laser amplification, is the careful control of the spatial energy distribution of Nd:Glass pump lasers. Commercially available nanosecond Nd:Glass and Nd:YAG lasers exhibit poor spatial profile quality especially in the near and in the intermediate field, which can lead to local hot spots, responsible of damages in crystals, and parasitic transverse lasing enhancement, strongly dependent on the profile of the pump beam . For these reasons, it is mandatory to keep the pump beam intensity profile as flat as possible on the pumped crystal. To guarantee the best pumping conditions we are investigating the combined use of DOE (diffractive optical elements) and optical smoothing techniques. In parallel we are starting a study on laser induced damages mechanisms in crystal. With DOE and microlens arrays we plan to guarantee to the beam a supergaussian shape. Simulation and first experiments with both optical systems show that a flat top spatial profile with less than 10% fluctuations and a 8th order supergaussian is possible with the present technology.Optical smoothing will keep the beam free of hot spots. We especially focused on the smoothing techniques involving optical fibers. This is the first time to our knowledge that this technique is applied to the pumping beams for Ti:Sapphire systems. A deep study of laser-crystal interaction will allow us to fully understand the damages created by hot spots. The knowledge of the phenomena involved in laser damages on Ti:Sapphire is mandatory to control the pumping processes and thresholds. In conclusion, mixing the advantages of these different approaches to overcome this bottleneck will allow us to amplify in a safety way femtosecond laser beams to the Petawatt level using Ti:Sapphire crystals.

  18. Comparing Yb-fiber and Ti:Sapphire lasers for depth resolved imaging of human skin (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Balu, Mihaela; Saytashev, Ilyas; Hou, Jue; Dantus, Marcos; Tromberg, Bruce J.

    2016-02-01

    We report on a direct comparison between Ti:Sapphire and Yb fiber lasers for depth-resolved label-free multimodal imaging of human skin. We found that the penetration depth achieved with the Yb laser was 80% greater than for the Ti:Sapphire. Third harmonic generation (THG) imaging with Yb laser excitation provides additional information about skin structure. Our results indicate the potential of fiber-based laser systems for moving into clinical use.

  19. Highly Robust Neutral Plane Oxide TFTs Withstanding 0.25 mm Bending Radius for Stretchable Electronics

    PubMed Central

    Kim, Yong-Hwan; Lee, Eunji; Um, Jae Gwang; Mativenga, Mallory; Jang, Jin

    2016-01-01

    Advancements in thin-film transistor (TFT) technology have extended to electronics that can withstand extreme bending or even folding. Although the use of ultrathin plastic substrates has achieved considerable advancement towards this end, free-standing ultrathin plastics inevitably suffer from mechanical instability and are very difficult to handle during TFT fabrication. Here, in addition to the use of a 1.5 μm-thick polyimide (PI) substrate, a 1.5 μm-thick PI film is also deposited on top of the TFT devices to ensure that the devices are located at the neutral plane of the two PI films for high folding stability. For mechanical support during TFT fabrication up to the deposition of the top PI film, the PI substrate is spin coated on top of a carrier glass that is coated with a mixture of carbon nanotubes (CNTs) and graphene oxide (GO). The mixture of CNT and GO facilitates mechanical detachment of the neutral plane (NP) TFTs from the carrier glass before they are transferred to a polydimethylsiloxane (PDMS) substrate as islands. Being located in the neutral bending plane, the NP TFT can be transferred to the PDMS without performance degradation and exhibit excellent mechanical stability after stretching the PDMS substrate up to a 25% elastic elongation. PMID:27165715

  20. The epitaxial growth of wurtzite ZnO films on LiNbO 3 (0 0 0 1) substrates

    NASA Astrophysics Data System (ADS)

    Yin, J.; Liu, Z. G.; Liu, H.; Wang, X. S.; Zhu, T.; Liu, J. M.

    2000-12-01

    ZnO epitaxial films were deposited on LiNbO 3 (0 0 0 1) substrates by pulsed laser deposition. The smaller lattice misfit (-8.5%) between ZnO along <1 0 1¯ 0>- direction and LiNbO 3 (0 0 0 1) along <1 1 2¯ 0>- direction, as compared with that in the case of normally used sapphire (0 0 0 1) substrates, favored the epitaxial growth of ZnO films. The transmittance spectra of ZnO films deposited in vacuum after annealed in pure oxygen show a sharp absorption edge at 375.6 nm (E g=3.31 eV) .

  1. High-quality crystalline yttria-stabilized-zirconia thin layer for photonic applications

    NASA Astrophysics Data System (ADS)

    Marcaud, Guillaume; Matzen, Sylvia; Alonso-Ramos, Carlos; Le Roux, Xavier; Berciano, Mathias; Maroutian, Thomas; Agnus, Guillaume; Aubert, Pascal; Largeau, Ludovic; Pillard, Valérie; Serna, Samuel; Benedikovic, Daniel; Pendenque, Christopher; Cassan, Eric; Marris-Morini, Delphine; Lecoeur, Philippe; Vivien, Laurent

    2018-03-01

    Functional oxides are considered as promising materials for photonic applications due to their extraordinary and various optical properties. Especially, yttria-stabilized zirconia (YSZ) has a high refractive index (˜2.15), leading to a good confinement of the optical mode in waveguides. Furthermore, YSZ can also be used as a buffer layer to expand toward a large family of oxides-based thin-films heterostructures. In this paper, we report a complete study of the structural properties of YSZ for the development of integrated optical devices on sapphire in telecom wavelength range. The substrate preparation and the epitaxial growth using pulsed-laser deposition technique have been studied and optimized. High-quality YSZ thin films with remarkably sharp x-ray diffraction rocking curve peaks in 10-3∘ range have then been grown on sapphire (0001). It was demonstrated that a thermal annealing of sapphire substrate before the YSZ growth allowed controlling the out-of-plane orientation of the YSZ thin film. Single-mode waveguides were finally designed, fabricated, and characterized for two different main orientations of high-quality YSZ (001) and (111). Propagation loss as low as 2 dB/cm at a wavelength of 1380 nm has been demonstrated for both orientations. These results pave the way for the development of a functional oxides-based photonics platform for numerous applications including on-chip optical communications and sensing.

  2. Suitability of surface acoustic wave oscillators fabricated using low temperature-grown AlN films on GaN/sapphire as UV sensors.

    PubMed

    Chen, Tzu Chieh; Lin, Yueh Ting; Lin, Chung Yi; Chen, W C; Chen, Meei Ru; Kao, Hui-Ling; Chyi, J I; Hsu, C H

    2008-02-01

    Epitaxial AlN films were prepared on GaN/sapphire using a helicon sputtering system at the low temperature of 300 degrees C. Surface acoustic wave (SAW) devices fabricated on AlN/GaN/sapphire exhibited superior characteristics compared with those made on GaN/sapphire. An oscillator using an AlN/GaN/sapphirebased SAW device is presented. The oscillation frequency decreased when the device was illuminated by ultraviolet (UV) radiation, and the downshift of the oscillation frequency increased with the illuminating UV power density. The results showed that the AlN/GaN/sapphire-layered structure SAW oscillators are suitable for visible blind UV detection and opened up the feasibility of developing remote UV sensors for different ranges of wavelengths on the III-nitrides.

  3. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Temperature and emissivity measurements at the sapphire single crystal fiber growth process

    NASA Astrophysics Data System (ADS)

    Bufetova, G. A.; Rusanov, S. Ya.; Seregin, V. F.; Pyrkov, Yu. N.; Tsvetkov, V. B.

    2017-12-01

    We present a new method for evaluation the absorption coefficient of the crystal melt around the phase transition zone for the spectral range of semitransparency. The emissivity distribution across the crystallization front of the sapphire crystal fiber was measured at the quasi-stationary laser heated pedestal growth (LHPG) process (Fejer et al., 1984; Feigelson, 1986) and the data for solid state, melt and phase transition zone (melt-solid interface) were obtained. The sapphire melt absorption coefficient was estimated to be 14 ± 2 cm-1 in the spectral range 1-1.4 μm around the melt point. It is consistent with data, obtained by different other methods. This method can be applied to determine the absorption coefficient for other materials.

  5. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    NASA Astrophysics Data System (ADS)

    Fenwick, William Edward

    into the subsequent GaN layer was studied in more detail. Several approaches were investigated---for example, transition layers such as Al2O3 and Al xGa1-xN/GaN---to minimize diffusion of these impurities into the GaN layer. Silicon, due to its prevalence, is the most promising material for the development of an inexpensive, large-area substrate technology. The challenge in MOCVD growth of GaN on Si is the tensile strain induced by the lattice and thermal mismatch between GaN and Si and the formation of anti-phase boundaries. Typical approaches to solve these problems involve complicated and multiple buffer layer structures, which lead to relatively slow growth rates. In this work, a thin atomic layer deposition (ALD)-grown Al2O3 interlayer was employed to relieve strain and increase material quality while also simplifying the growth process. While some residual strain was still observed in the GaN material by XRD and PL, the use of this oxide interlayer leads to an improvement in thin film quality as seen by a reduction in both crack density (<1 mm-2) on ALD-Al2O3/Si) and screw dislocation density (from 3x109cm-2 on bare Si to 2x108cm-2 on ALD-Al 2O3/Si) in the GaN films. A side-by-side comparison of GaN-based multiple quantum well LEDs grown on sapphire and on Al2O3/Si shows similar performance characteristic for both device structures. A redshift in peak emission wavelength was also observed on silicon compared to sapphire, and this is attributed to higher indium content due to the slight tensile strain in the layers on silicon. IQE of the devices on silicon is ˜32% as measured by LT-PL, compared to ˜37% on sapphire, but this difference can be assigned to the difference in indium compositions. These results show a great promise toward an inexpensive, large-area, silicon-based substrate technology for MOCVD growth of the next generation of GaN-based optoelectronic devices for SSL and other applications.

  6. Contact of a spherical probe with a stretched rubber substrate

    NASA Astrophysics Data System (ADS)

    Frétigny, Christian; Chateauminois, Antoine

    2017-07-01

    We report on a theoretical and experimental investigation of the normal contact of stretched neo-Hookean substrates with rigid spherical probes. Starting from a published formulation of surface Green's function for incremental displacements on a prestretched, neo-Hookean, substrate [J. Mech. Phys. Solids 56, 2957 (2008), 10.1016/j.jmps.2008.07.002], a model is derived for both adhesive and nonadhesive contacts. The shape of the elliptical contact area together with the contact load and the contact stiffness are predicted as a function of the in-plane stretch ratios λx and λy of the substrate. The validity of this model is assessed by contact experiments carried out using an uniaxally stretched silicone rubber. For stretch ratio below about 1.25, a good agreement is observed between theory and experiments. Above this threshold, some deviations from the theoretical predictions are induced as a result of the departure of the mechanical response of the silicone rubber from the neo-Hokeean description embedded in the model.

  7. Emission color-tuned light-emitting diode microarrays of nonpolar In xGa 1–xN/GaN multishell nanotube heterostructures

    DOE PAGES

    Hong, Young Joon; Lee, Chul -Ho; Yoo, Jinkyoung; ...

    2015-12-09

    Integration of nanostructure lighting source arrays with well-defined emission wavelengths is of great importance for optoelectronic integrated monolithic circuitry. We report on the fabrication and optical properties of GaN-based p–n junction multishell nanotube microarrays with composition-modulated nonpolar m-plane In xGa 1–xN/GaN multiple quantum wells (MQWs) integrated on c-sapphire or Si substrates. The emission wavelengths were controlled in the visible spectral range of green to violet by varying the indium mole fraction of the In xGa 1–xN MQWs in the range 0.13 ≤ x ≤ 0.36. Homogeneous emission from the entire area of the nanotube LED arrays was achieved via themore » formation of MQWs with uniform QW widths and composition by heteroepitaxy on the well-ordered nanotube arrays. Importantly, the wavelength-invariant electroluminescence emission was observed above a turn-on of 3.0 V because both the quantum-confinement Stark effect and band filling were suppressed due to the lack of spontaneous inherent electric field in the m-plane nanotube nonpolar MQWs. Lastly, the method of fabricating the multishell nanotube LED microarrays with controlled emission colors has potential applications in monolithic nonpolar photonic and optoelectronic devices on commonly used c-sapphire and Si substrates.« less

  8. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Young Joon; Lee, Chul -Ho; Yoo, Jinkyoung

    Integration of nanostructure lighting source arrays with well-defined emission wavelengths is of great importance for optoelectronic integrated monolithic circuitry. We report on the fabrication and optical properties of GaN-based p–n junction multishell nanotube microarrays with composition-modulated nonpolar m-plane In xGa 1–xN/GaN multiple quantum wells (MQWs) integrated on c-sapphire or Si substrates. The emission wavelengths were controlled in the visible spectral range of green to violet by varying the indium mole fraction of the In xGa 1–xN MQWs in the range 0.13 ≤ x ≤ 0.36. Homogeneous emission from the entire area of the nanotube LED arrays was achieved via themore » formation of MQWs with uniform QW widths and composition by heteroepitaxy on the well-ordered nanotube arrays. Importantly, the wavelength-invariant electroluminescence emission was observed above a turn-on of 3.0 V because both the quantum-confinement Stark effect and band filling were suppressed due to the lack of spontaneous inherent electric field in the m-plane nanotube nonpolar MQWs. Lastly, the method of fabricating the multishell nanotube LED microarrays with controlled emission colors has potential applications in monolithic nonpolar photonic and optoelectronic devices on commonly used c-sapphire and Si substrates.« less

  9. Aqueous synthesis of zinc oxide films for GaN optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Reading, Arthur H.

    GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.

  10. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    NASA Astrophysics Data System (ADS)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  11. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  12. Microscopic origin of the optical processes in blue sapphire.

    PubMed

    Bristow, Jessica K; Parker, Stephen C; Catlow, C Richard A; Woodley, Scott M; Walsh, Aron

    2013-06-11

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  13. Vanadium-rich ruby and sapphire within Mogok Gemfield, Myanmar: implications for gem color and genesis

    NASA Astrophysics Data System (ADS)

    Zaw, Khin; Sutherland, Lin; Yui, Tzen-Fu; Meffre, Sebastien; Thu, Kyaw

    2015-01-01

    Rubies and sapphires are of both scientific and commercial interest. These gemstones are corundum colored by transition elements within the alumina crystal lattice: Cr3+ yields red in ruby and Fe2+, Fe3+, and Ti4+ ionic interactions color sapphires. A minor ion, V3+ induces slate to purple colors and color change in some sapphires, but its role in coloring rubies remains enigmatic. Trace element and oxygen isotope composition provide genetic signatures for natural corundum and assist geographic typing. Here, we show that V can dominate chromophore contents in Mogok ruby suites. This raises implications for their color quality, enhancement treatments, geographic origin, exploration and exploitation and their comparison with rubies elsewhere. Precise LA-ICP-MS analysis of ruby and sapphire from Mogok placer and in situ deposits reveal that V can exceed 5,000 ppm, giving V/Cr, V/Fe and V/Ti ratios up to 26, 78, and 97 respectively. Such values significantly exceed those found elsewhere suggesting a localized geological control on V-rich ruby distribution. Our results demonstrate that detailed geochemical studies of ruby suites reveal that V is a potential ruby tracer, encourage comparisons of V/Cr-variation between ruby suites and widen the scope for geographic typing and genesis of ruby. This will allow more precise comparison of Asian and other ruby fields and assist confirmation of Mogok sources for rubies in historical and contemporary gems and jewelry.

  14. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  15. [A Double Split Ring Terahertz Filter on Ploymide Substrate].

    PubMed

    He, Jun; Zhang, Tie-jun; Xiong, Wei; Zhang, Bo; He, Ting; Shen, Jing-ling

    2015-11-01

    Metamaterials are artificial composites that acquire their electromagnetic properties from embeded subwavelength metalic structure. With proper design of metamaterials, numerrous intriguing phenomena that not exhibited naturally can be realized, such as invisible cloaking, perfect absorption, negative refractive index and so on. In recent years, With the development of THz technology, the extensive research onTHz metamaterials devices areattracting more and more attentions. Since silicon (Si) has a higher transmittance for THz wave, it is usually selected as substrate in metamaterials structure. However, Si has the shortcomings of hardness, not easy to bend, and fragile, which limit the application of THz metamaterials. In this work, we use polyimide as the substrate to overcome the shortcomings of the Si substrate. Polyimide is flexible, smooth, suitable for conventional lithography process and the THz transmittance can compete with that of the Si. Frist, we test the THz optical properties of polymide, and get the refractive index of 1.9, and the transmittance of 80%. Second, we design a double splits ring resonators (DSRRs), and study the properties of transmission by changing the THz incidence angle and curvature of the sample. We find the resonant amplitude and resonant frequencies are unchanged. Fabricating metamaterials structures on a thin plastic substrate is a possible way to extend plane surface filtering to curved surface filtering. Third, we try to make a broadband filter by stacking two samples, and the 181GHz bandwidth at 50% has been achieved. By stacking several plane plastic metamaterial layers with different resonance responses into a multi-layer structure, a broadband THz filter can be built. The broadband filter has the advantages of simple manufacture, obvious filtering effect, which provides a new idea for the production of terahertz band filter.

  16. Simulation and optimization of silicon-on-sapphire pressure sensor

    NASA Astrophysics Data System (ADS)

    Kulesh, N. A.; Kudyukov, E. V.; Balymov, K. G.; Beloyshov, A. A.

    2017-09-01

    In this paper, finite element analysis software COMSOL Multiphysics was used to simulate the performance of silicon-on-sapphire piezoresistive pressure sensor, aiming to elaborate a flexible model suitable for further optimization and customization of the currently produced pressure sensors. The base model was built around the cylindrical pressure cell made of titanium alloy having a circular diaphragm with monocrystalline sapphire layer attached. The monocrystalline piezoresistive elements were placed on top of the double-layer diaphragm and electrically connected to form the Wheatstone bridge. Verification of the model and parametric study included three main areas: geometrical parameters of the cell, position of the elements on the diaphragm, and operation at elevated temperature. Optimization of the cell geometry included variation of bossed titanium diaphragm parameters as well as rounding-off radiuses near the edges of the diaphragm. Influence of the temperature was considered separately for thermal expansion of the mechanical components and for the changes of electrical and piezoresistive properties of the piezoresistive elements. In conclusion, the simulation results were compared to the experimental data obtained for three different constructions of the commercial pressure sensors produced by SPA of Automatics named after Academician N.A. Semikhatov.

  17. 5-nJ Femtosecond Ti3+:sapphire laser pumped with a single 1 W green diode

    NASA Astrophysics Data System (ADS)

    Muti, Abdullah; Kocabas, Askin; Sennaroglu, Alphan

    2018-05-01

    We report a Kerr-lens mode-locked, extended-cavity femtosecond Ti3+:sapphire laser directly pumped at 520 nm with a 1 W AlInGaN green diode. To obtain energy scaling, the short x-cavity was extended with a q-preserving multi-pass cavity to reduce the pulse repetition rate to 5.78 MHz. With 880 mW of incident pump power, we obtained as high as 90 mW of continuous-wave output power from the short cavity by using a 3% output coupler. In the Kerr-lens mode-locked regime, the extended cavity produced nearly transform-limited 95 fs pulses at 776 nm. The resulting energy and peak power of the pulses were 5.1 nJ and 53 kW, respectively. To our knowledge, this represents the highest pulse energy directly obtained to date from a mode-locked, single-diode-pumped Ti3+:sapphire laser.

  18. Effect of hexagonal hillock on luminescence characteristic of multiple quantum wells structure

    NASA Astrophysics Data System (ADS)

    Du, Jinjuan; Xu, Shengrui; Li, Peixian; Zhang, Jincheng; Zhao, Ying; Peng, Ruoshi; Fan, Xiaomeng; Hao, Yue

    2018-04-01

    GaN based ultraviolet multiple quantum well structures grown on a c-plane sapphire substrate by metal organic chemical deposition showed a microstructure with a large amount of huge hexagonal hillocks. The polarity of the sample is confirmed by etching with sodium hydroxide solution. The luminous intensity distribution of a typical hexagonal hillock was investigated by the phototluminescent mapping and the luminous intensity at hillock top regions was found to be 15 times higher than that of the regions around hillocks. The reduction of dislocations, the decreasing of the quantum confirmed stack effect caused by semipolar plane and the inclination of the sidewalls of the hexagonal hillock were responsible for the enhancement of luminous intensity.

  19. Defect-mediated room temperature ferromagnetism in vanadium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Yang, Tsung-Han; Nori, Sudhakar; Zhou, Honghui; Narayan, Jagdish

    2009-09-01

    High quality epitaxial undoped vanadium oxide (VO2) thin films on c-plane sapphire (0001) substrate have been grown using pulsed laser deposition technique. The as-grown films exhibited excellent structural and transport properties without requiring further annealing treatments for these oxygen-deficient oxide films. The epitaxial growth has been achieved via domain matching epitaxy, where matching of integral multiples of planes occurs across the film-substrate interface. The magnetic properties of vanadium oxide (VO2) films investigated at different temperatures in the range of 10-360 K showed significant magnetic hysteresis as well as saturation of the magnetic moment. The origin of ferromagnetic properties with an estimated Curie temperature above 500 K is discussed in the absence of magnetic impurities in VO2 thin films as determined by x-ray photoelectron spectroscopy, x-ray diffraction, and transmission electron microscopy.

  20. Characterization of sapphire: For its material properties at high temperatures

    NASA Astrophysics Data System (ADS)

    Bal, Harman Singh

    There are numerous needs for sensing, one of which is in pressure sensing for high temperature application such as combustion related process and embedded in aircraft wings for reusable space vehicles. Currently, silicon based MEMS technology is used for pressure sensing. However, due to material properties the sensors have a limited range of approximately 600 °C which is capable of being pushed towards 1000 °C with active cooling. This can introduce reliability issues when you add more parts and high flow rates to remove large amounts of heat. To overcome this challenge, sapphire is investigated for optical based pressure transducers at temperatures approaching 1400 °C. Due to its hardness and chemical inertness, traditional cutting and etching methods used in MEMS technology are not applicable. A method that is being investigated as a possible alternative is laser machining using a picosecond laser. In this research, we study the material property changes that occur from laser machining and quantify the changes with the experimental results obtained by testing sapphire at high-temperature with a standard 4-point bending set-up.

  1. Reduction of Polarization Field Strength in Fully Strained c-Plane InGaN/(In)GaN Multiple Quantum Wells Grown by MOCVD.

    PubMed

    Zhang, Feng; Ikeda, Masao; Zhang, Shu-Ming; Liu, Jian-Ping; Tian, Ai-Qin; Wen, Peng-Yan; Cheng, Yang; Yang, Hui

    2016-12-01

    The polarization fields in c-plane InGaN/(In)GaN multiple quantum well (MQW) structures grown on sapphire substrate by metal-organic chemical vapor deposition are investigated in this paper. The indium composition in the quantum wells varies from 14.8 to 26.5% for different samples. The photoluminescence wavelengths are calculated theoretically by fully considering the related effects and compared with the measured wavelengths. It is found that when the indium content is lower than 17.3%, the measured wavelengths agree well with the theoretical values. However, when the indium content is higher than 17.3%, the measured ones are much shorter than the calculation results. This discrepancy is attributed to the reduced polarization field in the MQWs. For the MQWs with lower indium content, 100% theoretical polarization can be maintained, while, when the indium content is higher, the polarization field decreases significantly. The polarization field can be weakened down to 23% of the theoretical value when the indium content is 26.5%. Strain relaxation is excluded as the origin of the polarization reduction because there is no sign of lattice relaxation in the structures, judging by the X-ray diffraction reciprocal space mapping. The possible causes of the polarization reduction are discussed.

  2. Channel Temperature Determination for AlGaN/GaN HEMTs on SiC and Sapphire

    NASA Technical Reports Server (NTRS)

    Freeman, Jon C.; Mueller, Wolfgang

    2008-01-01

    Numerical simulation results (with emphasis on channel temperature) for a single gate AlGaN/GaN High Electron Mobility Transistor (HEMT) with either a sapphire or SiC substrate are presented. The static I-V characteristics, with concomitant channel temperatures (T(sub ch)) are calculated using the software package ATLAS, from Silvaco, Inc. An in-depth study of analytical (and previous numerical) methods for the determination of T(sub ch) in both single and multiple gate devices is also included. We develop a method for calculating T(sub ch) for the single gate device with the temperature dependence of the thermal conductivity of all material layers included. We also present a new method for determining the temperature on each gate in a multi-gate array. These models are compared with experimental results, and show good agreement. We demonstrate that one may obtain the channel temperature within an accuracy of +/-10 C in some cases. Comparisons between different approaches are given to show the limits, sensitivities, and needed approximations, for reasonable agreement with measurements.

  3. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  4. Effect of Ti:sapphire laser on shear bond strength of orthodontic brackets to ceramic surfaces.

    PubMed

    Erdur, Emire Aybuke; Basciftci, Faruk Ayhan

    2015-08-01

    With increasing demand for orthodontic treatments in adults, orthodontists continue to debate the optimal way to prepare ceramic surfaces for bonding. This study evaluated the effects of a Ti:sapphire laser on the shear bond strength (SBS) of orthodontic brackets bonded to two ceramic surfaces (feldspathic and IPS Empress e-Max) and the results were compared with those using two other lasers (Er:YAG and Nd:YAG) and 'conventional' techniques, i.e., sandblasting (50 µm) and hydrofluoric (HF) acid. In total, 150 ceramic discs were prepared and divided into two groups. In each group, the following five subgroups were prepared: Ti:sapphire laser, Nd:YAG laser, Er:YAG laser, sandblasting, and HF acid. Mandibular incisor brackets were bonded using a light-cured adhesive. The samples were stored in distilled water for 24 hours at 37°C and then thermocycled. Extra samples were prepared and examined using scanning electron microscopy (SEM). SBS testing was performed and failure modes were classified. ANOVA and Tukey's HSD tests were used to compare SBS among the five subgroups (P < 0.05). Feldspathic and IPS Empress e-Max ceramics had similar SBS values. The Ti:sapphire femtosecond laser (16.76 ± 1.37 MPa) produced the highest mean bond strength, followed by sandblasting (12.79 ± 1.42 MPa) and HF acid (11.28 ± 1.26 MPa). The Er:YAG (5.43 ± 1.21 MPa) and Nd:YAG laser (5.36 ± 1.04 MPa) groups were similar and had the lowest SBS values. More homogeneous and regular surfaces were observed in the ablation pattern with the Ti:sapphire laser than with the other treatments by SEM analysis. Within the limitations of this in vitro study, Ti:sapphire laser- treated surfaces had the highest SBS values. Therefore, this technique may be useful for the pretreatment of ceramic surfaces as an alternative to 'conventional' techniques. © 2015 Wiley Periodicals, Inc.

  5. Electroform replication of smooth mirrors from sapphire masters

    NASA Technical Reports Server (NTRS)

    Altkorn, R.; Chang, J.; Haidle, R.; Takacs, P. Z.; Ulmer, M. P.

    1992-01-01

    A sapphire master was used to produce mirrors that exhibit mid-to-high-frequency roughness as low as 3 A. The fabrication procedure and potential applications in X-ray astronomy are discussed. It is shown that foils replicated from flat smooth mandrels should offer at least equivalent HF roughness and significantly lower mid-frequency ripple than those coated with lacquer. A ceramic-surface mandrel could also be expected to last far longer without the need for repolishing than electroless nickel-coated mandrels.

  6. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    PubMed

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Time dependent temperature distribution in pulsed Ti:sapphire lasers

    NASA Technical Reports Server (NTRS)

    Buoncristiani, A. Martin; Byvik, Charles E.; Farrukh, Usamah O.

    1988-01-01

    An expression is derived for the time dependent temperature distribution in a finite solid state laser rod for an end-pumped beam of arbitrary shape. The specific case of end pumping by circular (constant) or Gaussian beam is described. The temperature profile for a single pump pulse and for repetitive pulse operation is discussed. The particular case of the temperature distribution in a pulsed titanium:sapphire rod is considered.

  8. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  9. SnO2 epitaxial films with varying thickness on c-sapphire: Structure evolution and optical band gap modulation

    NASA Astrophysics Data System (ADS)

    Zhang, Mi; Xu, Maji; Li, Mingkai; Zhang, Qingfeng; Lu, Yinmei; Chen, Jingwen; Li, Ming; Dai, Jiangnan; Chen, Changqing; He, Yunbin

    2017-11-01

    A series of a-plane SnO2 films with thickness between 2.5 nm and 1436 nm were grown epitaxially on c-sapphire by pulsed laser deposition (PLD), to allow a detailed probe into the structure evolution and optical band gap modulation of SnO2 with growing thickness. All films exhibit excellent out-of-plane ordering (lowest (200) rocking-curve half width ∼0.01°) with an orientation of SnO2(100) || Al2O3(0001), while three equivalent domains that are rotated by 120° with one another coexist in-plane with SnO2[010] || Al2O3 [11-20]. Initially the SnO2(100) film assumes a two-dimensional (2D) layer-by-layer growth mode with atomically smooth surface (minimum root-mean-square roughness of 0.183 nm), and endures compressive strain along both c and a axes as well as mild tensile strain along the b-axis. With increasing thickness, transition from the 2D to 3D island growth mode takes place, leading to formation of various defects to allow relief of the stress and thus relaxation of the film towards bulk SnO2. More interestingly, with increasing thickness from nm to μm, the SnO2 films present a non-monotonic V-shaped variation in the optical band gap energy. While the band gap of SnO2 films thinner than 6.1 nm increases rapidly with decreasing film thickness due to the quantum size effect, the band gap of thicker SnO2 films broadens almost linearly with increasing film thickness up to 374 nm, as a result of the strain effect. The present work sheds light on future design of SnO2 films with desired band gap for particular applications by thickness control and strain engineering.

  10. Optical emission of directly contacted copper/sapphire interface under shock compression of megabar

    NASA Astrophysics Data System (ADS)

    Hao, G. Y.; Liu, F. S.; Zhang, D. Y.; Zhang, M. J.

    2007-06-01

    The shock-induced optical emission histories from copper/sapphire interface were measured under two different contact conditions, which simulated the typical situations of pyrometry experiments. Results showed that the "peak" feature of the radiation, previously interpreted as the appearance of so-called high-temperature layer, was nearly diminished by finely polishing and uniformly prepressing technique, and that it is possible to directly measure the equilibrium temperature of bulk metal/window interface. Study also demonstrated that the saturated value of the apparent temperature in nonideal contact situation is related to the color temperature of the shock-induced "bright spot" in sapphire window under megabar pressures.

  11. Design and analysis of large-core single-mode windmill single crystal sapphire optical fiber

    DOE PAGES

    Cheng, Yujie; Hill, Cary; Liu, Bo; ...

    2016-06-01

    We present a large-core single-mode “windmill” single crystal sapphire optical fiber (SCSF) design, which exhibits single-mode operation by stripping off the higher-order modes (HOMs) while maintaining the fundamental mode. The “windmill” SCSF design was analyzed using the finite element analysis method, in which all the HOMs are leaky. The numerical simulation results show single-mode operation in the spectral range from 0.4 to 2 μm in the windmill SCSF, with an effective core diameter as large as 14 μm. Such fiber is expected to improve the performance of many of the current sapphire fiber optic sensor structures.

  12. Scalable high-mobility MoS2 thin films fabricated by an atmospheric pressure chemical vapor deposition process at ambient temperature

    NASA Astrophysics Data System (ADS)

    Huang, Chung-Che; Al-Saab, Feras; Wang, Yudong; Ou, Jun-Yu; Walker, John C.; Wang, Shuncai; Gholipour, Behrad; Simpson, Robert E.; Hewak, Daniel W.

    2014-10-01

    Nano-scale MoS2 thin films are successfully deposited on a variety of substrates by atmospheric pressure chemical vapor deposition (APCVD) at ambient temperature, followed by a two-step annealing process. These annealed MoS2 thin films are characterized with scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), micro-Raman, X-ray diffraction (XRD), transmission electron microscopy (TEM), UV-VIS-NIR spectrometry, photoluminescence (PL) and Hall Effect measurement. Key optical and electronic properties of APCVD grown MoS2 thin films are determined. This APCVD process is scalable and can be easily incorporated with conventional lithography as the deposition is taking place at room temperature. We also find that the substrate material plays a significant role in the crystalline structure formation during the annealing process and single crystalline MoS2 thin films can be achieved by using both c-plane ZnO and c-plane sapphire substrates. These APCVD grown nano-scale MoS2 thin films show great promise for nanoelectronic and optoelectronic applications.

  13. Sapphire reinforced alumina matrix composites

    NASA Technical Reports Server (NTRS)

    Jaskowiak, Martha H.; Setlock, John A.

    1994-01-01

    Unidirectionally reinforced A1203 matrix composites have been fabricated by hot pressing. Approximately 30 volume % of either coated or uncoated sapphire fiber was used as reinforcement. Unstabilized ZrO2 was applied as the fiber coating. Composite mechanical behavior was analyzed both after fabrication and after additional heat treatment. The results of composite tensile tests were correlated with fiber-matrix interfacial shear strengths determined from fiber push-out tests. Substantially higher strength and greater fiber pull-out were observed for the coated fiber composites for all processing conditions studied. The coated fiber composites retained up to 95% and 87% of their as-fabricated strength when heat treated at 14000C for 8 or 24 hours, respectively. Electron microscopy analysis of the fracture surfaces revealed extensive fiber pull-out both before and after heat treatment.

  14. Energy output reduction and surface alteration of quartz and sapphire tips following Er:YAG laser contact irradiation for tooth enamel ablation.

    PubMed

    Eguro, Toru; Aoki, Akira; Maeda, Toru; Takasaki, Aristeo Atsushi; Hasegawa, Mitsuru; Ogawa, Masaaki; Suzuki, Takanori; Yonemoto, Kazuaki; Ishikawa, Isao; Izumi, Yuichi; Katsuumi, Ichiroh

    2009-10-01

    Despite the recent increase in application of Er:YAG laser for various dental treatments, limited information is available regarding the contact tips. This study examined the changes in energy output and surface condition of quartz and sapphire contact tips after Er:YAG laser contact irradiation for tooth enamel ablation. Ten sets of unused quartz or sapphire contact tips were employed for contact irradiation to sound enamel of extracted teeth. The teeth were irradiated with Er:YAG laser at approximately 75 J/cm(2)/pulse and 20 Hz under water spray for 60 minutes. The energy output was measured before and every 5 minutes after irradiation, and the changes in morphology and chemical composition of the contact surface were analyzed. The energy output significantly decreased with time in both tips. The energy output from the sapphire tips was generally higher on average than that of the quartz. The contact surfaces of all the used quartz tips were concave and irregular. Most of the sapphire tips also appeared rough with crater formation and fractures, except for a few tips in which a high energy output and the original smooth surface were maintained. Spots of melted tooth substances were seen attached to the surface of both tips. In contact enamel ablation, the sapphire tip appeared to be more resistant than the quartz tip. The quartz tips showed similar patterns of energy reduction and surface alteration, whereas the sapphire tips revealed a wider and more characteristic variation among tips. Lasers Surg. Med. 41:595-604, 2009. (c) 2009 Wiley-Liss, Inc.

  15. Hybrid bandgap engineering for super-hetero-epitaxial semiconductor materials, and products thereof

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang H. (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2012-01-01

    "Super-hetero-epitaxial" combinations comprise epitaxial growth of one material on a different material with different crystal structure. Compatible crystal structures may be identified using a "Tri-Unity" system. New bandgap engineering diagrams are provided for each class of combination, based on determination of hybrid lattice constants for the constituent materials in accordance with lattice-matching equations. Using known bandgap figures for previously tested materials, new materials with lattice constants that match desired substrates and have the desired bandgap properties may be formulated by reference to the diagrams and lattice matching equations. In one embodiment, this analysis makes it possible to formulate new super-hetero-epitaxial semiconductor systems, such as systems based on group IV alloys on c-plane LaF.sub.3; group IV alloys on c-plane langasite; Group III-V alloys on c-plane langasite; and group II-VI alloys on c-plane sapphire.

  16. Ultrafast third-harmonic generation from textured aluminum nitride-sapphire interfaces

    NASA Astrophysics Data System (ADS)

    Stoker, D. S.; Baek, J.; Wang, W.; Kovar, D.; Becker, M. F.; Keto, J. W.

    2006-05-01

    We measured and modeled third-harmonic generation (THG) from an AlN thin film on sapphire using a time-domain approach appropriate for ultrafast lasers. Second-harmonic measurements indicated that polycrystalline AlN contains long-range crystal texture. An interface model for third-harmonic generation enabled an analytical representation of scanning THG ( z -scan) experiments. Using it and accounting for Fresnel reflections, we measured the AlN -sapphire susceptibility ratio and estimated the susceptibility for aluminum nitride, χxxxx(3)(3ω;ω,ω,ω)=1.52±0.25×10-13esu . The third-harmonic (TH) spectrum strongly depended on the laser focus position and sample thickness. The amplitude and phase of the frequency-domain interference were fit to the Fourier transform of the calculated time-domain field to improve the accuracy of several experimental parameters. We verified that the model works well for explaining TH signal amplitudes and spectral phase. Some anomalous features in the TH spectrum were observed, which we attributed to nonparaxial effects.

  17. Monolayer and/or few-layer graphene on metal or metal-coated substrates

    DOEpatents

    Sutter, Peter Werner; Sutter, Eli Anguelova

    2015-04-14

    Disclosed is monolayer and/or few-layer graphene on metal or metal-coated substrates. Embodiments include graphene mirrors. In an example, a mirror includes a substrate that has a surface exhibiting a curvature operable to focus an incident beam onto a focal plane. A graphene layer conformally adheres to the substrate, and is operable to protect the substrate surface from degradation due to the incident beam and an ambient environment.

  18. In-plane electric field controlled ferromagnetism and anisotropic magnetoresistance in an LSMO/PMN-PT heterostructure.

    PubMed

    Guo, Qi; Xu, Xiaoguang; Wang, Fang; Lu, Yunhao; Chen, Jikun; Wu, Yanjun; Meng, Kangkang; Wu, Yong; Miao, Jun; Jiang, Yong

    2018-06-01

    We report the in-plane electric field controlled ferromagnetism of La 2/3 Sr 1/3 MnO 3 (LSMO) films epitaxially deposited on [Pb(Mg 1/3 Nb 2/3 )O 3 ] 0.7 -(PbTiO 3 ) 0.3 (PMN-PT) (001), (011) and (111) single crystal substrates. The in-plane coercivities (H c∥ ) and remanences of the LSMO films greatly depend on the in-plane electric field applied on the PMN-PT (001) and (011) substrates. The experimental change of H c∥ is consistent with the Stoner-Wohlfarth model and first principle calculation with the electric field varying from -10 to 10 kV cm -1 . Moreover, the Curie temperature and anisotropic magnetoresistance of the LSMO films can also be manipulated by an in-plane electric field. Finally, the LSMO/PMN-PT (001) heterostructure is designed to be a new kind of magnetic signal generator with the source of electric field.

  19. In-plane electric field controlled ferromagnetism and anisotropic magnetoresistance in an LSMO/PMN-PT heterostructure

    NASA Astrophysics Data System (ADS)

    Guo, Qi; Xu, Xiaoguang; Wang, Fang; Lu, Yunhao; Chen, Jikun; Wu, Yanjun; Meng, Kangkang; Wu, Yong; Miao, Jun; Jiang, Yong

    2018-06-01

    We report the in-plane electric field controlled ferromagnetism of La2/3Sr1/3MnO3 (LSMO) films epitaxially deposited on [Pb(Mg1/3Nb2/3)O3]0.7-(PbTiO3)0.3 (PMN-PT) (001), (011) and (111) single crystal substrates. The in-plane coercivities (H c∥) and remanences of the LSMO films greatly depend on the in-plane electric field applied on the PMN-PT (001) and (011) substrates. The experimental change of H c∥ is consistent with the Stoner–Wohlfarth model and first principle calculation with the electric field varying from ‑10 to 10 kV cm‑1. Moreover, the Curie temperature and anisotropic magnetoresistance of the LSMO films can also be manipulated by an in-plane electric field. Finally, the LSMO/PMN-PT (001) heterostructure is designed to be a new kind of magnetic signal generator with the source of electric field.

  20. Transfer of monolayer TMD WS2 and Raman study of substrate effects

    PubMed Central

    Mlack, Jerome T.; Masih Das, Paul; Danda, Gopinath; Chou, Yung-Chien; Naylor, Carl H.; Lin, Zhong; López, Néstor Perea; Zhang, Tianyi; Terrones, Mauricio; Johnson, A. T. Charlie; Drndić, Marija

    2017-01-01

    A facile transfer process for transition metal dichalcogenide WS2 flakes is reported and the effect of the underlying substrate on the flake properties is investigated using Raman spectroscopy. The flakes are transferred from their growth substrate using polymethyl methacrylate (PMMA) and a wet etch to allow the user to transfer the flakes to a final substrate using a microscope and micromanipulator combined with semi-transparent Kapton tape. The substrates used range from insulators such as industry standard high-k dielectric HfO2 and “green polymer” parylene-C, to conducting chemical vapor deposition (CVD) grown graphene. Raman spectroscopy is used first to confirm the material quality of the transferred flakes to the substrates and subsequently to analyze and separate the effects arising from material transfer from those arising from interactions with the substrate. We observe changes in the Raman spectra associated with the interactions between the substrates in the flakes. These interactions affect both in-plane and out-of-plane modes in different ways depending on their sources, for example strain or surface charge. These changes vary with final substrate, with the strongest effects being observed for WS2 transferred onto graphene and HfO2, demonstrating the importance of understanding substrate interaction for fabrication of future devices. PMID:28220852

  1. Femtosecond optical parametric amplification in BBO and KTA driven by a Ti:sapphire laser for LIDT testing and diagnostic development

    NASA Astrophysics Data System (ADS)

    Meadows, Alexander R.; Cupal, Josef; Hříbek, Petr; Durák, Michal; Kramer, Daniel; Rus, Bedřich

    2017-05-01

    We present the design of a collinear femtosecond optical parametric amplification (OPA) system producing a tunable output at wavelengths between 1030 nm and 1080 nm from a Ti:Sapphire pump laser at a wavelength of 795 nm. Generation of a supercontinuum seed pulse is followed by one stage of amplification in Beta Barium Borate (BBO) and two stages of amplification in Potassium Titanyle Arsenate (KTA), resulting in a 225 μJ output pulse with a duration of 90 fs. The output of the system has been measured by self-referenced spectral interferometry to yield the complete spectrum and spectral phase of the pulse. When compared to KTP, the greater transparency of KTA in the spectral range from 3 - 4 μm allows for reduced idler absorption and enhanced gain from the OPA process when it is pumped by the fundamental frequency of a Ti:sapphire laser. In turn, the use of the Ti:sapphire fundamental at 795 nm as a pump improves the efficiency with which light can be converted to wavelengths between 1030 nm and 1080 nm and subsequently used to test components for Nd-based laser systems. This OPA system is operated at 1 kHz for diagnostic development and laser-induced damage threshold testing of optical components for the ELI-Beamlines project.

  2. Atomic fountain clock with very high frequency stability employing a pulse-tube-cryocooled sapphire oscillator.

    PubMed

    Takamizawa, Akifumi; Yanagimachi, Shinya; Tanabe, Takehiko; Hagimoto, Ken; Hirano, Iku; Watabe, Ken-ichi; Ikegami, Takeshi; Hartnett, John G

    2014-09-01

    The frequency stability of an atomic fountain clock was significantly improved by employing an ultra-stable local oscillator and increasing the number of atoms detected after the Ramsey interrogation, resulting in a measured Allan deviation of 8.3 × 10(-14)τ(-1/2)). A cryogenic sapphire oscillator using an ultra-low-vibration pulse-tube cryocooler and cryostat, without the need for refilling with liquid helium, was applied as a local oscillator and a frequency reference. High atom number was achieved by the high power of the cooling laser beams and optical pumping to the Zeeman sublevel m(F) = 0 employed for a frequency measurement, although vapor-loaded optical molasses with the simple (001) configuration was used for the atomic fountain clock. The resulting stability is not limited by the Dick effect as it is when a BVA quartz oscillator is used as the local oscillator. The stability reached the quantum projection noise limit to within 11%. Using a combination of a cryocooled sapphire oscillator and techniques to enhance the atom number, the frequency stability of any atomic fountain clock, already established as primary frequency standard, may be improved without opening its vacuum chamber.

  3. Buckling of a stiff thin film on an elastic graded compliant substrate.

    PubMed

    Chen, Zhou; Chen, Weiqiu; Song, Jizhou

    2017-12-01

    The buckling of a stiff film on a compliant substrate has attracted much attention due to its wide applications such as thin-film metrology, surface patterning and stretchable electronics. An analytical model is established for the buckling of a stiff thin film on a semi-infinite elastic graded compliant substrate subjected to in-plane compression. The critical compressive strain and buckling wavelength for the sinusoidal mode are obtained analytically for the case with the substrate modulus decaying exponentially. The rigorous finite element analysis (FEA) is performed to validate the analytical model and investigate the postbuckling behaviour of the system. The critical buckling strain for the period-doubling mode is obtained numerically. The influences of various material parameters on the results are investigated. These results are helpful to provide physical insights on the buckling of elastic graded substrate-supported thin film.

  4. Buckling of a stiff thin film on an elastic graded compliant substrate

    NASA Astrophysics Data System (ADS)

    Chen, Zhou; Chen, Weiqiu; Song, Jizhou

    2017-12-01

    The buckling of a stiff film on a compliant substrate has attracted much attention due to its wide applications such as thin-film metrology, surface patterning and stretchable electronics. An analytical model is established for the buckling of a stiff thin film on a semi-infinite elastic graded compliant substrate subjected to in-plane compression. The critical compressive strain and buckling wavelength for the sinusoidal mode are obtained analytically for the case with the substrate modulus decaying exponentially. The rigorous finite element analysis (FEA) is performed to validate the analytical model and investigate the postbuckling behaviour of the system. The critical buckling strain for the period-doubling mode is obtained numerically. The influences of various material parameters on the results are investigated. These results are helpful to provide physical insights on the buckling of elastic graded substrate-supported thin film.

  5. Functionalization of nanotextured substrates for enhanced identification of metastatic breast cancer cells

    NASA Astrophysics Data System (ADS)

    Mansur, Nuzhat; Raziul Hasan, Mohammad; Kim, Young-tae; Iqbal, Samir M.

    2017-09-01

    Metastasis is the major cause of low survival rates among cancer patients. Once cancer cells metastasize, it is extremely difficult to contain the disease. We report on a nanotextured platform for enhanced detection of metastatic cells. We captured metastatic (MDA-MDB-231) and non-metastatic (MCF-7) breast cancer cells on anti-EGFR aptamer modified plane and nanotextured substrates. Metastatic cells were seen to change their morphology at higher rates when captured on nanotextured substrates than on plane substrates. Analysis showed statistically different morphological behaviors of metastatic cells that were very pronounced on the nanotextured substrates. Several distance matrices were calculated to quantify the dissimilarity of cell shape change. Nanotexturing increased the dissimilarity of the metastatic cells and as a result the contrast between metastatic and non-metastatic cells increased. Jaccard distance measurements found that the shape change ratio of the non-metastatic and metastatic cells was enhanced from 1:1.01 to 1:1.81, going from plane to nanotextured substrates. The shape change ratio of the non-metastatic to metastatic cells improved from 1:1.48 to 1:2.19 for the Hausdorff distance and from 1:1.87 to 1:4.69 for the Mahalanobis distance after introducing nanotexture. Distance matrix analysis showed that nanotexture increased the shape change ratios of non-metastatic and metastatic cells. Hence, the detectability of metastatic cells increased. These calculated matrices provided clear and explicit measures to discriminate single cells for their metastatic state on functional nanotextured substrates.

  6. A Bridge Too Far: Suppressing Frost Using an Out-of-Plane Dry Zone

    NASA Astrophysics Data System (ADS)

    Spohn, Corey; Ahmadi, Farzad; Nath, Saurabh; Boreyko, Jonathan

    2017-11-01

    It has recently been shown that ice can suppress the formation of any nearby condensation or frost on a substrate. However, these in-plane dry zones require the hygroscopic ice features to be placed on the same surface they are helping to keep dry, which makes it impossible to achieve complete anti-frosting. Here, we create an out-of-plane dry zone by holding two aluminum surfaces parallel to each other, where a uniform sheet of frost was grown on one surface to keep the other surface completely dry. The critical separation required to keep the test surface dry was found to be a function of the ambient supersaturation. We also show that inter-droplet ice bridging, now known to be a primary mechanism for in-plane frost growth, can be similarly extended to an out-of-plane configuration. We freeze a droplet on a hydrophobic surface and suspend a water droplet above it, such that an ice bridge grows toward the water droplet. More generally, these findings show that the recently discovered phenomena of dry zones and ice bridging can be extended to out-of-plane scenarios, which could lead to a better understanding of the behavior of mixed-phase systems. This work was supported by the National Science Foundation (CBET-1604272) and by the 3M Company (Non-Tenured Faculty Award).

  7. A Compact Ti:Sapphire Laser With its Third Harmonic Generation (THG) for an Airborne Ozone Differential Absorption Lidar (DIAL) Transmitter

    NASA Technical Reports Server (NTRS)

    Chen, Songsheng; Storm, Mark E.; Marsh, Waverly D.; Petway, Larry B.; Edwards, William C.; Barnes, James C.

    2000-01-01

    A compact and high-pulse-energy Ti:Sapphire laser with its Third Harmonic Generation (THG) has been developed for an airborne ozone differential absorption lidar (DIAL) to study the distributions and concentrations of the ozone throughout the troposphere. The Ti:Sapphire laser, pumped by a frequency-doubled Nd:YAG laser and seeded by a single mode diode laser, is operated either at 867 nm or at 900 nm with a pulse repetition frequency of 20 Hz. High energy laser pulses (more than 110 mJ/pulse) at 867 nm or 900 nm with a desired beam quality have been achieved and utilized to generate its third harmonic at 289nm or 300nm, which are on-line and off-line wavelengths of an airborne ozone DIAL. After being experimentally compared with Beta-Barium Borate (beta - BaB2O4 or BBO) nonlinear crystals, two Lithium Triborate (LBO) crystals (5 x 5 x 20 cu mm) are selected for the Third Harmonic Generation (THG). In this paper, we report the Ti:Sapphire laser at 900 nm and its third harmonic at 300 nm. The desired high ultraviolet (UV) output pulse energy is more than 30 mJ at 300 nm and the energy conversion efficiency from 900 nm to 300 nm is 30%.

  8. Dielectric response and structure of in-plane tensile strained BaTiO3 thin films grown on the LaNiO3 buffered Si substrate

    NASA Astrophysics Data System (ADS)

    Qiao, Liang; Bi, Xiaofang

    2008-02-01

    Highly (001)-textured BaTiO3 films were grown epitaxially on the LaNiO3 buffered Si substrate. A strong in-plane tensile strain has been revealed by using x-ray diffraction and high resolution transmission electron microscopy. The BaTiO3 film has exhibited a small remnant polarization, indicating the presence of ca1/ca2/ca1/ca2 polydomain state in the film. Temperature dependent dielectric permittivity has demonstrated that two phase transitions occurred at respective temperatures of 170 and 30°C. The result was discussed in detail based on the misfit strain-temperature phase diagrams theory.

  9. A nanoforest structure for practical surface-enhanced Raman scattering substrates

    NASA Astrophysics Data System (ADS)

    Seol, Myeong-Lok; Choi, Sung-Jin; Baek, David J.; Park, Tae Jung; Ahn, Jae-Hyuk; Lee, Sang Yup; Choi, Yang-Kyu

    2012-03-01

    A nanoforest structure for surface-enhanced Raman scattering (SERS) active substrates is fabricated and analyzed. The detailed morphology of the resulting structure can be easily controlled by modifying the process parameters such as initial gold layer thickness and etching time. The applicability of the nanoforest substrate as a label-free SERS immunosensor is demonstrated using influenza A virus subtype H1N1. Selective binding of the H1N1 surface antigen and the anti-H1 antibody is directly detected by the SERS signal differences. Simple fabrication and high throughput with strong in-plane hot-spots imply that the nanoforest structure can be a practical sensing component of a chip-based SERS sensing system.

  10. Airborne particulate concentration during laser hair removal: A comparison between cold sapphire with aqueous gel and cryogen skin cooling.

    PubMed

    Ross, Edward V; Chuang, Gary S; Ortiz, Arisa E; Davenport, Scott A

    2018-04-01

    High concentrations of sub-micron nanoparticles have been shown to be released during laser hair removal (LHR) procedures. These emissions pose a potential biohazard to healthcare workers that have prolonged exposure to LHR plume. We sought to demonstrate that cold sapphire skin cooling done in contact mode might suppress plume dispersion during LHR. A total of 11 patients were recruited for laser hair removal. They were treated on the legs and axilla with a 755 or 1064 nm millisecond-domain laser equipped with either (i) cryogen spray (CSC); (ii) refrigerated air (RA); or (iii) contact cooling with sapphire (CC). Concentration of ultrafine nanoparticles <1 μm were measured just before and during LHR with the three respective cooling methods. For contact cooling (CC), counts remained at baseline levels, below 3,500 parts per cubic centimeter (ppc) for all treatments. In contrast, the CSC system produced large levels of plume, peaking at times to over 400,000 ppc. The CA cooled system produced intermediate levels of plume, about 35,000 ppc (or about 10× baseline). Cold Sapphire Skin cooling with gel suppresses plume during laser hair removal, potentially eliminating the need for smoke evacuators, custom ventilation systems, and respirators during LHR. Lasers Surg. Med. 50:280-283, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  11. Role of Near Substrate and Bulk Polymer Morphology on Out-of-Plane Space-Charge Limited Hole Mobility.

    PubMed

    Turner, Johnathan; Gadisa, Abay

    2016-12-07

    Charge transport is a central issue in all types of organic electronic devices. In organic films, charge transport is crucially limited by film microstructure and the nature of the substrate/organic interface interactions. In this report, we discuss the influence of active layer thickness on space-charge limited hole transport in pristine polymer and polymer/fullerene bulk heterojunction thin films (∼15-300 nm) in a diode structure. According to the results, the out-of-plane hole mobility in pristine polymers is sensitive to the degree of polymer chain aggregation. Blending the polymers with a fullerene molecule does not change the trend of hole mobility if the polymer tends to make an amorphous structure. However, employing an aggregating polymer in a bulk heterojunction blend gives rise to a marked difference in charge carrier transport behavior compared to the pristine polymer and this difference is sensitive to active layer thickness. In aggregating polymer films, the thickness-dependent interchain interaction was found to have direct impact on hole mobility. The thickness-dependent mobility trend was found to correspond well with the trend of fill factors of corresponding bulk heterojunction solar cells. This investigation has a vital implication for material design and the development of efficient organic electronic devices, including solar cells and light-emitting diodes.

  12. Performance enhancement of uncooled infrared focal plane array by integrating metamaterial absorber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Wei; Wen, Yongzheng; Yu, Xiaomei, E-mail: yuxm@pku.edu.cn

    2015-03-16

    This letter presents an infrared (IR) focal plane array (FPA) with metamaterial absorber (MMA) integrated to enhance its performance. A glass substrate, on which arrays of bimaterial cantilevers are fabricated as the thermal-sensitive pixels by a polyimide surface sacrificial process, is employed to allow the optical readout from the back side of the substrate. Whereas the IR wave radiates onto the FPA from the front side, which consequently avoids the energy loss caused by the silicon substrate compared with the previous works. This structure also facilitates the integration of MMA by introducing a layer of periodic square resonators atop themore » SiN{sub x} structural layer to form a metal/dielectric/metal stack with the gold mirror functioning as the ground plane. A comparative experiment was carried out on the FPAs that use MMA and ordinary SiN{sub x} as the absorbers, respectively. The performance improvement was verified by the evaluation of the absorbers as well as the imaging results of both FPAs.« less

  13. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  14. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    NASA Astrophysics Data System (ADS)

    Enriquez, Erik; Zhang, Yingying; Chen, Aiping; Bi, Zhenxing; Wang, Yongqiang; Fu, Engang; Harrell, Zachary; Lü, Xujie; Dowden, Paul; Wang, Haiyan; Chen, Chonglin; Jia, Quanxi

    2016-08-01

    Epitaxial layered ternary metal-nitride FeMoN2, (Fe0.33Mo0.67)MoN2, CoMoN2, and FeWN2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1-1 mΩ.cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has been used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. The growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN2 materials through A and B-site substitution.

  15. (abstract) Transmission Electron Microscopy of Al(sub x)Ga(sub 1-x)N/SiC Multilayer Structures Grown on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Pike, W. T.; George, T.; Khan, M. A.; Kuznia, J. N.

    1994-01-01

    The potential of wide-band-gap III-V nitrides as ultraviolet sensors and light emitters has prompted an increasing amount of work recently, including the fabrication of the first UV sensors from as-deposited single crystal GaN. We have used high resolution transmission electron microscopy (TEM) to study the microstructure of two novel developments of wide-band-gap III-V nitrides: the growth of ultra-short period GaN/AlN superlattices; and the incorporation of SiC layers into Al(sub x)Ga(sub 1-x)N structures. By varying the relative periods in a GaN/AlN superlattice, the band gap of the composite can be tailored to lie between the elemental values of 365 nm for GaN and 200 nm for AlN. The group IV semiconductor, SiC, has a wide band-gap and has a close lattice match (less than 3 %) to Al(sub x)Ga(sub 1-x)N for growth on the basal plane. Demonstration of epitaxial growth for Al(sub x)Ga(sub 1-x)N/SiC multilayers would introduce a wide band-gap analog to the already existing family of III-V and Si(sub 1-x)Ge(sub x) heteroepitaxial growth systems. Although good quality growth of GaN on SiC substrates has been demonstrated, Al(sub x)Ga(sub 1-x)N/SiC multilayer structures have never been grown and the interfacial structure is unknown.

  16. Doping and compensation in Al-rich AlGaN grown on single crystal AlN and sapphire by MOCVD

    NASA Astrophysics Data System (ADS)

    Bryan, Isaac; Bryan, Zachary; Washiyama, Shun; Reddy, Pramod; Gaddy, Benjamin; Sarkar, Biplab; Breckenridge, M. Hayden; Guo, Qiang; Bobea, Milena; Tweedie, James; Mita, Seiji; Irving, Douglas; Collazo, Ramon; Sitar, Zlatko

    2018-02-01

    In order to understand the influence of dislocations on doping and compensation in Al-rich AlGaN, thin films were grown by metal organic chemical vapor deposition (MOCVD) on different templates on sapphire and low dislocation density single crystalline AlN. AlGaN grown on AlN exhibited the highest conductivity, carrier concentration, and mobility for any doping concentration due to low threading dislocation related compensation and reduced self-compensation. The onset of self-compensation, i.e., the "knee behavior" in conductivity, was found to depend only on the chemical potential of silicon, strongly indicating the cation vacancy complex with Si as the source of self-compensation. However, the magnitude of self-compensation was found to increase with an increase in dislocation density, and consequently, AlGaN grown on AlN substrates demonstrated higher conductivity over the entire doping range.

  17. Optical connections on flexible substrates

    NASA Astrophysics Data System (ADS)

    Bosman, Erwin; Geerinck, Peter; Christiaens, Wim; Van Steenberge, Geert; Vanfleteren, Jan; Van Daele, Peter

    2006-04-01

    Optical interconnections integrated on a flexible substrate combine the advantages of optical data transmissions (high bandwidth, no electromagnetic disturbance and low power consumption) and those of flexible substrates (compact, ease of assembly...). Especially the flexible character of the substrates can significantly lower the assembly cost and leads to more compact modules. Especially in automotive-, avionic-, biomedical and sensing applications there is a great potential for these flexible optical interconnections because of the increasing data-rates, increasing use of optical sensors and requirement for smaller size and weight. The research concentrates on the integration of commercially available polymer optical layers (Truemode Backplane TM Polymer, Ormocer®) on a flexible Polyimide film, the fabrication of waveguides and out-of plane deflecting 45° mirrors, the characterization of the optical losses due to the bending of the substrate, and the fabrication of a proof-of-principal demonstrator. The resulting optical structures should be compatible with the standard fabrication of flexible printed circuit boards.

  18. Femtosecond deep-infrared optical parametric oscillator pumped directly by a Ti:sapphire laser

    NASA Astrophysics Data System (ADS)

    O'Donnell, Callum; Chaitanya Kumar, S.; Zawilski, Kevin T.; Schunemann, Peter G.; Ebrahim-Zadeh, Majid

    2018-02-01

    We report a high-repetition-rate femtosecond optical parametric oscillator (OPO) for the deep-infrared (deep-IR) based on the nonlinear optical crystal, CdSiP2 (CSP), pumped directly by a Ti:sapphire laser, for the first time. By pumping CSP at <1 μm, we have achieved practical output powers at the longest wavelengths generated by any Ti:sapphire-pumped OPO. Using a combination of pump wavelength tuning, type-I critical phase-matching, and cavity delay tuning, we have generated continuously tunable radiation across 6654-8373 nm (1194-1503 cm-1) at 80.5 MHz repetition rate, providing up to 20 mW of average power at 7314 nm and <7 mW beyond 8000 nm, with idler spectra exhibiting bandwidths of 140-180 nm across the tuning range. Moreover, the near-IR signal is tunable across 1127-1192 nm, providing up to 37 mW of average power at 1150 nm. Signal pulses, characterised using intensity autocorrelation, have durations of 260-320 fs, with corresponding time-bandwidth product of ΔυΔτ 1. The idler and signal output exhibit a TEM00 spatial profile with single-peak Gaussian distribution. With an equivalent spectral brightness of 6.68×1020 photons s-1 mm-2 sr-1 0.1% BW-1, this OPO represents a viable table-top alternative to synchrotron and supercontinuum sources for deep-IR applications in spectroscopy, metrology and medical diagnostics.

  19. Polarized edge emission from GaN-based light-emitting diodes sandwiched by dielectric/metal hybrid reflectors

    NASA Astrophysics Data System (ADS)

    Yan, L. J.; Sheu, J. K.; Huang, F. W.; Lee, M. L.

    2010-12-01

    Edge-emitting c-plane GaN/sapphire-based light-emitting diodes (LEDs) sandwiched by two dielectric/metal hybrid reflectors on both sapphire and GaN surfaces were studied to determine their light emission polarization. The hybrid reflectors comprised dielectric multiple thin films and a metal layer. The metal layers of Au or Ag used in this study were designed to enhance the polarization ratio from S-polarization (transverse electric wave, TE) to P-polarization (transverse magnetic wave, TM). The two sets of optimized dielectric multi thin films served as matching layers for wide-angle incident light on both sapphire and GaN surfaces. To determine which reflector scheme would achieve a higher polarization ratio, simulations of the reflectance at the hybrid reflectors on sapphire (or GaN) interface were performed before the fabrication of experimental LEDs. Compared with conventional c-plane InGaN/GaN/sapphire LEDs without dielectric/metal hybrid reflectors, the experimental LEDs exhibited higher polarization ratio (ITE-max/ITM-max) with r=2.174 (˜3.37 dB) at a wavelength of 460 nm. In contrast, the original polarized light (without dielectric/metal hybrid reflectors) was partially contributed (r=1.398) by C-HH or C-LH (C band to the heavy-hole sub-band or C band to the crystal-field split-off sub-band) transitions along the a-plane or m-plane direction.

  20. Boiling regimes of impacting drops on a heated substrate under reduced pressure

    NASA Astrophysics Data System (ADS)

    van Limbeek, Michiel A. J.; Hoefnagels, Paul B. J.; Shirota, Minori; Sun, Chao; Lohse, Detlef

    2018-05-01

    We experimentally investigate the boiling behavior of impacting ethanol drops on a heated smooth sapphire substrate at pressures ranging from P =0.13 bar to atmospheric pressure. We employ frustrated total internal reflection imaging to study the wetting dynamics of the contact between the drop and the substrate. The spreading drop can be in full contact (contact boiling), it can partially touch (transition boiling), or the drop can be fully levitated (Leidenfrost boiling). We show that the temperature of the boundary between contact and transition boiling shows at most a weak dependence on the impact velocity, but a significant decrease with decreasing ambient gas pressure. A striking correspondence is found between the temperature of this boundary and the static Leidenfrost temperature for all pressures. We therefore conclude that both phenomena share the same mechanism and are dominated by the dynamics taking place at the contact line. On the other hand, the boundary between transition boiling and Leidenfrost boiling, i.e., the dynamic Leidenfrost temperature, increases for increasing impact velocity for all ambient gas pressures. Moreover, the dynamic Leidenfrost temperature coincides for pressures between P =0.13 and 0.54 bar, whereas for atmospheric pressure the dynamic Leidenfrost temperature is slightly elevated. This indicates that the dynamic Leidenfrost temperature is at most weakly dependent on the enhanced evaporation by the lower saturation temperature of the liquid.

  1. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates

    NASA Astrophysics Data System (ADS)

    Mahato, J. C.; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B. N.

    2017-10-01

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types—flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi2 and Si are A-type. In the ridged NWs CoSi2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  2. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  3. Kilohertz Pulse Repetition Frequency Slab Ti:sapphire Lasers with High Average Power (10 W)

    NASA Astrophysics Data System (ADS)

    Wadsworth, William J.; Coutts, David W.; Webb, Colin E.

    1999-11-01

    High-average-power broadband 780-nm slab Ti:sapphire lasers, pumped by a kilohertz pulse repetition frequency copper vapor laser (CVL), were demonstrated. These lasers are designed for damage-free power scaling when pumped by CVL s configured for maximum output power (of order 100 W) but with poor beam quality ( M 2 300 ). A simple Brewster-angled slab laser side pumped by a CVL produced 10-W average power (1.25-mJ pulses at 8 kHz) with 4.2-ns FWHM pulse duration at an absolute efficiency of 15% (68-W pump power). Thermal lensing in the Brewster slab laser resulted in multitransverse mode output, and pump absorption was limited to 72% by the maximum doping level for commercially available Ti:sapphire (0.25%). A slab laser with a multiply folded zigzag path was therefore designed and implemented that produced high-beam-quality (TEM 00 -mode) output when operated with cryogenic cooling and provided a longer absorption path for the pump. Excessive scattering of the Ti:sapphire beam at the crystal surfaces limited the efficiency of operation for the zigzag laser, but fluorescence diagnostic techniques, gain measurement, and modeling suggest that efficient power extraction ( 15 W TEM 00 , 23% efficiency) from this laser would be possible for crystals with an optical quality surface polish.

  4. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    NASA Astrophysics Data System (ADS)

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J. W.; Amsalem, Patrick; Koch, Norbert

    2018-04-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron-hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  5. Numerical investigation of thermal and residual stress of sapphire during c-axis vertical Bridgman growth process considering the solidification history effect

    NASA Astrophysics Data System (ADS)

    Hwang, Ji Hoon; Lee, Young Cheol; Lee, Wook Jin

    2018-01-01

    Sapphire single crystals have been highlighted for epitaxial of gallium nitride films in high-power laser and light emitting diode industries. In this study, the evolution of thermally induced stress in sapphire during the vertical Bridgman crystal growth process was investigated using a finite element model that simplified the real Bridgman process. A vertical Bridgman process of cylindrical sapphire crystal with a diameter of 50 mm was considered for the model. The solidification history effect during the growth was modeled by the quite element technique. The effects of temperature gradient, seeding interface shape and seeding position on the thermal stress during the process were discussed based on the finite element analysis results.

  6. MPS Li-Ion Batteries Qualified to Fly on Canadian Sapphire Spacecraft

    NASA Astrophysics Data System (ADS)

    Remy, S.; Carre, A.; Kimber, R.; Alcindor, P.; Krabel, E.

    2014-08-01

    Saft Li-ion 8S3P MPS (Medium Prismatic cell for Space Battery) autonomous battery has been designed and qualified primarily to meet LEO power requirements. It has been available for more than 8 years, the original battery concept qualification program being successfully carried-out with CNES support in year 2005. This module has been selected for the first time by the UK satellite manufacturer SSTL for the Sapphire spacecraft platform, on behalf of the spacecraft prime MDA Systems Ltd (MDA) and customer the Canadian DND. Due to the high mechanical load demand in the specifications, a delta qualification campaign was launched to make sure that the MPS battery was able to cope with this requirement. A partner approach between Saft and SSTL led Saft to build some dedicated representative 5S packs, which have been step by step tested by SSTL shaker. Based on the results, the battery was made and finally installed inside the Sapphire spacecraft which was successfully launched on February 25th 2013 after battery storage of about 3.5 years.

  7. Mueller matrix characterization of flexible plastic substrates

    NASA Astrophysics Data System (ADS)

    Hong, Nina; Synowicki, Ron A.; Hilfiker, James N.

    2017-11-01

    This work reports on Mueller matrix spectroscopic ellipsometry characterization of various flexible plastic substrates that are optically anisotropic with varying degrees of birefringence. The samples are divided into three groups according to the suggested characterization strategy: low birefringence, high birefringence, and twisted birefringence. The first group includes poly(methyl methacrylate) and cyclic olefin copolymer substrates. These are modeled with biaxial anisotropy for the real part of the refractive index while the imaginary part is approximated as isotropic due to small light absorption. The second group includes polyethylene terephthalate and polyethylene naphthalate substrates, which are modeled with biaxial anisotropy for both real and imaginary refractive indices. Lastly, a polyimide substrate is described as two birefringent layers with twisted in-plane orientation.

  8. Optoelectronic Performance Variations in InGaN/GaN Multiple-Quantum-Well Light-Emitting Diodes: Effects of Potential Fluctuation.

    PubMed

    Islam, Abu Bashar Mohammad Hamidul; Shim, Jong-In; Shin, Dong-Soo

    2018-05-07

    We investigate the cause of the optoelectronic performance variations in InGaN/GaN multiple-quantum-well blue light-emitting diodes, using three different samples from an identical wafer grown on a c -plane sapphire substrate. Various macroscopic measurements have been conducted, revealing that with increasing strain in the quantum wells (QWs), the crystal quality improves with an increasing peak internal quantum efficiency while the droop becomes more severe. We propose to explain these variations using a model where the in-plane local potential fluctuation in QWs is considered. Our work is contrasted with prior works in that macroscopic measurements are utilized to find clues on the microscopic changes and their impacts on the device performances, which has been rarely attempted.

  9. Preparation of CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films on Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yamamoto, Yukio; Yamaguchi, Toshiyuki; Suzuki, Masayoshi

    For fabricating efficient tandem solar cells, CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films have been prepared on Si(100), Si(110) and Si(111) substrates in the temperature range (R.T.{approximately}400 C) by rf sputtering. From EPMA analysis, these sputtered thin films are found to be nearly stoichiometric over the whole substrate temperature range, irrespective of the azimuth plane of the Si substrate. XPS studies showed that the compositional depth profile in these thin films is uniform. X-ray diffraction analysis indicated that all the thin films had a chalcopyrite structure. CuIn{sub x}Ga{sub 1{minus}x}Se{sub 2} thin films were strongly oriented along the (112) plane with increasingmore » the substrate temperature, independent of the azimuth plane of the Si substrate, suggesting the larger grain growth.« less

  10. Intracavity frequency doubling of a continuous wave Ti:sapphire ring laser and application in resonance Raman spectroscopy of heme protein dynamics

    NASA Astrophysics Data System (ADS)

    Buchter, Scott C.; Williams, Curtis; Schulte, Alfons; Alekel, Theodore, III; Mizell, Gregory J.; Fay, William R.

    1995-04-01

    Noncritical temperature-tuned phase-matching and large nonlinear coefficients make potassium niobate an attractive material for frequency doubling tuneable near-infrared radiation. We have mounted a KNbO3 crystal intracavity in an argon ion pumped, continuous wave Ti:Sapphire ring laser to increase the power level of the second harmonic. Wavelength selection at the fundamental frequency is accomplished with a birefringent filter. By using the crystal orientation that defines the d32 coefficient of KNbO3 we have obtained a blue second harmonic output tuneable from 425-445 nm. The laser is also characterized by the narrow linewidth of the Ti:Sapphire ring oscillator and good temporal stability. A continuous wave, frequency doubled Ti:sapphire laser is well suited to excite the resonance Raman spectrum in heme proteins with strong absorption bands in the range of 400 to 450 nm. We demonstrate the feasibility of such a setup for Raman studies of ligand binding to myoglobin. The Raman bands yield information on the reaction dynamics and on conformational changes near the linkage between the heme and the protein. In particular, a shift of the stretch frequency of the iron- histidine bond with high pressure may be attributed to a protein conformational change.

  11. Materials processing by use of a Ti:Sapphire laser with automatically-adjustable pulse duration

    NASA Astrophysics Data System (ADS)

    Kamata, M.; Imahoko, T.; Ozono, K.; Obara, M.

    We have developed an automatic pulsewidth-adjustable femtosecond Ti:Sapphire laser system that can generate an output of 50 fs-1 ps in duration, and sub-mJ/pulse at a repetition rate of 1 kpps. The automatic pulse compressor enables one to control the pulsewidth in the range of 50 fs-1 ps by use of a personal computer (PC). The compressor can change the distance in-between and the tilt angle of the grating pairs by use of two stepping motors and two piezo-electric transducer(PZT) driven actuators, respectively. Both are controlled by a PC. Therefore, not only control of the pulsewidth, but also of the optical chirp becomes easy. By use of this femtosecond laser system, we fabricated a waveguide in fused quartz. The numerical aperture is chosen to 0.007 to loosely focus the femtosecond laser. The fabricated waveguides are well controllable by the incident laser pulsewidth. We also demonstrated the ablation processing of hydroxyapatite (Ca10(PO4)6(OH)2), which is a key component of human tooth and human bone for orthopedics and dentistry. With pulsewidth tunable output from 50 fs through 2 ps at 1 kpps, the chemical content of calcium and phosphorus is kept unchanged before and after 50-fs-2-ps laser ablation. We also demonstrated the precise ablation processing of human tooth enamel with 2 ps Ti:Sapphire laser.

  12. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study

    PubMed Central

    Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-01-01

    Introduction Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. Aim The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Materials and Methods Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. Results The light absorption values obtained from spectrofluorometeric study were 3300000–3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000–6500000 cps for Group 2

  13. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study.

    PubMed

    Mohamed, Jauhar P; Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-08-01

    Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. The light absorption values obtained from spectrofluorometeric study were 3300000-3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000-6500000 cps for Group 2 (polycrystalline ceramic brackets) and 2700000 -3000000 cps for

  14. Method of fabricating multiwavelength infrared focal plane array detector

    NASA Technical Reports Server (NTRS)

    Forrest, Stephen R. (Inventor); Olsen, Gregory H. (Inventor); Kim, Dong-Su (Inventor); Lange, Michael J. (Inventor)

    1996-01-01

    A multiwavelength local plane array infrared detector is included on a common substrate having formed on its top face a plurality of In.sub.x Ga.sub.1-x As (x.ltoreq.0.53) absorption layers, between each pair of which a plurality of InAs.sub.y P.sub.1-y (y.ltoreq.1) buffer layers are formed having substantially increasing lattice parameters, respectively, relative to said substrate, for preventing lattice mismatch dislocations from propagating through successive ones of the absorption layers of decreasing bandgap relative to said substrate, whereby a plurality of detectors for detecting different wavelengths of light for a given pixel are provided by removing material above given areas of successive ones of the absorption layers, which areas are doped to form a pn junction with the surrounding unexposed portions of associated absorption layers, respectively, with metal contacts being formed on a portion of each of the exposed areas, and on the bottom of the substrate for facilitating electrical connections thereto.

  15. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    NASA Technical Reports Server (NTRS)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  16. HgCdTe Growth on 6 cm × 6 cm CdZnTe Substrates for Large-Format Dual-Band Infrared Focal-Plane Arrays

    NASA Astrophysics Data System (ADS)

    Reddy, M.; Peterson, J. M.; Lofgreen, D. D.; Vang, T.; Patten, E. A.; Radford, W. A.; Johnson, S. M.

    2010-07-01

    This paper describes molecular-beam epitaxy growth of mid-wavelength infrared (MWIR) and long-wavelength infrared (LWIR) dual-band device structures on large-area (6 cm × 6 cm) CdZnTe substrates. Wafer-level composition and defect mapping techniques were used to investigate the limiting mechanisms in improving the cutoff wavelength ( λ c) uniformity and reducing the defect density. Structural quality of epitaxial layers was monitored using etch pit density (EPD) measurements at various depths in the epitaxial layers. Finally, 640 × 480, 20- μm-pixel-pitch dual-band focal-plane arrays (FPAs) were fabricated to demonstrate the overall maturity of growth and fabrication processes of epitaxial layers. The MWIR/LWIR dual-band layers, at optimized growth conditions, show a λ c variation of ±0.15 μm across a 6 cm × 6 cm CdZnTe substrate, a uniform low macrodefect density with an average of 1000 cm-2, and an average EPD of 1.5 × 105 cm-2. FPAs fabricated using these layers show band 1 (MWIR) noise equivalent temperature difference (NETD) operability of 99.94% and band 2 (LWIR) NETD operability of 99.2%, which are among the highest reported to date.

  17. Removal of Lattice Imperfections that Impact the Optical Quality of Ti:Sapphire using Advanced Magnetorheological Finishing Techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Menapace, J A; Schaffers, K I; Bayramian, A J

    2007-10-09

    Ti:sapphire has become the premier lasing medium material for use in solid-state femtosecond high-peak power laser systems because of its wide wavelength tuning range. With a tuneable range from 680 to 1100 nm, peaking at 800 nm, Ti:sapphire lasing crystals can easily be tuned to the required pump wavelength and provide very high pump brightness due to their good beam quality and high output power of typically several watts. Femtosecond lasers are used for precision cutting and machining of materials ranging from steel to tooth enamel to delicate heart tissue and high explosives. These ultra-short pulses are too brief tomore » transfer heat or shock to the material being cut, which means that cutting, drilling, and machining occur with virtually no damage to surrounding material. Furthermore, these lasers can cut with high precision, making hairline cuts of less than 100 microns in thick materials along a computer-generated path. Extension of laser output to higher energies is limited by the size of the amplification medium. Yields of high quality large diameter crystals have been constrained by lattice distortions that may appear in the boule limiting the usable area from which high quality optics can be harvested. Lattice distortions affect the transmitted wavefront of these optics which ultimately limits the high-end power output and efficiency of the laser system, particularly when operated in multi-pass mode. To make matters even more complicated, Ti:sapphire is extremely hard (Mohs hardness of 9 with diamond being 10) which makes it extremely difficult to accurately polish using conventional methods without subsurface damage or significant wavefront error. In this presentation, we demonstrate for the first time that Magnetorheological finishing (MRF) can be used to compensate for the lattice distortions in Ti:sapphire by perturbing the transmitted wavefront. The advanced MRF techniques developed allow for precise polishing of the optical inverse of lattice

  18. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  19. High-temperature sapphire optical sensor fiber coatings

    NASA Astrophysics Data System (ADS)

    Desu, Seshu B.; Claus, Richard O.; Raheem, Ruby; Murphy, Kent A.

    1990-10-01

    the filter. These modes may be attributed to a number of material degradation mechanisms, such as thermal shock, oxidation corrosion of the material, mechanical loads, or phase changes in the filter material. Development of high temperature optical fiber (sapphire) sensors embedded in the CXF filters would be very valuable for both monitoring the integrity of the filter during its use and understanding the mechanisms of degradation such that durable filter development will be facilitated. Since the filter operating environment is very harsh, the high temperature sapphire optical fibers need to be protected and for some sensing techniques the fiber must also be coated with low refractive index film (cladding). The objective of the present study is to identify materials and develop process technologies for the application of claddings and protective coatings that are stable and compatible with sapphire fibers at both high temperatures and pressures.

  20. Light refraction in sapphire plates with a variable angle of crystal optical axis to the surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vetrov, V. N., E-mail: vasvetrov@mail.ru; Ignatenkov, B. A.

    2013-05-15

    The modification of sapphire by inhomogeneous plastic deformation makes it possible to obtain plates with a variable angle of inclination of the crystal optical axis to the plate surface. The refraction of light in this plate at perpendicular and oblique incidence of a parallel beam of rays is considered. The algorithm of calculating the refractive index of extraordinary ray and the birefringence is proposed.