Optimized Varian aSi portal dosimetry: development of datasets for collective use.
Van Esch, Ann; Huyskens, Dominique P; Hirschi, Lukas; Baltes, Christof
2013-11-04
Although much literature has been devoted to portal dosimetry with the Varian amorphous silicon (aSi) portal imager, the majority of the described methods are not routinely adopted because implementation procedures are cumbersome and not within easy reach of most radiotherapy centers. To make improved portal dosimetry solutions more generally available, we have investigated the possibility of converting optimized configurations into ready-to-use standardized datasets. Firstly, for all commonly used photon energies (6, 10, 15, 18, and 20 MV), basic beam data acquired on 20 aSi panels were used to assess the interpanel reproducibility. Secondly, a standardized portal dose image prediction (PDIP) algorithm configuration was created for every energy, using a three-step process to optimize the aSi dose response function and profile correction files for the dosimetric calibration of the imager panel. An approximate correction of the backscatter of the Exact arm was also incorporated. Thirdly, a set of validation fields was assembled to assess the accuracy of the standardized configuration. Variations in the basic beam data measured on different aSi panels very rarely exceeded 2% (2 mm) and are of the same order of magnitude as variations between different Clinacs when measuring in reference conditions in water. All studied aSi panels can hence be regarded as nearly identical. Standardized datasets were successfully created and implemented. The test package proved useful in highlighting possible problems and illustrating remaining limitations, but also in demonstrating the good overall results (95% pass rate for 3%,3 mm) that can be obtained. The dosimetric behavior of all tested aSi panels was found to be nearly identical for all tested energies. The approach of using standardized datasets was then successfully tested through the creation and evaluation of PDIP preconfigured datasets that can be used within the Varian portal dosimetry solution.
A Standardization Framework for Electronic Government Service Portals
NASA Astrophysics Data System (ADS)
Sarantis, Demetrios; Tsiakaliaris, Christos; Lampathaki, Fenareti; Charalabidis, Yannis
Although most eGovernment interoperability frameworks (eGIFs) cover adequately the technical aspects of developing and supporting the provision of electronic services to citizens and businesses, they do not exclusively address several important areas regarding the organization, presentation, accessibility and security of the content and the electronic services offered through government portals. This chapter extends the scope of existing eGIFs presenting the overall architecture and the basic concepts of the Greek standardization framework for electronic government service portals which, for the first time in Europe, is part of a country's eGovernment framework. The proposed standardization framework includes standards, guidelines and recommendations regarding the design, development and operation of government portals that support the provision of administrative information and services to citizens and businesses. By applying the guidelines of the framework, the design, development and operation of portals in central, regional and municipal government can be systematically addressed resulting in an applicable, sustainable and ever-expanding framework.
Patient activation and use of an electronic patient portal.
Ancker, Jessica S; Osorio, Snezana N; Cheriff, Adam; Cole, Curtis L; Silver, Michael; Kaushal, Rainu
2015-01-01
Electronic patient portals give patients access to personal medical data, potentially creating opportunities to improve knowledge, self-efficacy, and engagement in healthcare. The combination of knowledge, self-efficacy, and engagement has been termed activation. Our objective was to assess the relationship between patient activation and outpatient use of a patient portal. Survey. A telephone survey was conducted with 180 patients who had been given access to a portal, 113 of whom used it and 67 of whom did not. The validated patient activation measure (PAM) was administered along with questions about demographics and behaviors. Portal users were no different from nonusers in patient activation. Portal users did have higher education level and more frequent Internet use, and were more likely to have precisely 2 prescription medications than to have more or fewer. Patients who chose to use an electronic patient portal were not more highly activated than nonusers, although they were more educated and more likely to be Internet users.
Operating range of a gas electron multiplier for portal imaging
NASA Astrophysics Data System (ADS)
Wallmark, M.; Brahme, A.; Danielsson, M.; Fonte, P.; Iacobaeus, C.; Peskov, V.; Östling, J.
2001-09-01
At the Karolinska Institute in Stockholm, Sweden a new detector for portal imaging is under development, which could greatly improve the alignment of the radiation beam with respect to the tumor during radiation treatment. The detector is based on solid converters combined with gas electron multipliers (GEMs) as an amplification structure. The detector has a large area and will be operated in a very high rate environment in the presence of heavy ionizing particles. As was discovered recently high rates and alpha particles could cause discharges in GEM and discharge propagation from GEM to GEM and to the readout electronics. Since reliability is one of the main requirements for the portal imaging device, we performed systematic studies to find a safe operating range of the device, free from typical high rate problems, such as discharges.
The Impact of Electronic Patient Portals on Patient Care: A Systematic Review of Controlled Trials
Ammenwerth, Elske; Schnell-Inderst, Petra
2012-01-01
Background Modern information technology is changing and provides new challenges to health care. The emergence of the Internet and the electronic health record (EHR) has brought new opportunities for patients to play a more active role in his/her care. Although in many countries patients have the right to access their clinical information, access to clinical records electronically is not common. Patient portals consist of provider-tethered applications that allow patients to electronically access health information that are documented and managed by a health care institution. Although patient portals are already being implemented, it is still unclear in which ways these technologies can influence patient care. Objective To systematically review the available evidence on the impact of electronic patient portals on patient care. Methods A systematic search was conducted using PubMed and other sources to identify controlled experimental or quasi-experimental studies on the impact of patient portals that were published between 1990 and 2011. A total of 1,306 references from all the publication hits were screened, and 13 papers were retrieved for full text analysis. Results We identified 5 papers presenting 4 distinct studies. There were no statistically significant changes between intervention and control group in the 2 randomized controlled trials investigating the effect of patient portals on health outcomes. Significant changes in the patient portal group, compared to a control group, could be observed for the following parameters: quicker decrease in office visit rates and slower increase in telephone contacts; increase in number of messages sent; changes of the medication regimen; and better adherence to treatment. Conclusions The number of available controlled studies with regard to patient portals is low. Even when patient portals are often discussed as a way to empower patients and improve quality of care, there is insufficient evidence to support this
MedlinePlus Connect: Linking Patient Portals and Electronic Health Records to Health Information
... Patient portals, patient health record (PHR) systems, and electronic health record (EHR) systems can use MedlinePlus Connect ... patient portal, patient health record (PHR) system, or electronic health record (EHR) system sends a problem, medication, ...
NASA Astrophysics Data System (ADS)
Chytyk-Praznik, Krista Joy
Radiation therapy is continuously increasing in complexity due to technological innovation in delivery techniques, necessitating thorough dosimetric verification. Comparing accurately predicted portal dose images to measured images obtained during patient treatment can determine if a particular treatment was delivered correctly. The goal of this thesis was to create a method to predict portal dose images that was versatile and accurate enough to use in a clinical setting. All measured images in this work were obtained with an amorphous silicon electronic portal imaging device (a-Si EPID), but the technique is applicable to any planar imager. A detailed, physics-motivated fluence model was developed to characterize fluence exiting the linear accelerator head. The model was further refined using results from Monte Carlo simulations and schematics of the linear accelerator. The fluence incident on the EPID was converted to a portal dose image through a superposition of Monte Carlo-generated, monoenergetic dose kernels specific to the a-Si EPID. Predictions of clinical IMRT fields with no patient present agreed with measured portal dose images within 3% and 3 mm. The dose kernels were applied ignoring the geometrically divergent nature of incident fluence on the EPID. A computational investigation into this parallel dose kernel assumption determined its validity under clinically relevant situations. Introducing a patient or phantom into the beam required the portal image prediction algorithm to account for patient scatter and attenuation. Primary fluence was calculated by attenuating raylines cast through the patient CT dataset, while scatter fluence was determined through the superposition of pre-calculated scatter fluence kernels. Total dose in the EPID was calculated by convolving the total predicted incident fluence with the EPID-specific dose kernels. The algorithm was tested on water slabs with square fields, agreeing with measurement within 3% and 3 mm. The
A radiation-tolerant electronic readout system for portal imaging
NASA Astrophysics Data System (ADS)
Östling, J.; Brahme, A.; Danielsson, M.; Iacobaeus, C.; Peskov, V.
2004-06-01
A new electronic portal imaging device, EPID, is under development at the Karolinska Institutet and the Royal Institute of Technology. Due to considerable demands on radiation tolerance in the radiotherapy environment, a dedicated electronic readout system has been designed. The most interesting aspect of the readout system is that it allows to read out ˜1000 pixels in parallel, with all electronics placed outside the radiation beam—making the detector more radiation resistant. In this work we are presenting the function of a small prototype (6×100 pixels) of the electronic readout board that has been tested. Tests were made with continuous X-rays (10-60 keV) and with α particles. The results show that, without using an optimised gas mixture and with an early prototype only, the electronic readout system still works very well.
Jones, James Brian; Weiner, Jonathan P; Shah, Nirav R; Stewart, Walter F
2015-02-20
As providers develop an electronic health record-based infrastructure, patients are increasingly using Web portals to access their health information and participate electronically in the health care process. Little is known about how such portals are actually used. In this paper, our goal was to describe the types and patterns of portal users in an integrated delivery system. We analyzed 12 months of data from Web server log files on 2282 patients using a Web-based portal to their electronic health record (EHR). We obtained data for patients with cardiovascular disease and/or diabetes who had a Geisinger Clinic primary care provider and were registered "MyGeisinger" Web portal users. Hierarchical cluster analysis was applied to longitudinal data to profile users based on their frequency, intensity, and consistency of use. User types were characterized by basic demographic data from the EHR. We identified eight distinct portal user groups. The two largest groups (41.98%, 948/2258 and 24.84%, 561/2258) logged into the portal infrequently but had markedly different levels of engagement with their medical record. Other distinct groups were characterized by tracking biometric measures (10.54%, 238/2258), sending electronic messages to their provider (9.25%, 209/2258), preparing for an office visit (5.98%, 135/2258), and tracking laboratory results (4.16%, 94/2258). There are naturally occurring groups of EHR Web portal users within a population of adult primary care patients with chronic conditions. More than half of the patient cohort exhibited distinct patterns of portal use linked to key features. These patterns of portal access and interaction provide insight into opportunities for electronic patient engagement strategies.
Front-end electronics for the Muon Portal project
NASA Astrophysics Data System (ADS)
Garozzo, S.; Marano, D.; Bonanno, G.; Grillo, A.; Romeo, G.; Timpanaro, M. C.; Lo Presti, D.; Riggi, F.; Russo, V.; Bonanno, D.; La Rocca, P.; Longhitano, F.; Bongiovanni, D. G.; Fallica, G.; Valvo, G.
2016-10-01
The Muon Portal Project was born as a joint initiative between Italian research and industrial partners, aimed at the construction of a real-size working detector prototype to inspect the content of traveling containers by means of secondary cosmic-ray muon radiation and recognize potentially dangerous hidden materials. The tomographic image is obtained by reconstructing the incoming and outgoing muon trajectories when crossing the inspected volume, employing two tracker planes located above and below the container under inspection. In this paper, the design and development of the front-end electronics of the Muon Portal detector is presented, with particular emphasis being devoted to the photo-sensor devices detecting the scintillation light and to the read-out circuitry which is in charge of processing and digitizing the analog pulse signals. In addition, the remote control system, mechanical housing, and thermal cooling system of all structural blocks of the Muon Portal tracker are also discussed, demonstrating the effectiveness and functionality of the adopted design.
Pesticide Electronic Application Submission Portal Updated with New Features
EPA has published an updated version of the Pesticide Submission Portal. This Web-based system for electronic submission of pesticide registration applications to EPA, with new features and functionality.
Use of an electronic patient portal among the chronically ill: an observational study.
Riippa, Iiris; Linna, Miika; Rönkkö, Ilona; Kröger, Virpi
2014-12-08
Electronic patient portals may enhance effective interaction between the patient and the health care provider. To grasp the full potential of patient portals, health care providers need more knowledge on which patient groups prefer electronic services and how patients should be served through this channel. The objective of this study was to assess how chronically ill patients' state of health, comorbidities, and previous care are associated with their adoption and use of a patient portal. A total of 222 chronically ill patients, who were offered access to a patient portal with their health records and secure messaging with care professionals, were included in the study. Differences in the characteristics of non-users, viewers, and interactive users of the patient portal were analyzed before access to the portal. Patients' age, gender, diagnoses, levels of the relevant physiological measurements, health care contacts, and received physiological measurements were collected from the care provider's electronic health record. In addition, patient-reported health and patient activation were assessed by a survey. Despite the broad range of measures used to indicate the patients' state of health, the portal user groups differed only in their recorded diagnosis for hypertension, which was most common in the non-user group. However, there were significant differences in the amount of care received during the year before access to the portal. The non-user group had more nurse visits and more measurements of relevant physiological outcomes than viewers and interactive users. They also had fewer referrals to specialized care during the year before access to the portal than the two other groups. The viewers and the interactive users differed from each other significantly in the number of nurse calls received, the interactive users having more calls than the viewers. No significant differences in age, gender, or patient activation were detected between the user groups. Previous
Investigation of the optimal backscatter for an aSi electronic portal imaging device.
Ko, Lung; Kim, Jong Oh; Siebers, Jeffrey V
2004-05-07
The effects of backscattered radiation on the dosimetric response of the Varian aS500 amorphous silicon electronic portal imaging device (EPID) are studied. Measurements demonstrate that radiation backscattered from the EPID mechanical support structure causes 5% asymmetries in the detected signal. To minimize the effect of backscattered radiation from the support structure, this work proposes adding material downstream of the EPID phosphor which provides uniform backscattering material to the phosphor and attenuates backscatter from the support structure before it reaches the phosphor. Two material locations were studied: downstream of the existing image cassette and within the cassette, immediately downstream of the flat-panel imager glass panel. Monte Carlo simulations were used to determine the thicknesses of water, Pb and Cu backscattering materials required to saturate the backscattered signal response for 6 MV and 18 MV beams for material thicknesses up to 50 mm. Water was unable to saturate the backscattered signal for thicknesses up to 50 mm for both energies. For Pb, to obtain a signal within 1% of saturation, 3 mm was required at 6 MV, and 6.8 mm was required at 18 MV. For Cu, thicknesses of 20.6 mm and 22.6 mm were required for the 6 MV and 18 MV beams, respectively. For saturation thicknesses, at 6 MV, the Cu backscatter enhanced the signal more than for Pb (Cu 1.25, Pb 1.11), but at 18 MV the reverse was found (Cu 1.19, Pb 1.23). This is due to the fact that at 6 MV, the backscattered radiation signal is dominated by low-energy scattered photons, which are readily attenuated by the Pb, while at 18 MV, electron backscatter contributes substantially to the signal. Image blurring caused by backscatter spread was less for Pb than Cu. Placing Pb immediately downstream of the glass panel further reduced the signal spread and increased the backscatter enhancement to 1.20 and 1.39 for the 6 MV and 18 MV beams, respectively. Overall, it is determined that
High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+
NASA Astrophysics Data System (ADS)
Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.
2018-03-01
The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.
Goldzweig, Caroline Lubick; Orshansky, Greg; Paige, Neil M; Towfigh, Ali Alexander; Haggstrom, David A; Miake-Lye, Isomi; Beroes, Jessica M; Shekelle, Paul G
2013-11-19
Patient portals tied to provider electronic health record (EHR) systems are increasingly popular. To systematically review the literature reporting the effect of patient portals on clinical care. PubMed and Web of Science searches from 1 January 1990 to 24 January 2013. Hypothesis-testing or quantitative studies of patient portals tethered to a provider EHR that addressed patient outcomes, satisfaction, adherence, efficiency, utilization, attitudes, and patient characteristics, as well as qualitative studies of barriers or facilitators, were included. Two reviewers independently extracted data and addressed discrepancies through consensus discussion. From 6508 titles, 14 randomized, controlled trials; 21 observational, hypothesis-testing studies; 5 quantitative, descriptive studies; and 6 qualitative studies were included. Evidence is mixed about the effect of portals on patient outcomes and satisfaction, although they may be more effective when used with case management. The effect of portals on utilization and efficiency is unclear, although patient race and ethnicity, education level or literacy, and degree of comorbid conditions may influence use. Limited data for most outcomes and an absence of reporting on organizational and provider context and implementation processes. Evidence that patient portals improve health outcomes, cost, or utilization is insufficient. Patient attitudes are generally positive, but more widespread use may require efforts to overcome racial, ethnic, and literacy barriers. Portals represent a new technology with benefits that are still unclear. Better understanding requires studies that include details about context, implementation factors, and cost.
Patient Use of the Electronic Communication Portal in Management of Type 2 Diabetes.
Peremislov, Diana
2017-09-01
High incidence and prevalence of type 2 diabetes require urgent attention to the management of this chronic disease. The purpose of this study was to explore electronic communication (e-communication) between patients with type 2 diabetes and their providers within the patient portal. Qualitative design with conventional content analysis techniques was used. A purposive random sample of 90 electronic medical record charts of patient-portal users with type 2 diabetes was subjected to a retrospective review. The sample mainly consisted of patients between the ages of 50 and 70 years, who were white, non-Hispanic, and English-speaking. The three major themes that emerged in e-communication via patient portal were inform theme, which was the most frequently identified theme; instruct/request theme, which was mainly used in initiation of e-communication; and the question theme. The patient portal was used primarily for requests by patients and instruction by providers, showing relatively short e-message encounters with a high number of partially completed encounters, frequent lack of resolution, and a low level of involvement of diabetes specialists in e-communication. There is a need to revise healthcare system guidelines on initiation and use of e-communication via patient portal and develop standardized templates to promote diabetes education in type 2 diabetes.
Validation of a Novel Electronic Health Record Patient Portal Advance Care Planning Delivery System.
Bose-Brill, Seuli; Feeney, Michelle; Prater, Laura; Miles, Laura; Corbett, Angela; Koesters, Stephen
2018-06-26
Advance care planning allows patients to articulate their future care preferences should they no longer be able to make decisions on their own. Early advance care planning in outpatient settings provides benefits such as less aggressive care and fewer hospitalizations, yet it is underutilized due to barriers such as provider time constraints and communication complexity. Novel methods, such as patient portals, provide a unique opportunity to conduct advance care planning previsit planning for outpatient care. This follow-up to our pilot study aimed to conduct pragmatic testing of a novel electronic health record-tethered framework and its effects on advance care planning delivery in a real-world primary care setting. Our intervention tested a previsit advance care planning workflow centered around a framework sent via secure electronic health record-linked patient portal in a real-world clinical setting. The primary objective of this study was to determine its impact on frequency and quality of advance care planning documentation. We conducted a pragmatic trial including 2 sister clinical sites, one site implementing the intervention and the other continuing standard care. A total of 419 patients aged between 50 and 93 years with active portal accounts received intervention (n=200) or standard care (n=219). Chart review analyzed the presence of advance care planning and its quality and was graded with previously established scoring criteria based on advance care planning best practice guidelines from multiple nations. A total of 19.5% (39/200) of patients who received previsit planning responded to the framework. We found that the intervention site had statistically significant improvement in new advance care planning documentation rates (P<.01) and quality (P<.01) among all eligible patients. Advance care planning documentation rates increased by 105% (19/39 to 39/39) and quality improved among all patients who engaged in the previsit planning framework (n=39
North, Frederick; Hanna, Barbara K; Crane, Sarah J; Smith, Steven A; Tulledge-Scheitel, Sidna M; Stroebel, Robert J
2011-12-01
The patient portal is a web service which allows patients to view their electronic health record, communicate online with their care teams, and manage healthcare appointments and medications. Despite advantages of the patient portal, registrations for portal use have often been slow. Using a secure video system on our existing exam room electronic health record displays during regular office visits, the authors showed patients a video which promoted use of the patient portal. The authors compared portal registrations and portal use following the video to providing a paper instruction sheet and to a control (no additional portal promotion). From the 12,050 office appointments examined, portal registrations within 45 days of the appointment were 11.7%, 7.1%, and 2.5% for video, paper instructions, and control respectively (p<0.0001). Within 6 months following the interventions, 3.5% in the video cohort, 1.2% in the paper, and 0.75% of the control patients demonstrated portal use by initiating portal messages to their providers (p<0.0001).
Lin, Liteng; Cai, Mingyue; Deng, Shaohui; Huang, Wensou; Huang, Jingjun; Huang, Xinghua; Huang, Mingsheng; Wang, Yong; Shuai, Xintao; Zhu, Kangshun
2017-10-01
Portal hypertension (PH), a leading cause of mortality in cirrhosis, lacks effective clinical therapeutic strategies. The increased thromboxane A 2 (TXA 2 ), derived primarily from the upregulation of cyclooxygenase-1 (COX-1) in cirrhotic liver sinusoidal endothelial cells (LSECs), is responsible for hepatic endothelial dysfunction and PH. Thus, blocking the COX-1 pathway in cirrhotic LSECs may benefit the treatment of PH. In this study, hyaluronate-graft-polyethylenimine (HA-PEI) was synthesized for the targeted delivery of COX-1 siRNA to LSECs. Compared to non-targeted PEI, HA-PEI mediated much more efficient siRNA delivery, which resulted in potent targeted gene silencing in LSECs. In vivo, HA-PEI notably increased the accumulation of siRNA along the sinusoidal lining of the liver, inhibited over-activation of the COX-1/TXA 2 pathway in LSECs, and successfully reduced portal pressure in cirrhotic mice. These results highlight the potential of HA-PEI complexed siRNA to serve as a LSECs-specific nanomedical system for effective gene therapy in PH. Copyright © 2017 Elsevier Inc. All rights reserved.
Megan Forster, Megan; Dennison, Kerrie; Callen, Joanne; Andrew, Andrew; Westbrook, Johanna I
Patients have been able to access clinical information from their paper-based health records for a number of years. With the advent of Electronic Medical Records (EMRs) access to this information can now be achieved online using a secure electronic patient portal. The purpose of this study was to investigate maternity patients' use and perceptions of a patient portal developed at the Mater Mothers' Hospital in Brisbane, Australia. A web-based patient portal, one of the first developed and deployed in Australia, was introduced on 26 June 2012. The portal was designed for maternity patients booked at Mater Mothers' Hospital, as an alternative to the paper-based Pregnancy Health Record. Through the portal, maternity patients are able to complete their hospital registration form online and obtain current health information about their pregnancy (via their EMR), as well as access a variety of support tools to use during their pregnancy such as tailored public health advice. A retrospective cross-sectional study design was employed. Usage statistics were extracted from the system for a one year period (1 July 2012 to 30 June 2013). Patients' perceptions of the portal were obtained using an online survey, accessible by maternity patients for two weeks in February 2013 (n=80). Descriptive statistics were employed to analyse the data. Between July 2012 and June 2013, 10,892 maternity patients were offered a patient portal account and access to their EMR. Of those 6,518 created one (60%; 6,518/10,892) and 3,104 went on to request access to their EMR (48%; 3,104/6,518). Of these, 1,751 had their access application granted by 30 June 2013. The majority of maternity patients submitted registration forms online via the patient portal (56.7%). Patients could view their EMR multiple times: there were 671 views of the EMR, 2,781 views of appointment schedules and 135 birth preferences submitted via the EMR. Eighty survey responses were received from EMR account holders, (response
Electronic Health Record Portal Adoption: a cross country analysis.
Tavares, Jorge; Oliveira, Tiago
2017-07-05
This study's goal is to understand the factors that drive individuals to adopt Electronic Health Record (EHR) portals and to estimate if there are differences between countries with different healthcare models. We applied a new adoption model using as a starting point the extended Unified Theory of Acceptance and Use of Technology (UTAUT2) by incorporating the Concern for Information Privacy (CFIP) framework. To evaluate the research model we used the partial least squares (PLS) - structural equation modelling (SEM) approach. An online questionnaire was administrated in the United States (US) and Europe (Portugal). We collected 597 valid responses. The statistically significant factors of behavioural intention are performance expectancy ([Formula: see text] total = 0.285; P < 0.01), effort expectancy ([Formula: see text] total = 0.160; P < 0.01), social influence ([Formula: see text] total = 0.198; P < 0.01), hedonic motivation ([Formula: see text] total = -0.141; P < 0.01), price value ([Formula: see text] total = 0.152; P < 0.01), and habit ([Formula: see text] total = 0.255; P < 0.01). The predictors of use behaviour are habit ([Formula: see text] total = 0.145; P < 0.01), and behavioural intention ([Formula: see text] total = 0.480; P < 0.01). Social influence, hedonic motivation, and price value are only predictors in the US group. The model explained 53% of the variance in behavioural intention and 36% of the variance in use behaviour. Our study identified critical factors for the adoption of EHR portals and significant differences between the countries. Confidentiality issues do not seem to influence acceptance. The EHR portals usage patterns are significantly higher in US compared to Portugal.
Electron Spin Coherence Times in Si/SiGe Quantum Dots
NASA Astrophysics Data System (ADS)
Jock, R. M.; He, Jianhua; Tyryshkin, A. M.; Lyon, S. A.; Lee, C.-H.; Huang, S.-H.; Liu, C. W.
2014-03-01
Single electron spin states in silicon have shown a great deal of promise as qubits due to their long spin relaxation (T1) and coherence (T2) times. Recent results exhibit a T2 of 250 us for electrons confined in Si/SiGe quantum dots at 350 mK. These experiments used conventional X-band (10 GHz) pulsed Electron Spin Resonance on a large area (3.5 mm x 20 mm), dual-gated, undoped Si/SiGe heterostructure quantum dots. These dots are induced in a natural Si quantum well by e-beam defined gates having a lithographic radius of 150 nm and pitch of 700 nm. The relatively large size of these dots led to closely spaced energy levels and long T2's could only be measured at sub-Kelvin temperatures. At 2K confined electrons displayed a 3 us T2, which is comparable to that of 2D electrons at that temperature. Decreasing the quantum dot size increases the electron confinement and reduces the effects of valley-splitting and spin-orbit coupling on the electron spin coherence times. We will report results on dots with 80 nm lithographic radii and a 375 nm pitch. This device displays an extended electron coherence time of 30 us at 2K, suggesting tighter confinement of electrons. Further measurements at lower temperatures are in progress. This work was supported in part by NSF through the Materials World Network program (DMR-1107606) and the Princeton MRSEC (DMR-0819860), and in part by the U.S. Army Research Office (W911NF-13-1-0179).
NASA Astrophysics Data System (ADS)
Antonuk, Larry E.
2002-03-01
A review of electronic portal imaging devices (EPIDs) used in external beam, megavoltage radiation therapy is presented. The review consists of a brief introduction to the definition, role and clinical significance of portal imaging, along with a discussion of radiotherapy film systems and the motivations for EPIDs. This is followed by a summary of the challenges and constraints inherent to portal imaging along with a concise, historical review of the technologies that have been explored and developed. The paper then examines, in greater depth, the two first-generation technologies that have found widespread clinical use starting from the late 1980s. This is followed by a broad overview of the physics, operation, properties and advantages of active matrix, flat-panel, megavoltage imagers, presently being commercially introduced to clinical environments or expected to be introduced in the future. Finally, a survey of contemporary research efforts focused on improving portal imaging performance by addressing various weaknesses in existing commercial systems is presented.
Visualization of the herpes simplex virus portal in situ by cryo-electron tomography
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cardone, Giovanni; Winkler, Dennis C.; Trus, Benes L.
2007-05-10
Herpes simplex virus type 1 (HSV-1), the prototypical herpesvirus, has an icosahedral nucleocapsid surrounded by a proteinaceous tegument and a lipoprotein envelope. As in tailed bacteriophages, the icosahedral symmetry of the capsid is broken at one of the 12 vertices, which is occupied by a dodecameric ring of portal protein, UL6, instead of a pentamer of the capsid protein, UL19. The portal ring serves as a conduit for DNA entering and exiting the capsid. From a cryo-EM reconstruction of capsids immuno-gold-labeled with anti-UL6 antibodies, we confirmed that UL6 resides at a vertex. To visualize the portal in the context ofmore » the assembled capsid, we used cryo-electron tomography to determine the three-dimensional structures of individual A-capsids (empty, mature capsids). The similarity in size and overall shape of the portal and a UL19 pentamer - both are cylinders of {approx} 800 kDa - combined with residual noise in the tomograms, prevented us from identifying the portal vertices directly; however, this was accomplished by a computational classification procedure. Averaging the portal-containing subtomograms produced a structure that tallies with the isolated portal, as previously reconstructed by cryo-EM. The portal is mounted on the outer surface of the capsid floor layer, with its narrow end pointing outwards. This disposition differs from that of known phage portals in that the bulk of its mass lies outside, not inside, the floor. This distinction may be indicative of divergence at the level of portal-related functions other than its role as a DNA channel.« less
Perez, Raymond P; Finnigan, Shanda; Patel, Krupa; Whitney, Shanell; Forrest, Annemarie
2016-12-15
Use of electronic clinical trial portals has increased in recent years to assist with sponsor-investigator communication, safety reporting, and clinical trial management. Electronic portals can help reduce time and costs associated with processing paperwork and add security measures; however, there is a lack of information on clinical trial investigative staff's perceived challenges and benefits of using portals. The Clinical Trials Transformation Initiative (CTTI) sought to (1) identify challenges to investigator receipt and management of investigational new drug (IND) safety reports at oncologic investigative sites and coordinating centers and (2) facilitate adoption of best practices for communicating and managing IND safety reports using electronic portals. CTTI, a public-private partnership to improve the conduct of clinical trials, distributed surveys and conducted interviews in an opinion-gathering effort to record investigator and research staff views on electronic portals in the context of the new safety reporting requirements described in the US Food and Drug Administration's final rule (Code of Federal Regulations Title 21 Section 312). The project focused on receipt, management, and review of safety reports as opposed to the reporting of adverse events. The top challenge investigators and staff identified in using individual sponsor portals was remembering several complex individual passwords to access each site. Also, certain tasks are time-consuming (eg, downloading reports) due to slow sites or difficulties associated with particular operating systems or software. To improve user experiences, respondents suggested that portals function independently of browsers and operating systems, have intuitive interfaces with easy navigation, and incorporate additional features that would allow users to filter, search, and batch safety reports. Results indicate that an ideal system for sharing expedited IND safety information is through a central portal used by
Brown, Samuel M; Bell, Sigall K; Roche, Stephanie D; Dente, Erica; Mueller, Ariel; Kim, Tae-Eun; O'Reilly, Kristin; Lee, Barbara Sarnoff; Sands, Ken; Talmor, Daniel
2016-03-01
The quality of communication with patients and family members in intensive care units (ICUs) is a focus of current interest for clinical care improvement. Electronic communication portals are commonly used in other healthcare settings to improve communication. We do not know whether patients and family members desire such portals in ICUs, and if so, what functionality they should provide. To define interest in and desired elements of an electronic communication portal among current and potential ICU patients and their family members. We surveyed, via an Internet panel, 1,050 English-speaking adults residing in the United States with a personal or family history of an ICU admission within 10 years (cohort A) and 1,050 individuals without a history of such admission (cohort B). We also administered a survey instrument in person to 105 family members of patients currently admitted to ICUs at an academic medical center in Boston (cohort C). Respondents, especially current ICU family members, supported an electronic communication portal, including access via an electronic tablet. They wanted at least daily updates, one-paragraph summaries of family meetings including a list of key decisions made, and knowledge of the role and experience of treating clinicians. Overall, they preferred detailed rather than "big picture" information. Respondents were generally comfortable sharing information with their family members. Preferences regarding a communication portal varied significantly by age, sex, ethnicity, and prior experience with ICU hospitalization. Electronic communication portals appear welcome in contemporary ICUs. Frequent updates, knowledge about the professional qualifications of clinicians, detailed medical information, and documentation of family meetings are particularly desired.
Samant, Sanjiv S; Gopal, Arun
2006-08-01
Image quality in portal imaging suffers significantly from the loss in contrast and spatial resolution that results from the excessive Compton scatter associated with megavoltage x rays. In addition, portal image quality is further reduced due to the poor quantum efficiency (QE) of current electronic portal imaging devices (EPIDs). Commercial video-camera-based EPIDs or VEPIDs that utilize a thin phosphor screen in conjunction with a metal buildup plate to convert the incident x rays to light suffer from reduced light production due to low QE (<2% for Eastman Kodak Lanex Fast-B). Flat-panel EPIDs that utilize the same luminescent screen along with an a-Si:H photodiode array provide improved image quality compared to VEPIDs, but they are expensive and can be susceptible to radiation damage to the peripheral electronics. In this article, we present a prototype VEPID system for high quality portal imaging at sub-monitor-unit (subMU) exposures based on a thick scintillation crystal (TSC) that acts as a high QE luminescent screen. The prototype TSC system utilizes a 12 mm thick transparent CsI(Tl) (thallium-activated cesium iodide) scintillator for QE=0.24, resulting in significantly higher light production compared to commercial phosphor screens. The 25 X 25 cm2 CsI(Tl) screen is coupled to a high spatial and contrast resolution Video-Optics plumbicon-tube camera system (1240 X 1024 pixels, 250 microm pixel width at isocenter, 12-bit ADC). As a proof-of-principle prototype, the TSC system with user-controlled camera target integration was adapted for use in an existing clinical gantry (Siemens BEAMVIEW(PLUS)) with the capability for online intratreatment fluoroscopy. Measurements of modulation transfer function (MTF) were conducted to characterize the TSC spatial resolution. The measured MTF along with measurements of the TSC noise power spectrum (NPS) were used to determine the system detective quantum efficiency (DQE). A theoretical expression of DQE(0) was developed
ERIC Educational Resources Information Center
Jackson, Mary E.
2002-01-01
Explains portals as tools that gather a variety of electronic information resources, including local library resources, into a single Web page. Highlights include cross-database searching; integration with university portals and course management software; the ARL (Association of Research Libraries) Scholars Portal Initiative; and selected vendors…
Dosimetric Verification of IMRT Treatment Plans Using an Electronic Portal Imaging Device
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kruszyna, Marta
This paper presents the procedures and results of dosimetric verification using an Electronic Portal Imaging Device as a tool for pre-treatment dosimetry in IMRT technique at the Greater Poland Cancer Centre in Poznan, Poland. The evaluation of dosimetric verification for various organ, during a 2 year period is given.
Field electron emission based on resonant tunneling in diamond/CoSi2/Si quantum well nanostructures.
Gu, Changzhi; Jiang, Xin; Lu, Wengang; Li, Junjie; Mantl, Siegfried
2012-01-01
Excellent field electron emission properties of a diamond/CoSi(2)/Si quantum well nanostructure are observed. The novel quantum well structure consists of high quality diamond emitters grown on bulk Si substrate with a nanosized epitaxial CoSi(2) conducting interlayer. The results show that the main emission properties were modified by varying the CoSi(2) thickness and that stable, low-field, high emission current and controlled electron emission can be obtained by using a high quality diamond film and a thicker CoSi(2) interlayer. An electron resonant tunneling mechanism in this quantum well structure is suggested, and the tunneling is due to the long electron mean free path in the nanosized CoSi(2) layer. This structure meets most of the requirements for development of vacuum micro/nanoelectronic devices and large-area cold cathodes for flat-panel displays.
Field electron emission based on resonant tunneling in diamond/CoSi2/Si quantum well nanostructures
Gu, Changzhi; Jiang, Xin; Lu, Wengang; Li, Junjie; Mantl, Siegfried
2012-01-01
Excellent field electron emission properties of a diamond/CoSi2/Si quantum well nanostructure are observed. The novel quantum well structure consists of high quality diamond emitters grown on bulk Si substrate with a nanosized epitaxial CoSi2 conducting interlayer. The results show that the main emission properties were modified by varying the CoSi2 thickness and that stable, low-field, high emission current and controlled electron emission can be obtained by using a high quality diamond film and a thicker CoSi2 interlayer. An electron resonant tunneling mechanism in this quantum well structure is suggested, and the tunneling is due to the long electron mean free path in the nanosized CoSi2 layer. This structure meets most of the requirements for development of vacuum micro/nanoelectronic devices and large-area cold cathodes for flat-panel displays. PMID:23082241
Undoped Si/SiGe Depletion-Mode Few-Electron Double Quantum Dots
NASA Astrophysics Data System (ADS)
Borselli, Matthew; Huang, Biqin; Ross, Richard; Croke, Edward; Holabird, Kevin; Hazard, Thomas; Watson, Christopher; Kiselev, Andrey; Deelman, Peter; Alvarado-Rodriguez, Ivan; Schmitz, Adele; Sokolich, Marko; Gyure, Mark; Hunter, Andrew
2011-03-01
We have successfully formed a double quantum dot in the sSi/SiGe material system without need for intentional dopants. In our design, a two-dimensional electron gas is formed in a strained silicon well by forward biasing a global gate. Lateral definition of quantum dots is established with reverse-biased gates with ~ 40 nm critical dimensions. Low-temperature capacitance and Hall measurements confirm electrons are confined in the Si-well with mobilities > 10 4 cm 2 / V - s . Further characterization identifies practical gate bias limits for this design and will be compared to simulation. Several double dot devices have been brought into the few-electron Coulomb blockade regime as measured by through-dot transport. Honeycomb diagrams and nonlinear through-dot transport measurements are used to quantify dot capacitances and addition energies of several meV. Sponsored by United States Department of Defense. Approved for Public Release, Distribution Unlimited.
Quasi-Two-Dimensional Electron-Hole Liquid in Shallow SiGe/Si Quantum Wells
NASA Astrophysics Data System (ADS)
Vasilchenko, A. A.; Kopytov, G. F.; Krivobok, V. S.
2018-06-01
An analytical expression is obtained for the energy of a quasi-two-dimensional electron-hole liquid (EHL) in shallow quantum wells. It is shown that in the Si/Si1-xGex/Si structures with small x, the EHL contains light and heavy holes. With increasing x, the transition of EHL to a state with heavy holes occurs, and the equilibrium density of electron-hole pairs strongly decreases. The effect of an external electric field on the EHL properties is studied.
Electronic structures of Al-Si clusters and the magic number structure Al8Si4
NASA Astrophysics Data System (ADS)
Du, Ning; Su, Mingzhi; Chen, Hongshan
2018-02-01
The low-energy structures of Al8Sim (m = 1-6) have been determined by using the genetic algorithm combined with density functional theory and the Second-order Moller-Plesset perturbation theory (MP2) models. The results show that the close-packed structures are preferable in energy for Al-Si clusters and in most cases there exist a few isomers with close energies. The valence molecular orbitals, the orbital level structures and the electron localisation function (ELF) consistently demonstrate that the electronic structures of Al-Si clusters can be described by the jellium model. Al8Si4 corresponds to a magic number structure with pronounced stability and large energy gap; the 40 valence electrons form closed 1S21P61D102S21F142P6 shells. The ELF attractors also suggest weak covalent Si-Si, Si-Al and Al-Al bonding, and doping Si in aluminium clusters promotes the covalent interaction between Al atoms.
Louwe, R J W; Tielenburg, R; van Ingen, K M; Mijnheer, B J; van Herk, M B
2004-04-01
This study was performed to determine the stability of liquid-filled matrix ionization chamber (LiFi-type) electronic portal imaging devices (EPID) for dosimetric purposes. The short- and long-term stability of the response was investigated, as well as the importance of factors influencing the response (e.g., temperature fluctuations, radiation damage, and the performance of the electronic hardware). It was shown that testing the performance of the electronic hardware as well as the short-term stability of the imagers may reveal the cause of a poor long-term stability of the imager response. In addition, the short-term stability was measured to verify the validity of the fitted dose-response curve immediately after beam startup. The long-term stability of these imagers could be considerably improved by correcting for room temperature fluctuations and gradual changes in response due to radiation damage. As a result, the reproducibility was better than 1% (1 SD) over a period of two years. The results of this study were used to formulate recommendations for a quality control program for portal dosimetry. The effect of such a program was assessed by comparing the results of portal dosimetry and in vivo dosimetry using diodes during the treatment of 31 prostate patients. The improvement of the results for portal dosimetry was consistent with the deviations observed with the reproducibility tests in that particular period. After a correction for the variation in response of the imager, the average difference between the measured and prescribed dose during the treatment of prostate patients was -0.7%+/-1.5% (1 SD), and -0.6%+/-1.1% (1 SD) for EPID and diode in vivo dosimetry, respectively. It can be concluded that a high stability of the response can be achieved for this type of EPID by applying a rigorous quality control program.
Use of electronic portal imaging devices for electron treatment verification.
Kairn, T; Aland, T; Crowe, S B; Trapp, J V
2016-03-01
This study aims to help broaden the use of electronic portal imaging devices (EPIDs) for pre-treatment patient positioning verification, from photon-beam radiotherapy to photon- and electron-beam radiotherapy, by proposing and testing a method for acquiring clinically-useful EPID images of patient anatomy using electron beams, with a view to enabling and encouraging further research in this area. EPID images used in this study were acquired using all available beams from a linac configured to deliver electron beams with nominal energies of 6, 9, 12, 16 and 20 MeV, as well as photon beams with nominal energies of 6 and 10 MV. A widely-available heterogeneous, approximately-humanoid, thorax phantom was used, to provide an indication of the contrast and noise produced when imaging different types of tissue with comparatively realistic thicknesses. The acquired images were automatically calibrated, corrected for the effects of variations in the sensitivity of individual photodiodes, using a flood field image. For electron beam imaging, flood field EPID calibration images were acquired with and without the placement of blocks of water-equivalent plastic (with thicknesses approximately equal to the practical range of electrons in the plastic) placed upstream of the EPID, to filter out the primary electron beam, leaving only the bremsstrahlung photon signal. While the electron beam images acquired using a standard (unfiltered) flood field calibration were observed to be noisy and difficult to interpret, the electron beam images acquired using the filtered flood field calibration showed tissues and bony anatomy with levels of contrast and noise that were similar to the contrast and noise levels seen in the clinically acceptable photon beam EPID images. The best electron beam imaging results (highest contrast, signal-to-noise and contrast-to-noise ratios) were achieved when the images were acquired using the higher energy electron beams (16 and 20 MeV) when the EPID was
NOTE: A method for controlling image acquisition in electronic portal imaging devices
NASA Astrophysics Data System (ADS)
Glendinning, A. G.; Hunt, S. G.; Bonnett, D. E.
2001-02-01
Certain types of camera-based electronic portal imaging devices (EPIDs) which initiate image acquisition based on sensing a change in video level have been observed to trigger unreliably at the beginning of dynamic multileaf collimation sequences. A simple, novel means of controlling image acquisition with an Elekta linear accelerator (Elekta Oncology Systems, Crawley, UK) is proposed which is based on illumination of a photodetector (ORP-12, Silonex Inc., Plattsburgh, NY, USA) by the electron gun of the accelerator. By incorporating a simple trigger circuit it is possible to derive a beam on/off status signal which changes at least 100 ms before any dose is measured by the accelerator. The status signal does not return to the beam-off state until all dose has been delivered and is suitable for accelerator pulse repetition frequencies of 50-400 Hz. The status signal is thus a reliable means of indicating the initiation and termination of radiation exposure, and thus controlling image acquisition of such EPIDs for this application.
Location and Electronic Nature of Phosphorus in the Si Nanocrystal − SiO2 System
König, Dirk; Gutsch, Sebastian; Gnaser, Hubert; Wahl, Michael; Kopnarski, Michael; Göttlicher, Jörg; Steininger, Ralph; Zacharias, Margit; Hiller, Daniel
2015-01-01
Up to now, no consensus exists about the electronic nature of phosphorus (P) as donor for SiO2-embedded silicon nanocrystals (SiNCs). Here, we report on hybrid density functional theory (h-DFT) calculations of P in the SiNC/SiO2 system matching our experimental findings. Relevant P configurations within SiNCs, at SiNC surfaces, within the sub-oxide interface shell and in the SiO2 matrix were evaluated. Atom probe tomography (APT) and its statistical evaluation provide detailed spatial P distributions. For the first time, we obtain ionisation states of P atoms in the SiNC/SiO2 system at room temperature using X-ray absorption near edge structure (XANES) spectroscopy, eliminating structural artefacts due to sputtering as occurring in XPS. K energies of P in SiO2 and SiNC/SiO2 superlattices (SLs) were calibrated with non-degenerate P-doped Si wafers. results confirm measured core level energies, connecting and explaining XANES spectra with h-DFT electronic structures. While P can diffuse into SiNCs and predominantly resides on interstitial sites, its ionization probability is extremely low, rendering P unsuitable for introducing electrons into SiNCs embedded in SiO2. Increased sample conductivity and photoluminescence (PL) quenching previously assigned to ionized P donors originate from deep defect levels due to P. PMID:25997696
Molecules on si: electronics with chemistry.
Vilan, Ayelet; Yaffe, Omer; Biller, Ariel; Salomon, Adi; Kahn, Antoine; Cahen, David
2010-01-12
Basic scientific interest in using a semiconducting electrode in molecule-based electronics arises from the rich electrostatic landscape presented by semiconductor interfaces. Technological interest rests on the promise that combining existing semiconductor (primarily Si) electronics with (mostly organic) molecules will result in a whole that is larger than the sum of its parts. Such a hybrid approach appears presently particularly relevant for sensors and photovoltaics. Semiconductors, especially Si, present an important experimental test-bed for assessing electronic transport behavior of molecules, because they allow varying the critical interface energetics without, to a first approximation, altering the interfacial chemistry. To investigate semiconductor-molecule electronics we need reproducible, high-yield preparations of samples that allow reliable and reproducible data collection. Only in that way can we explore how the molecule/electrode interfaces affect or even dictate charge transport, which may then provide a basis for models with predictive power.To consider these issues and questions we will, in this Progress Report, review junctions based on direct bonding of molecules to oxide-free Si.describe the possible charge transport mechanisms across such interfaces and evaluate in how far they can be quantified.investigate to what extent imperfections in the monolayer are important for transport across the monolayer.revisit the concept of energy levels in such hybrid systems.
Packaging Technologies for 500C SiC Electronics and Sensors
NASA Technical Reports Server (NTRS)
Chen, Liang-Yu
2013-01-01
Various SiC electronics and sensors are currently under development for applications in 500C high temperature environments such as hot sections of aerospace engines and the surface of Venus. In order to conduct long-term test and eventually commercialize these SiC devices, compatible packaging technologies for the SiC electronics and sensors are required. This presentation reviews packaging technologies developed for 500C SiC electronics and sensors to address both component and subsystem level packaging needs for high temperature environments. The packaging system for high temperature SiC electronics includes ceramic chip-level packages, ceramic printed circuit boards (PCBs), and edge-connectors. High temperature durable die-attach and precious metal wire-bonding are used in the chip-level packaging process. A high temperature sensor package is specifically designed to address high temperature micro-fabricated capacitive pressure sensors for high differential pressure environments. This presentation describes development of these electronics and sensor packaging technologies, including some testing results of SiC electronics and capacitive pressure sensors using these packaging technologies.
NASA Astrophysics Data System (ADS)
King, Simon J.; Price, Stephen D.
2011-02-01
Relative partial ionization cross sections (PICS) for the formation of fragment ions following electron ionization of SiCl4, in the electron energy range 30-200 eV, have been determined using time-of-flight mass spectrometry coupled with an ion coincidence technique. By this method, the contributions to the yield of each fragment ion from dissociative single, double, and triple ionization, are distinguished. These yields are quantified in the form of relative precursor-specific PICS, which are reported here for the first time for SiCl4. For the formation of singly charged ionic fragments, the low-energy maxima appearing in the PICS curves are due to contributions from single ionization involving predominantly indirect ionization processes, while contributions to the yields of these ions at higher electron energies are often dominated by dissociative double ionization. Our data, in the reduced form of relative PICS, are shown to be in good agreement with a previous determination of the PICS of SiCl4. Only for the formation of doubly charged fragment ions are the current relative PICS values lower than those measured in a previous study, although both datasets agree within combined error limits. The relative PICS data presented here include the first quantitative measurements of the formation of Cl2+ fragment ions and of the formation of ion pairs via dissociative double ionization. The peaks appearing in the 2D ion coincidence data are analyzed to provide further information concerning the mechanism and energetics of the charge-separating dissociations of SiCl42+. The lowest energy dicationic precursor state, leading to SiCl3+ + Cl+ formation, lies 27.4 ± 0.3 eV above the ground state of SiCl4 and is in close agreement with a calculated value of the adiabatic double ionization energy (27.3 eV).
King, Simon J; Price, Stephen D
2011-02-21
Relative partial ionization cross sections (PICS) for the formation of fragment ions following electron ionization of SiCl(4), in the electron energy range 30-200 eV, have been determined using time-of-flight mass spectrometry coupled with an ion coincidence technique. By this method, the contributions to the yield of each fragment ion from dissociative single, double, and triple ionization, are distinguished. These yields are quantified in the form of relative precursor-specific PICS, which are reported here for the first time for SiCl(4). For the formation of singly charged ionic fragments, the low-energy maxima appearing in the PICS curves are due to contributions from single ionization involving predominantly indirect ionization processes, while contributions to the yields of these ions at higher electron energies are often dominated by dissociative double ionization. Our data, in the reduced form of relative PICS, are shown to be in good agreement with a previous determination of the PICS of SiCl(4). Only for the formation of doubly charged fragment ions are the current relative PICS values lower than those measured in a previous study, although both datasets agree within combined error limits. The relative PICS data presented here include the first quantitative measurements of the formation of Cl(2) (+) fragment ions and of the formation of ion pairs via dissociative double ionization. The peaks appearing in the 2D ion coincidence data are analyzed to provide further information concerning the mechanism and energetics of the charge-separating dissociations of SiCl(4) (2+). The lowest energy dicationic precursor state, leading to SiCl(3) (+) + Cl(+) formation, lies 27.4 ± 0.3 eV above the ground state of SiCl(4) and is in close agreement with a calculated value of the adiabatic double ionization energy (27.3 eV).
Role of interface states on electron transport in a-Si:H/nc-Si:H multilayer structures
NASA Astrophysics Data System (ADS)
Yadav, Asha; Kumari, Juhi; Agarwal, Pratima
2018-05-01
In this paper we report, I-V characteristic of a-Si:H/nc-Si:H multilayer structures in lateral as well as transverse direction. In lateral geometry, where the interfaces are parallel to the direction of electronic transport, residual photo conductivity (persistent photoconductivity) is observed after the light was turned off. On the other hand, in transverse geometry, where interfaces are along the direction of electronic transport, the space charge limited currents are affected and higher density of states is obtained. The PPC was more in the structures where numbers of such interface were more. These results have been understood in terms of the charge carriers trapped at the interface, which influence the electronic transport.
Patient Portals and Patient Engagement: A State of the Science Review
DeVito Dabbs, Annette; Curran, Christine R
2015-01-01
Background Patient portals (ie, electronic personal health records tethered to institutional electronic health records) are recognized as a promising mechanism to support greater patient engagement, yet questions remain about how health care leaders, policy makers, and designers can encourage adoption of patient portals and what factors might contribute to sustained utilization. Objective The purposes of this state of the science review are to (1) present the definition, background, and how current literature addresses the encouragement and support of patient engagement through the patient portal, and (2) provide a summary of future directions for patient portal research and development to meaningfully impact patient engagement. Methods We reviewed literature from 2006 through 2014 in PubMed, Ovid Medline, and PsycInfo using the search terms “patient portal” OR “personal health record” OR “electronic personal health record”. Final inclusion criterion dictated that studies report on the patient experience and/or ways that patients may be supported to make competent health care decisions and act on those decisions using patient portal functionality. Results We found 120 studies that met the inclusion criteria. Based on the research questions, explicit and implicit aims of the studies, and related measures addressed, the studies were grouped into five major topics (patient adoption, provider endorsement, health literacy, usability, and utility). We discuss the findings and conclusions of studies that address the five topical areas. Conclusions Current research has demonstrated that patients’ interest and ability to use patient portals is strongly influenced by personal factors such age, ethnicity, education level, health literacy, health status, and role as a caregiver. Health care delivery factors, mainly provider endorsement and patient portal usability also contribute to patient’s ability to engage through and with the patient portal. Future
Gurbán, S; Petrik, P; Serényi, M; Sulyok, A; Menyhárd, M; Baradács, E; Parditka, B; Cserháti, C; Langer, G A; Erdélyi, Z
2018-02-01
Al 2 O 3 (5 nm)/Si (bulk) sample was subjected to irradiation of 5 keV electrons at room temperature, in a vacuum chamber (pressure 1 × 10 -9 mbar) and formation of amorphous SiO 2 around the interface was observed. The oxygen for the silicon dioxide growth was provided by the electron bombardment induced bond breaking in Al 2 O 3 and the subsequent production of neutral and/or charged oxygen. The amorphous SiO 2 rich layer has grown into the Al 2 O 3 layer showing that oxygen as well as silicon transport occurred during irradiation at room temperature. We propose that both transports are mediated by local electric field and charged and/or uncharged defects created by the electron irradiation. The direct modification of metal oxide/silicon interface by electron-beam irradiation is a promising method of accomplishing direct write electron-beam lithography at buried interfaces.
Packaging Technology for SiC High Temperature Electronics
NASA Technical Reports Server (NTRS)
Chen, Liang-Yu; Neudeck, Philip G.; Spry, David J.; Meredith, Roger D.; Nakley, Leah M.; Beheim, Glenn M.; Hunter, Gary W.
2017-01-01
High-temperature environment operable sensors and electronics are required for long-term exploration of Venus and distributed control of next generation aeronautical engines. Various silicon carbide (SiC) high temperature sensors, actuators, and electronics have been demonstrated at and above 500 C. A compatible packaging system is essential for long-term testing and application of high temperature electronics and sensors in relevant environments. This talk will discuss a ceramic packaging system developed for high temperature electronics, and related testing results of SiC integrated circuits at 500 C facilitated by this high temperature packaging system, including the most recent progress.
Low-energy electron stimulated desorption of neutrals from multilayers of SiCl4 on Si(111).
Lane, Christopher D; Orlando, Thomas M
2006-04-28
The interaction of low-energy electrons with multilayers of SiCl(4) adsorbed on Si(111) leads to production and desorption of Cl((2)P(32)), Cl((2)P(12)), Si, and SiCl. Resonant structure in the yield versus incident electron energy (E(i)) between 6 and 12 eV was seen in all neutral channels and assigned to dissociative electron attachment (DEA), unimolecular decay of excited products produced via autodetachment and direct dissociation. These processes yield Cl((2)P(32)) and Cl((2)P(12)) with nonthermal kinetic energies of 425 and 608 meV, respectively. The Cl((2)P(12)) is produced solely at the vacuum surface interface, whereas the formation of Cl((2)P(32)) likely involves subsurface dissociation, off-normal trajectories, and collisions with neighbors. Structure in the Cl((2)P(32)) yield near 14 and 25 eV can originate from excitation of electrons in the 2e, 7t(2) and 6t(2), 6a(1) levels, respectively. Although the 14 eV feature was not present in the Cl((2)P(12)) yield, the broad 25 eV feature, which involves complex Auger filling of holes in the 6t(2) and 6a(1) levels of SiCl(4), is observed. Direct ionization, exciton decay, and DEA from secondary electron scattering all occur at E(i)>14 eV. Si and SiCl were detected via nonresonant ionization of SiCl(x) precursors that are produced via the same states and mechanisms that yield Cl. The Si retains the kinetic energy profile of the desorbed precursors.
Samant, Sanjiv S; Gopal, Arun
2006-09-01
Megavoltage x-ray imaging suffers from reduced image quality due to low differential x-ray attenuation and large Compton scatter compared with kilovoltage imaging. Notwithstanding this, electronic portal imaging devices (EPIDs) are now widely used in portal verification in radiotherapy as they offer significant advantages over film, including immediate digital imaging and superior contrast range. However video-camera-based EPIDs (VEPIDs) are limited by problems of low light collection efficiency and significant light scatter, leading to reduced contrast and spatial resolution. Indirect and direct detection-based flat-panel EPIDs have been developed to overcome these limitations. While flat-panel image quality has been reported to exceed that achieved with portal film, these systems have detective quantum efficiency (DQE) limited by the thin detection medium and are sensitive to radiation damage to peripheral read-out electronics. An alternative technology for high-quality portal imaging is presented here: kinesatic charge detection (KCD). The KCD is a scanning tri-electrode ion-chamber containing high-pressure noble gas (xenon at 100 atm) used in conjunction with a strip-collimated photon beam. The chamber is scanned across the patient, and an external electric field is used to regulate the cation drift velocity. By matching the scanning velocity with that of the cation (i.e., ion) drift velocity, the cations remain static in the object frame of reference, allowing temporal integration of the signal. The KCD offers several advantages as a portal imaging system. It has a thick detector geometry with an active detection depth of 6.1 cm, compared to the sub-millimeter thickness of the phosphor layer in conventional phosphor screens, leading to an order of magnitude advantage in quantum efficiency (>0.3). The unique principle of and the use of the scanning strip-collimated x-ray beam provide further integration of charges in time, reduced scatter, and a significantly
Bouamrane, Matt-Mouley; Mair, Frances S
2014-11-19
Effective surgical pre-assessment will depend upon the collection of relevant medical information, good data management and communication between the members of the preoperative multi-disciplinary team. NHS Greater Glasgow and Clyde has implemented an electronic preoperative integrated care pathway (eForm) allowing all hospitals to access a comprehensive patient medical history via a clinical portal on the health-board intranet. We conducted six face-to-face semi-structured interviews and participated in one focus group and two workshops with key stakeholders involved in the Planned Care Improvement (PCIP) and Electronic Patient Record programmes. We used qualitative methods and Normalisation Process Theory in order to identify the key factors which led to the successful deployment of the preoperative eForm in the health-board. In January 2013, more than 90,000 patient preoperative assessments had been completed via the electronic portal. Two complementary strategic efforts were instrumental in the successful deployment of the preoperative eForm. At the local health-board level: the PCIP led to the rationalisation of surgical pre-assessment clinics and the standardisation of preoperative processes. At the national level: the eHealth programme selected portal technology as an iterative strategic technology solution towards a virtual electronic patient record. Our study has highlighted clear synergies between these two standardisation efforts. The adoption of the eForm into routine preoperative work practices can be attributed to: (i) a policy context - including performance targets - promoting the rationalisation of surgical pre-assessment pathways, (ii) financial and organisational resources to support service redesign and the use of information technology for operationalising the standardisation of preoperative processes, (iii) a sustained engagement with stakeholders throughout the iterative phases of the preoperative clinics redesign, guidelines standardisation
PSUP: A Planetary SUrface Portal
NASA Astrophysics Data System (ADS)
Poulet, F.; Quantin-Nataf, C.; Ballans, H.; Dassas, K.; Audouard, J.; Carter, J.; Gondet, B.; Lozac'h, L.; Malapert, J.-C.; Marmo, C.; Riu, L.; Séjourné, A.
2018-01-01
The large size and complexity of planetary data acquired by spacecraft during the last two decades create a demand within the planetary community for access to the archives of raw and high level data and for the tools necessary to analyze these data. Among the different targets of the Solar System, Mars is unique as the combined datasets from the Viking, Mars Global Surveyor, Mars Odyssey, Mars Express and Mars Reconnaissance Orbiter missions provide a tremendous wealth of information that can be used to study the surface of Mars. The number and the size of the datasets require an information system to process, manage and distribute data. The Observatories of Paris Sud (OSUPS) and Lyon (OSUL) have developed a portal, called PSUP (Planetary SUrface Portal), for providing users with efficient and easy access to data products dedicated to the Martian surface. The objectives of the portal are: 1) to allow processing and downloading of data via a specific application called MarsSI (Martian surface data processing Information System); 2) to provide the visualization and merging of high level (image, spectral, and topographic) products and catalogs via a web-based user interface (MarsVisu), and 3) to distribute some of these specific high level data with an emphasis on products issued by the science teams of OSUPS and OSUL. As the MarsSI service is extensively described in a companion paper (Quantin-Nataf et al., companion paper, submitted to this special issue), the present paper focus on the general architecture and the functionalities of the web-based user interface MarsVisu. This service provides access to many data products for Mars: albedo, mineral and thermal inertia global maps from spectrometers; mosaics from imagers; image footprints and rasters from the MarsSI tool; high level specific products (defined as catalogs or vectors). MarsVisu can be used to quickly assess the visualized processed data and maps as well as identify areas that have not been mapped yet
NASA Astrophysics Data System (ADS)
Li, X. D.; Li, K.; Wei, C. H.; Han, W. D.; Zhou, N. G.
2018-06-01
The structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 are systematically investigated by using first-principles calculations method based on density functional theory (DFT). The calculated formation enthalpies and cohesive energies show that CaSi2 possesses the greatest structural stability and CaSi has the strongest alloying ability. The structural stability of the three phases is compared according to electronic structures. Further analysis on electronic structures indicates that the bonding of these phases exhibits the combinations of metallic, covalent, and ionic bonds. The elastic constants are calculated, and the bulk modulus, shear modulus, Young's modulus, Poisson's ratio, and anisotropy factor of polycrystalline materials are deduced. Additionally, the thermodynamic properties were theoretically predicted and discussed.
Neuner, Joan; Fedders, Megan; Caravella, Mary; Bradford, Lisa; Schapira, Marilyn
2014-01-01
Many physicians are adopting patient portals in response to governmental incentives for meaningful use (MU), but the stage 2 requirements for portal use may be particularly challenging for newer electronic health record (EHR) users. This study examined enrollment, use based on MU requirements, and satisfaction in a recently adopting fee-for-service multispecialty system. Between 2010–2012, overall portal enrollment increased from 13.2% to 23.1% but varied substantially by physician specialty. In 2013, over 97% of physicians would have met requirements for a stage 2 MU utilization measure requiring that patients download personal health information, but only 38% of all physicians (87% of primary care physicians [PCPs] and 37% of other specialists) would have met e-mail requirements. Satisfaction with the portal overall and with portal-based e-mails was high. These results suggest that later-adopting PCPs can succeed in providing satisfactory record and e-mail access but specialists may find reaching e-mail thresholds more difficult. PMID:24563085
Wallace, Lorraine S.; Angier, Heather; Huguet, Nathalie; Gaudino, James A.; Krist, Alex; Dearing, Marla; Killerby, Marie; Marino, Miguel; DeVoe, Jennifer E.
2017-01-01
Background Underserved patient populations experience barriers to accessing and engaging within the complex health care system. Electronic patient portals have been proposed as a potential new way to improve access and engagement. We studied patient portal use for 12 consecutive months (365 days) among a large, nationally distributed, underserved patient population within the OCHIN (originally created as the Oregon Community Health Information Network and renamed OCHIN as other states joined) practice-based research network (PBRN). Methods We retrospectively assessed adoption and use of Epic’s MyChart patient portal in the first 12 months after MyChart was made available to the OCHIN PBRN. We examined electronic health record data from 36,549 patients aged ≥18 years who were offered a MyChart access code between May 1, 2012, and April 30, 2013, across the OCHIN PBRN in 13 states. Results Overall, 29% of patients offered an access code logged into their MyChart account. Superusers (minimum of 2 logins per month over a 12-month period) accounted for 6% of users overall. Men, nonwhite patients, Hispanic patients, Spanish-speaking patients, and those with the lowest incomes were significantly less likely to activate. Publicly insured and uninsured patients were also less likely to log in to their MyChart account, but once activated they were more likely than privately insured patients to use MyChart functions. Conclusions Our findings suggest that, compared with others, certain patient groups may be less interested in using patient portals or may have experienced significant barriers that prevented use. Making portal access available is a first step. Additional studies need to specifically identify health system–, clinic-, and patient-level barriers and facilitators to portal adoption and use. PMID:27613792
Wildenbos, Gaby Anne; Peute, Linda; Jaspers, Monique
2017-01-01
Patient portal usage by older adults, patients aged 50 years old and above, is intended to improve their access and quality of care. Acceptance of patient portals by this target group is low. This paper discusses the results of a literature review to determine the facilitators and barriers that drive or inhibit older patients to adopt patient portals. Articles were included when they described an acceptance, adoption or usability evaluation study of a patient portal. From a total of 245 potentially relevant articles, 8 articles were finally included. We used the Unified Theory of Acceptance and Use of Technology (UTAUT) as a classification model to analyze factors influencing older adults' acceptance of patient portals. Main facilitators for acceptance were 'performance expectancy' and 'voluntariness of use' related to a higher level of education and experienced health. Main barriers were limited health literacy and motivation related to involuntariness to use a patient portal. Poor facilitation conditions (limited technology access and no prior knowledge on existence of a patient portal) hampered access to a portal. More thorough insight into the latter is needed to improve the reach and effectiveness of patient portals among older patients.
Teen use of a patient portal: a qualitative study of parent and teen attitudes.
Bergman, David A; Brown, Nancy L; Wilson, Sandra
2008-01-01
We conducted a qualitative study of the attitudes of teens and parents toward the use of a patient portal. We conducted two teen and two parent focus groups, one teen electronic bulletin board, and one parent electronic bulletin board. Videotapes and transcripts from the groups were independently analyzed by two reviewers for significant themes, which were then validated by two other members of the research team. Twenty-eight teens and 23 parents participated in the groups. Significant themes included issues about teens' control of their own healthcare; enthusiasm about the use of a patient portal to access their providers, seek health information, and make appointments; and concerns about confidentiality. In summary, there was considerable support among teens and parents for a patient portal as well as concerns about confidentiality. The teen portal affords an opportunity to negotiate issues of confidentiality.
Tavares, Jorge; Oliveira, Tiago
2016-03-02
The future of health care delivery is becoming more citizen centered, as today's user is more active, better informed, and more demanding. Worldwide governments are promoting online health services, such as electronic health record (EHR) patient portals and, as a result, the deployment and use of these services. Overall, this makes the adoption of patient-accessible EHR portals an important field to study and understand. The aim of this study is to understand the factors that drive individuals to adopt EHR portals. We applied a new adoption model using, as a starting point, Ventkatesh's Unified Theory of Acceptance and Use of Technology in a consumer context (UTAUT2) by integrating a new construct specific to health care, a new moderator, and new relationships. To test the research model, we used the partial least squares (PLS) causal modelling approach. An online questionnaire was administrated. We collected 360 valid responses. The statistically significant drivers of behavioral intention are performance expectancy (beta=.200; t=3.619), effort expectancy (beta=.185; t=2.907), habit (beta=.388; t=7.320), and self-perception (beta=.098; t=2.285). The predictors of use behavior are habit (beta=0.206; t=2.752) and behavioral intention (beta=0.258; t=4.036). The model explained 49.7% of the variance in behavioral intention and 26.8% of the variance in use behavior. Our research helps to understand the desired technology characteristics of EHR portals. By testing an information technology acceptance model, we are able to determine what is more valued by patients when it comes to deciding whether to adopt EHR portals or not. The inclusion of specific constructs and relationships related to the health care consumer area also had a significant impact on understanding the adoption of EHR portals.
2016-01-01
Background The future of health care delivery is becoming more citizen centered, as today’s user is more active, better informed, and more demanding. Worldwide governments are promoting online health services, such as electronic health record (EHR) patient portals and, as a result, the deployment and use of these services. Overall, this makes the adoption of patient-accessible EHR portals an important field to study and understand. Objective The aim of this study is to understand the factors that drive individuals to adopt EHR portals. Methods We applied a new adoption model using, as a starting point, Ventkatesh's Unified Theory of Acceptance and Use of Technology in a consumer context (UTAUT2) by integrating a new construct specific to health care, a new moderator, and new relationships. To test the research model, we used the partial least squares (PLS) causal modelling approach. An online questionnaire was administrated. We collected 360 valid responses. Results The statistically significant drivers of behavioral intention are performance expectancy (beta=.200; t=3.619), effort expectancy (beta=.185; t=2.907), habit (beta=.388; t=7.320), and self-perception (beta=.098; t=2.285). The predictors of use behavior are habit (beta=0.206; t=2.752) and behavioral intention (beta=0.258; t=4.036). The model explained 49.7% of the variance in behavioral intention and 26.8% of the variance in use behavior. Conclusions Our research helps to understand the desired technology characteristics of EHR portals. By testing an information technology acceptance model, we are able to determine what is more valued by patients when it comes to deciding whether to adopt EHR portals or not. The inclusion of specific constructs and relationships related to the health care consumer area also had a significant impact on understanding the adoption of EHR portals. PMID:26935646
Precipitation in Ni-Si during electron and ion irradiation
NASA Astrophysics Data System (ADS)
Lucas, G. E.; Zama, T.; Ishino, S.
1986-11-01
This study was undertaken to further investigate how the nature of the irradiation condition affects precipitation in a dilute Ni-Si system. Transmission electron microscopy (TEM) discs of a solution annealed Ni alloy containing 5 at% Si were irradiated with 400 keV Ar + ions, 200 keV He + ions and 1 MeV electrons at average displacement rates in the range 2 × 10 -5dpa/s to 2 × 10 -3dpa/s at temperatures in the range 25°C to 450°C. Samples irradiated with electrons were observed in situ in an HVEM, while ion irradiated specimens were examined in a TEM after irradiation. Precipitation of Ni 3Si was detected by the appearance of superlattice spots in the electron diffraction patterns. It was found that as the mass of the irradiating species increased, the lower bound temperature at which Ni 3Si precipitation was first observed increased. For electron irradiation, the lower bound temperature at 2 × 10 -3dpa/s was ˜125°C, whereas for 400 keV Ar + irradiation at a similar average displacement rate the lower boundary was approximately 325°C. This suggests that cascade disordering competes with radiation induced solute segregation.
High Electron Mobility in SiGe/Si n-MODFET Structures on Sapphire Substrates
NASA Technical Reports Server (NTRS)
Mueller, Carl H.; Croke, Edward T.; Alterovitz, Samuel A.
2003-01-01
For the first time, SiGe/Si n-Modulation Doped Field Effect Transistors (n-MODFET) structures have been grown on sapphire substrates. Room temperature electron mobility value of 1271 square centimeters N-sec at an electron carrier density (n(sub e) = 1.33x10(exp 12) per square centimeter)) of 1.6 x 10(exp 12) per square centimeter was obtained. At 250 mK, the mobility increases to 13,313 square centimeters/V-sec (n(sub e)=1.33x10(exp 12) per square centimeter)) and Shubnikov-de Haas oscillations appear, showing excellent confinement of the two-dimensional electron gas.
Brandner, Antje; Schreiweis, Björn; Aguduri, Lakshmi S; Bronsch, Tobias; Kunz, Aline; Pensold, Peter; Stein, Katharina E; Weiss, Nicolas; Yüksekogul, Nilay; Bergh, Björn; Heinze, Oliver
2016-01-01
Over the last years we stepwise implemented our vision of a personal cross-enterprise electronic health record (PEHR) in the Rhine-Neckar-Region in Germany. The patient portal is one part of the PEHR architecture with IHE connectivity. The patient is enabled to access and manage his medical record by use of the patient portal. Moreover, he can give his consent regarding which healthcare providers are allowed to send data into or read data from his medical record. Forthcoming studies will give evidence for improvements and further requirements to develop.
Verification of quality parameters for portal images in radiotherapy.
Pesznyák, Csilla; Polgár, István; Weisz, Csaba; Király, Réka; Zaránd, Pál
2011-03-01
The purpose of the study was to verify different values of quality parameters of portal images in radiotherapy. We investigated image qualities of different field verification systems. Four EPIDs (Siemens OptiVue500aSi(®), Siemens BeamView Plus(®), Elekta iView(®) and Varian PortalVision™) were investigated with the PTW EPID QC PHANTOM(®) and compared with two portal film systems (Kodak X-OMAT(®) cassette with Kodak X-OMAT V(®) film and Kodak EC-L Lightweight(®) cassette with Kodak Portal Localisation ReadyPack(®) film). A comparison of the f50 and f25 values of the modulation transfer functions (MTFs) belonging to each of the systems revealed that the amorphous silicon EPIDs provided a slightly better high contrast resolution than the Kodak Portal Localisation ReadyPack(®) film with the EC-L Lightweight(®) cassette. The Kodak X-OMAT V(®) film gave a poor low contrast resolution: from the existing 27 holes only 9 were detectable. On the base of physical characteristics, measured in this work, the authors suggest the use of amorphous-silicon EPIDs producing the best image quality. Parameters of the EPIDs with scanning liquid ionisation chamber (SLIC) were very stable. The disadvantage of older versions of EPIDs like SLIC and VEPID is a poor DICOM implementation, and the modulation transfer function (MTF) values (f50 and f25) are less than that of aSi detectors.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Österreicher, Johannes Albert; Kumar, Manoj
Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopymore » images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.« less
Two-dimensional Cu2Si sheet: a promising electrode material for nanoscale electronics.
Yam, Kah Meng; Guo, Na; Zhang, Chun
2018-06-15
Building electronic devices on top of two-dimensional (2D) materials has recently become one of most interesting topics in nanoelectronics. Finding high-performance 2D electrode materials is one central issue in 2D nanoelectronics. In the current study, based on first-principles calculations, we compare the electronic and transport properties of two nanoscale devices. One device consists of two single-atom-thick planar Cu 2 Si electrodes, and a nickel phthalocyanine (NiPc) molecule in the middle. The other device is made of often-used graphene electrodes and a NiPc molecule. Planer Cu 2 Si is a new type of 2D material that was recently predicted to exist and be stable under room temperature [11]. We found that at low bias voltages, the electric current through the Cu 2 Si-NiPc-Cu 2 Si junction is about three orders higher than that through graphene-NiPc-graphene. Detailed analysis shows that the surprisingly high conductivity of Cu 2 Si-NiPc-Cu 2 Si originates from the mixing of the Cu 2 Si state near Fermi energy and the highest occupied molecular orbital of NiPc. These results suggest that 2D Cu 2 Si may be an excellent candidate for electrode materials for future nanoscale devices.
Band gap and electronic structure of MgSiN2
NASA Astrophysics Data System (ADS)
Quirk, J. B.; Râsander, M.; McGilvery, C. M.; Palgrave, R.; Moram, M. A.
2014-09-01
Density functional theory calculations and electron energy loss spectroscopy indicate that the electronic structure of ordered orthorhombic MgSiN2 is similar to that of wurtzite AlN. A band gap of 5.7 eV was calculated for both MgSiN2 (indirect) and AlN (direct) using the Heyd-Scuseria-Ernzerhof approximation. Correction with respect to the experimental room-temperature band gap of AlN indicates that the true band gap of MgSiN2 is 6.2 eV. MgSiN2 has an additional direct gap of 6.3 eV at the Γ point.
Electronic Stopping Powers For Heavy Ions In SiC And SiO2
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jin, Ke; Zhang, Y.; Zhu, Zihua
2014-01-24
Accurate information on electronic stopping power is fundamental for broad advances in materials science, electronic industry, space exploration, and sustainable energy technologies. In the case of slow heavy ions in light targets, current codes and models provide significantly inconsistent predictions, among which the Stopping and Range of Ions in Matter (SRIM) code is the most commonly used one. Experimental evidence, however, has demonstrated considerable errors in the predicted ion and damage profiles based on SRIM stopping powers. In this work, electronic stopping powers for Cl, Br, I, and Au ions are experimentally determined in two important functional materials, SiC andmore » SiO2, based on a single ion technique, and new electronic stopping power values are derived over the energy regime from 0 to 15 MeV, where large deviations from the SRIM predictions are observed. As an experimental validation, Rutherford backscattering spectrometry (RBS) and secondary ion mass spectrometry (SIMS) are utilized to measure the depth profiles of implanted Au ions in SiC for energies from 700 keV to 15MeV. The measured ion distributions by both RBS and SIMS are considerably deeper than the SRIM predictions, but agree well with predictions based on our derived stopping powers.« less
Surface hardening of 30CrMnSiA steel using continuous electron beam
NASA Astrophysics Data System (ADS)
Fu, Yulei; Hu, Jing; Shen, Xianfeng; Wang, Yingying; Zhao, Wansheng
2017-11-01
30CrMnSiA high strength low alloy (HSLA) carbon structural steel is typically applied in equipment manufacturing and aerospace industries. In this work, the effects of continuous electron beam treatment on the surface hardening and microstructure modifications of 30CrMnSiA are investigated experimentally via a multi-purpose electron beam machine Pro-beam system. Micro hardness value in the electron beam treated area shows a double to triple increase, from 208 HV0.2 on the base metal to 520 HV0.2 on the irradiated area, while the surface roughness is relatively unchanged. Surface hardening parameters and mechanisms are clarified by investigation of the microstructural modification and the phase transformation both pre and post irradiation. The base metal is composed of ferrite and troostite. After continuous electron beam irradiation, the micro structure of the electron beam hardened area is composed of acicular lower bainite, feathered upper bainite and part of lath martensite. The optimal input energy density for 30CrMnSiA steel in this study is of 2.5 kJ/cm2 to attain the proper hardened depth and peak hardness without the surface quality deterioration. When the input irradiation energy exceeds 2.5 kJ/cm2 the convective mixing of the melted zone will become dominant. In the area with convective mixing, the cooling rate is relatively lower, thus the micro hardness is lower. The surface quality will deteriorate. Chemical composition and surface roughness pre and post electron beam treatment are also compared. The technology discussed give a picture of the potential of electron beam surface treatment for improving service life and reliability of the 30CrMnSiA steel.
NASA Technical Reports Server (NTRS)
D'Anterroches, Cecile; Yakupoglu, H. Nejat; Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.
1988-01-01
Co and Si have been codeposited on Si (111) substrates near room temperature in a stoichiometric 1:2 ratio in a molecular beam epitaxy system. Annealing of these deposits yields high-quality single-crystal CoSi2 layers. Transmission electron microscopy has been used to examine as-deposited layers and layers annealed at 300, 500, and 600 C. Single-crystal epitaxial grains of CoSi2 embedded in a matrix of amorphous Co/Si are observed in as-deposited samples, while the layer is predominantly single-crystal, inhomogeneously strained CoSi2 at 300 C. At 600 C, a homogeneously strained single-crystal layer with a high density of pinholes is observed. In contrast to other solid phase epitaxy techniques used to grow CoSi2 on Si (111), no intermediate silicide phases are observed prior to the formation of CoSi2.
A systematic review of studies of web portals for patients with diabetes mellitus
Williams, Lovoria B.; Hatzigeorgiou, Christos
2017-01-01
Patient web portals are password-protected online websites that offer patients 24-hour access to personal health information from anywhere with an Internet connection. Due to advances in health information technologies, there has been increasing interest among providers and researchers in patient web portals for use by patients with diabetes and other chronic conditions. This article, which is based upon bibliographic searches in PubMed, reviews web portals for patients with diabetes mellitus including patient web portals tethered to electronic medical records and web portals developed specifically for patients with diabetes. Twelve studies of the impact of patient web portals on the management of diabetes patients were identified. Three had a cross-sectional design, 1 employed mixed-methods, one had a matched-control design, 3 had a retrospective cohort design, and 5 were randomized controlled trials. Six (50%) of the studies examined web portals tethered to electronic medical records and the remainder were web portals developed specifically for diabetes patients. The results of this review suggest that secure messaging between adult diabetic patients and their clinician is associated with improved glycemic control. However, results from observational studies indicate that many diabetic patients do not take advantage of web portal features such as secure messaging, perhaps because of a lack of internet access or lack of experience in navigating web portal resources. Although results from randomized controlled trials provide stronger evidence of the efficacy of web portal use in improving glycemic control among diabetic patients, the number of trials is small and results from the trials have been mixed. Studies suggest that secure messaging between adult diabetic patients and their clinician is associated with improved glycemic control, but negative findings have also been reported. The number of randomized controlled trials that have examined the efficacy of web
A systematic review of studies of web portals for patients with diabetes mellitus.
Coughlin, Steven S; Williams, Lovoria B; Hatzigeorgiou, Christos
2017-01-01
Patient web portals are password-protected online websites that offer patients 24-hour access to personal health information from anywhere with an Internet connection. Due to advances in health information technologies, there has been increasing interest among providers and researchers in patient web portals for use by patients with diabetes and other chronic conditions. This article, which is based upon bibliographic searches in PubMed, reviews web portals for patients with diabetes mellitus including patient web portals tethered to electronic medical records and web portals developed specifically for patients with diabetes. Twelve studies of the impact of patient web portals on the management of diabetes patients were identified. Three had a cross-sectional design, 1 employed mixed-methods, one had a matched-control design, 3 had a retrospective cohort design, and 5 were randomized controlled trials. Six (50%) of the studies examined web portals tethered to electronic medical records and the remainder were web portals developed specifically for diabetes patients. The results of this review suggest that secure messaging between adult diabetic patients and their clinician is associated with improved glycemic control. However, results from observational studies indicate that many diabetic patients do not take advantage of web portal features such as secure messaging, perhaps because of a lack of internet access or lack of experience in navigating web portal resources. Although results from randomized controlled trials provide stronger evidence of the efficacy of web portal use in improving glycemic control among diabetic patients, the number of trials is small and results from the trials have been mixed. Studies suggest that secure messaging between adult diabetic patients and their clinician is associated with improved glycemic control, but negative findings have also been reported. The number of randomized controlled trials that have examined the efficacy of web
Health literacy and patient portals.
Gu, Yulong; Orr, Martin; Warren, Jim
2015-06-01
Health literacy has been described as the capacity to obtain, process, and understand basic health information and services needed to make appropriate health decisions. Improving health literacy may serve to promote concordance with therapy, engage patients in their own health care, and improve health outcomes. Patient portal technology aims at enabling patients and families to have easy access to key information in their own medical records and to communicate with their health care providers electronically. However, there is a gap in our understanding of how portals will improve patient outcome. The authors believe patient portal technology presents an opportunity to improve patient concordance with prescribed therapy, if adequate support is provided to equip patients (and family/carers) with the knowledge needed to utilise the health information available via the portals. Research is needed to understand what a health consumer will use patient portals for and how to support a user to realise the technology's potential.
Origin of Si(LMM) Auger Electron Emission from Silicon and Si-Alloys by keV Ar+ Ion Bombardment
NASA Astrophysics Data System (ADS)
Iwami, Motohiro; Kim, Su Chol; Kataoka, Yoshihide; Imura, Takeshi; Hiraki, Akio; Fujimoto, Fuminori
1980-09-01
Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar+ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.
Bell, Sigall K; Roche, Stephanie D; Johansson, Anna C; O'Reilly, Kristin P; Lee, Barbara S; Sands, Kenneth E; Talmor, Daniel S; Brown, Samuel M
2016-12-01
Communication in the intensive care unit (ICU) often falls short of patient and family needs, putting them at risk for significant physical and emotional harm. As electronic patient portals rapidly evolve, one designed specifically for the ICU might potentially enhance communication among patients, family members, and clinicians; however, the views of frontline ICU staff on such technology are unknown. To identify clinician perspectives on the current state of communication among patients, families, and clinicians in the ICU, and assess their views on whether and how an electronic portal may address existing communication deficits and improve care. Three focus groups comprised altogether of 26 clinicians from 6 ICUs, representing several disciplines in an academic medical center in Boston, Massachusetts. Transcripts were analyzed inductively for major themes using grounded theory. We identified seven themes reflecting clinician perspectives on communication challenges and desired portal functionality: (1) comprehension and literacy; (2) results and updates; (3) patient and family preferences; (4) interclinician communication; (5) family informational needs; (6) the ICU as an unfamiliar environment; and (7) enhancing humanism through technology. Each theme included current gaps in practice, potential benefits and concerns related to an ICU communication portal, and participant recommendations. Benefits included enhanced education, patient/family engagement, and clinician workflow. Challenges included the stress and uncertainty of ICU care, fear of technology replacing human connection, existing interclinician communication failures, and the tension between informing families without overwhelming them. Overall, clinicians were cautiously supportive of an electronic portal to enhance communication in the ICU and made several specific recommendations for design and implementation. As new technologies expand opportunities for greater transparency and participation in
NASA Astrophysics Data System (ADS)
Chou, Kuan-Yu; Hsu, Nai-Wen; Su, Yi-Hsin; Chou, Chung-Tao; Chiu, Po-Yuan; Chuang, Yen; Li, Jiun-Yun
2018-02-01
We investigate DC characteristics of a two-dimensional electron gas (2DEG) in an undoped Si/SiGe heterostructure and its temperature dependence. An insulated-gate field-effect transistor was fabricated, and transfer characteristics were measured at 4 K-300 K. At low temperatures (T < 45 K), source electrons are injected into the buried 2DEG channel first and drain current increases with the gate voltage. By increasing the gate voltage further, the current saturates followed by a negative transconductance observed, which can be attributed to electron tunneling from the buried channel to the surface channel. Finally, the drain current is saturated again at large gate biases due to parallel conduction of buried and surface channels. By increasing the temperature, an abrupt increase in threshold voltage is observed at T ˜ 45 K and it is speculated that negatively charged impurities at the Al2O3/Si interface are responsible for the threshold voltage shift. At T > 45 K, the current saturation and negative transconductance disappear and the device acts as a normal transistor.
SiGe Based Low Temperature Electronics for Lunar Surface Applications
NASA Technical Reports Server (NTRS)
Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John
2012-01-01
The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.
Electron doping through lithium intercalation to interstitial channels in tetrahedrally bonded SiC
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sakai, Yuki; Center for Computational Materials, Institute for Computational Engineering and Sciences, The University of Texas at Austin, Austin, Texas 78712; Oshiyama, Atsushi
2015-11-07
We report on first-principles calculations that clarify the effect of lithium atom intercalation into zinc blende 3C-silicon carbide (3C-SiC) on electronic and structural properties. Lithium atoms inside 3C-SiC are found to donate electrons to 3C-SiC that is an indication of a new way of electron doping through the intercalation. The electrons doped into the conduction band interact with lithium cations and reduce the band spacing between the original valence and conduction bands. We have also found that a silicon monovacancy in 3C-SiC promotes the lithium intercalation, showing that the vacancy generation makes SiC as a possible anode material for lithium-ionmore » battery.« less
MarsSI: Martian surface data processing information system
NASA Astrophysics Data System (ADS)
Quantin-Nataf, C.; Lozac'h, L.; Thollot, P.; Loizeau, D.; Bultel, B.; Fernando, J.; Allemand, P.; Dubuffet, F.; Poulet, F.; Ody, A.; Clenet, H.; Leyrat, C.; Harrisson, S.
2018-01-01
MarsSI (Acronym for Mars System of Information, https://emars.univ-lyon1.fr/MarsSI/, is a web Geographic Information System application which helps managing and processing martian orbital data. The MarsSI facility is part of the web portal called PSUP (Planetary SUrface Portal) developed by the Observatories of Paris Sud (OSUPS) and Lyon (OSUL) to provide users with efficient and easy access to data products dedicated to the martian surface. The portal proposes 1) the management and processing of data thanks to MarsSI and 2) the visualization and merging of high level (imagery, spectral, and topographic) products and catalogs via a web-based user interface (MarsVisu). The portal PSUP as well as the facility MarsVisu is detailed in a companion paper (Poulet et al., 2018). The purpose of this paper is to describe the facility MarsSI. From this application, users are able to easily and rapidly select observations, process raw data via automatic pipelines, and get back final products which can be visualized under Geographic Information Systems. Moreover, MarsSI also contains an automatic stereo-restitution pipeline in order to produce Digital Terrain Models (DTM) on demand from HiRISE (High Resolution Imaging Science Experiment) or CTX (Context Camera) pair-images. This application is funded by the European Union's Seventh Framework Programme (FP7/2007-2013) (ERC project eMars, No. 280168) and has been developed in the scope of Mars, but the design is applicable to any other planetary body of the solar system.
Inpatient Portals for Hospitalized Patients and Caregivers: A Systematic Review.
Kelly, Michelle M; Coller, Ryan J; Hoonakker, Peter Lt
2018-06-01
Patient portals, web-based personal health records linked to electronic health records (EHRs), provide patients access to their healthcare information and facilitate communication with providers. Growing evidence supports portal use in ambulatory settings; however, only recently have portals been used with hospitalized patients. Our objective was to review the literature evaluating the design, use, and impact of inpatient portals, which are patient portals designed to give hospitalized patients and caregivers inpatient EHR clinical information for the purpose of engaging them in hospital care. Literature was reviewed from 2006 to 2017 in PubMed, Web of Science, CINALPlus, Cochrane, and Scopus to identify English language studies evaluating patient portals, engagement, and inpatient care. Data were analyzed considering the following 3 themes: inpatient portal design, use and usability, and impact. Of 731 studies, 17 were included, 9 of which were published after 2015. Most studies were qualitative with small samples focusing on inpatient portal design; 1 nonrandomized trial was identified. Studies described hospitalized patients' and caregivers' information needs and design recommendations. Most patient and caregiver participants in included studies were interested in using an inpatient portal, used it when offered, and found it easy to use and/or useful. Evidence supporting the role of inpatient portals in improving patient and caregiver engagement, knowledge, communication, and care quality and safety is limited. Included studies indicated providers had concerns about using inpatient portals; however, the extent to which these concerns have been realized remains unclear. Inpatient portal research is emerging. Further investigation is needed to optimally design inpatient portals to maximize potential benefits for hospitalized patients and caregivers while minimizing unintended consequences for healthcare teams. © 2017 Society of Hospital Medicine.
Structural and electronic properties of the transition layer at the SiO{sub 2}/4H-SiC interface
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Wenbo; Wang, Dejun, E-mail: dwang121@dlut.edu.cn; Zhao, Jijun
Using first-principles methods, we generate an amorphous SiO{sub 2}/4H-SiC interface with a transition layer. Based this interface model, we investigate the structural and electronic properties of the interfacial transition layer. The calculated Si 2p core-level shifts for this interface are comparable to the experimental data, indicating that various SiC{sub x}O{sub y} species should be present in this interface transition layer. The analysis of the electronic structures reveals that the tetrahedral SiC{sub x}O{sub y} structures cannot introduce any of the defect states at the interface. Interestingly, our transition layer also includes a C-C=C trimer and SiO{sub 5} configurations, which lead tomore » the generation of interface states. The accurate positions of Kohn-Sham energy levels associated with these defects are further calculated within the hybrid functional scheme. The Kohn-Sham energy levels of the carbon trimer and SiO{sub 5} configurations are located near the conduction and valence band of bulk 4H-SiC, respectively. The result indicates that the carbon trimer occurred in the transition layer may be a possible origin of near interface traps. These findings provide novel insight into the structural and electronic properties of the realistic SiO{sub 2}/SiC interface.« less
Electronic transport properties of nanostructured MnSi-films
NASA Astrophysics Data System (ADS)
Schroeter, D.; Steinki, N.; Scarioni, A. Fernández; Schumacher, H. W.; Süllow, S.; Menzel, D.
2018-05-01
MnSi, which crystallizes in the cubic B20 structure, shows intriguing magnetic properties involving the existence of skyrmions in the magnetic phase diagram. Bulk MnSi has been intensively investigated and thoroughly characterized, in contrast to MnSi thin film, which exhibits widely varying properties in particular with respect to electronic transport. In this situation, we have set out to reinvestigate the transport properties in MnSi thin films by means of studying nanostructure samples. In particular, Hall geometry nanostructures were produced to determine the intrinsic transport properties.
Two-dimensional Cu2Si sheet: a promising electrode material for nanoscale electronics
NASA Astrophysics Data System (ADS)
Meng Yam, Kah; Guo, Na; Zhang, Chun
2018-06-01
Building electronic devices on top of two-dimensional (2D) materials has recently become one of most interesting topics in nanoelectronics. Finding high-performance 2D electrode materials is one central issue in 2D nanoelectronics. In the current study, based on first-principles calculations, we compare the electronic and transport properties of two nanoscale devices. One device consists of two single-atom-thick planar Cu2Si electrodes, and a nickel phthalocyanine (NiPc) molecule in the middle. The other device is made of often-used graphene electrodes and a NiPc molecule. Planer Cu2Si is a new type of 2D material that was recently predicted to exist and be stable under room temperature [11]. We found that at low bias voltages, the electric current through the Cu2Si–NiPc–Cu2Si junction is about three orders higher than that through graphene–NiPc–graphene. Detailed analysis shows that the surprisingly high conductivity of Cu2Si–NiPc–Cu2Si originates from the mixing of the Cu2Si state near Fermi energy and the highest occupied molecular orbital of NiPc. These results suggest that 2D Cu2Si may be an excellent candidate for electrode materials for future nanoscale devices.
Personal health records as portal to the electronic medical record.
Cahill, Jennifer E; Gilbert, Mark R; Armstrong, Terri S
2014-03-01
This topic review discusses the evolving clinical challenges associated with the implementation of electronic personal health records (PHR) that are fully integrated with electronic medical records (EMR). The benefits of facilitating patient access to the EMR through web-based, PHR-portals may be substantial; foremost is the potential to enhance the flow of information between patient and healthcare practitioner. The benefits of improved communication and transparency of care are presumed to be a reduction in clinical errors, increased quality of care, better patient-management of disease, and better disease and symptom comprehension. Yet PHR databases allow patients open access to newly-acquired clinical data without the benefit of concurrent expert clinical interpretation, and therefore may create the potential for greater patient distress and uncertainty. With specific attention to neuro-oncology patients, this review focuses on the developing conflicts and consequences associated with the use of a PHR that parallels data acquisition of the EMR in real-time. We conclude with a discussion of recommendations for implementing fully-integrated PHR for neuro-oncology patients.
Recombination luminescence from electron-irradiated Li-diffused Si
NASA Technical Reports Server (NTRS)
Johnson, E. S.; Compton, W. D.; Noonan, J. R.; Streetman, B. G.
1973-01-01
Lithium doping has a dramatic effect on the low-temperature photoluminescence of electron-irradiated Si. In oxigen-lean Si with Li doping, a new irradiation-dependent luminescence band between 0.75 and 1.05 eV is observed, which is dominated by a zero-phonon peak at 1.045 eV. This band is believed to be due to radiative transitions involving a Li-modified divacancy. This band is present also in oxygen-rich, Li-diffused Si and is accompanied by bands previously related to the Si-G15(K) center and the divacancy. The intensities of the Li-modified divacancy and Si-G15(K) center bands are relatively weak in the oxygen-rich material, apparently due to the formation of lithium-oxygen complexes which reduce the concentration of unassociated interstitial Li and O.
Electronic stopping powers for heavy ions in SiC and SiO{sub 2}
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jin, K.; Xue, H.; Zhang, Y., E-mail: Zhangy1@ornl.gov
2014-01-28
Accurate information on electronic stopping power is fundamental for broad advances in materials science, electronic industry, space exploration, and sustainable energy technologies. In the case of slow heavy ions in light targets, current codes and models provide significantly inconsistent predictions, among which the Stopping and Range of Ions in Matter (SRIM) code is the most commonly used one. Experimental evidence, however, has demonstrated considerable errors in the predicted ion and damage profiles based on SRIM stopping powers. In this work, electronic stopping powers for Cl, Br, I, and Au ions are experimentally determined in two important functional materials, SiC andmore » SiO{sub 2}, based on a single ion technique, and new electronic stopping power values are derived over the energy regime from 0 to 15 MeV, where large deviations from the SRIM predictions are observed. As an experimental validation, Rutherford backscattering spectrometry (RBS) and secondary ion mass spectrometry (SIMS) are utilized to measure the depth profiles of implanted Au ions in SiC for energies from 700 keV to 15 MeV. The measured ion distributions by both RBS and SIMS are considerably deeper than the SRIM predictions, but agree well with predictions based on our derived stopping powers.« less
VisEL: Visualisation of Expertise Level in a Special Interest Group Knowledge Portal
NASA Astrophysics Data System (ADS)
Zulhafizsyam Wan Ahmad, Wan Muhammad; Sulaiman, Shahida; Yusof, Umi Kalsom
A variety of portals are available nowadays to support diverse purposes such as commercial, publishing, personal, affinity and corporate portals. Affinity portals promote electronic communities who share common interest such as a special interest group (SIG). Knowledge portal is an emerging trend that benefits the existing portal technology by designing such portals with proper representation of the members' shared knowledge. Besides textual representation for diverse expertise levels, graphical visualisation will be able to support the requirements in searching and representing expertise level among e-community. There is a number of existing SIG portals available. However, they do not visualise effectively and accurately the expertise level of members and make it difficult for users to search their targeted experts for instance searching the highest expertise level to have a discussion and to solve their problems related to a project. The goal of this paper is to propose a graphical visualisation of expertise level method (VisEL) using an interactive tag cloud technique that represents expertise level of each member based on their knowledge in a software engineering SIG portal.
AIN-Based Packaging for SiC High-Temperature Electronics
NASA Technical Reports Server (NTRS)
Savrun, Ender
2004-01-01
Packaging made primarily of aluminum nitride has been developed to enclose silicon carbide-based integrated circuits (ICs), including circuits containing SiC-based power diodes, that are capable of operation under conditions more severe than can be withstood by silicon-based integrated circuits. A major objective of this development was to enable packaged SiC electronic circuits to operate continuously at temperatures up to 500 C. AlN-packaged SiC electronic circuits have commercial potential for incorporation into high-power electronic equipment and into sensors that must withstand high temperatures and/or high pressures in diverse applications that include exploration in outer space, well logging, and monitoring of nuclear power systems. This packaging embodies concepts drawn from flip-chip packaging of silicon-based integrated circuits. One or more SiC-based circuit chips are mounted on an aluminum nitride package substrate or sandwiched between two such substrates. Intimate electrical connections between metal conductors on the chip(s) and the metal conductors on external circuits are made by direct bonding to interconnections on the package substrate(s) and/or by use of holes through the package substrate(s). This approach eliminates the need for wire bonds, which have been the most vulnerable links in conventional electronic circuitry in hostile environments. Moreover, the elimination of wire bonds makes it possible to pack chips more densely than was previously possible.
Electronic Tuning In The Hidden Order Compound URu2Si 2 Through Si → P substitution
NASA Astrophysics Data System (ADS)
Gallagher, Andrew
Crystalline materials that include 4f- and 5 f-electron elements frequently exhibit a variety of intriguing phenomena including spin and charge orderings, spin and valence fluctuations, heavy fermion behavior, breakdown of Fermi liquid behavior, and unconventional superconductivity. [5, 6, 7, 8, 9, 10, 11, 12, 13] Amongst such materials, the Kondo lattice system URu2Si2 stands out as being particularly unusual. [14, 15, 16] While at high temperature it exhibits behavior that is typical for an f-electron lattice immersed in a sea of conduction electrons, at T0 = 17:5 K there is a second order phase transition that is followed by unconventional superconductivity near Tc ≈ 1:5 K. [15] Despite three decades of work, the order parameter for the transition at T0 remains unknown and hence, it has been named "hidden order". There have been a multitude of experimental attempts to unravel hidden order, mainly through tuning of the electronic state via pressure, applied magnetic field, and chemical substitution. [17, 18] While these strategies reveal interesting phase diagrams, a longstanding challenge is that any such approach explores the phase space along an unknown vector: i.e., many different factors are affected. To address this issue, we developed a new organizational map for the U-based ThCr2Si2-type compounds that are related to URu2Si2 and thus guided, we explored a new chemical tuning axis: Si -> P. Our studies were enabled by the development of a new molten metal crystal growth method for URu2Si2 which produces high quality single crystals and allows us to introduce high vapor pressure elements, such as phosphorous. [19, 20] Si → P tuning reveals that while the high temperature Kondo lattice behavior is robust, the low temperature phenomena are remarkably sensitive to electronic tuning. [21, 22] In the URu2Si2-xPx phase diagram we find that while hidden order is monotonically suppressed and destroyed for x < 0.035, the superconducting strength evolves non
MyWelch: building an information portal system in a medical library environment.
Zhang, Dongming; Zambrowicz, Caroline; Zhou, Hong; Roderer, Nancy
2003-01-01
MyWelch is a medical library portal system that users can use to create customized web sites that reflect their research needs and personal interests. In the MyWelch environment, faculty and students are empowered to take a greater role in identifying their needs and determining their requirements in the electronic environment. The portal system also facilitates interaction among library users and staff.
Band structure and unconventional electronic topology of CoSi
NASA Astrophysics Data System (ADS)
Pshenay-Severin, D. A.; Ivanov, Y. V.; Burkov, A. A.; Burkov, A. T.
2018-04-01
Semimetals with certain crystal symmetries may possess unusual electronic structure topology, distinct from that of the conventional Weyl and Dirac semimetals. Characteristic property of these materials is the existence of band-touching points with multiple (higher than two-fold) degeneracy and nonzero Chern number. CoSi is a representative of this group of materials exhibiting the so-called ‘new fermions’. We report on an ab initio calculation of the electronic structure of CoSi using density functional methods, taking into account the spin-orbit interactions. The linearized \
NASA Astrophysics Data System (ADS)
Ohdaira, Keisuke; Matsumura, Hideki
2013-01-01
We succeed in the formation of micrometer-order-thick polycrystalline silicon (poly-Si) films through the flash-lamp-induced liquid-phase explosive crystallization (EC) of precursor a-Si films prepared by electron-beam (EB) evaporation. The velocity of the explosive crystallization (vEC) is estimated to be ˜14 m/s, which is close to the velocity of the liquid-phase epitaxy (LPE) of Si at a temperature around the melting point of a-Si of 1418 K. Poly-Si films formed have micrometer-order-long grains stretched along a lateral crystallization direction, and X-ray diffraction (XRD) and electron diffraction pattern measurements reveal that grains in poly-Si films tend to have a particular orientation. These features are significantly different from our previous results: the formation of poly-Si films containing randomly-oriented 10-nm-sized fine grains formed from a-Si films prepared by catalytic chemical vapor deposition (Cat-CVD) or sputtering. One possible reason for the emergence of a different EC mode in EB-evaporated a-Si films is the suppression of solid-phase nucleation (SPN) during Flash Lamp Annealing (FLA) due to tensile stress which precursor a-Si films originally hold. Poly-Si films formed from EB-evaporated a-Si films would contribute to the realization of high-efficiency thin-film poly-Si solar cells because of large and oriented grains.
Electronic and optical properties of Si and Ge nanocrystals: An ab initio study
NASA Astrophysics Data System (ADS)
Pulci, Olivia; Degoli, Elena; Iori, Federico; Marsili, Margherita; Palummo, Maurizia; Del Sole, Rodolfo; Ossicini, Stefano
2010-01-01
First-principles calculations within density functional theory and many-body perturbation theory have been carried out in order to investigate the structural, electronic and optical properties of undoped and doped silicon nanostructures. We consider Si nanoclusters co-doped with B and P. We find that the electronic band gap is reduced with respect to that of the undoped crystals, suggesting the possibility of impurity based engineering of electronic and optical properties of Si nanocrystals. Finally, motivated by recent suggestions concerning the chance of exploiting Ge dots for photovoltaic nanodevices, we present calculations of the electronic and optical properties of a Ge 35H 36 nanocrystal, and compare the results with those for the corresponding Si 35H 36 nanocrystals and the co-doped Si 33BPH 36.
NASA Astrophysics Data System (ADS)
Saedi, Leila; Soleymanabadi, Hamed; Panahyab, Ataollah
2018-05-01
Following an experimental work, we explored the effect of replacing an Al atom of an AlN nanocone by Si or Mg atom on its electronic and field emission properties using density functional theory calculations. We found that both Si-doping and Mg-doping increase the electrical conductivity of AlN nanocone, but their influences on the filed emission properties are significantly different. The Si-doping increases the electron concentration of AlN nanocone and results in a large electron mobility and a low work function, whereas Mg-doping leads to a high hole concentration below the conduction level and increases the work function in agreement with the experimental results. It is predicted that Si-doped AlN nanocones show excellent filed emission performance with higher emitted electron current density compared to the pristine AlN nanocone. But the Mg-doping meaningfully decreases the emitted electron current density from the surface of AlN nanocone. The Mg-doping can increase the work function about 41.9% and the Si-doping can decrease it about 6.3%. The Mg-doping and Si-doping convert the AlN nanocone to a p-type and n-type semiconductors, respectively. Our results explain in a molecular level what observed in the experiment.
Piermattei, Angelo; Kang, Shengwei; Xiao, Mingyong; Tang, Bin; Liao, Xiongfei; Xin, Xin; Grusio, Mattia
2018-01-01
High conformal techniques such as intensity-modulated radiation therapy and volumetric-modulated arc therapy are widely used in overloaded radiotherapy departments. In vivo dosimetric screening is essential in this environment to avoid important dosimetric errors. This work examines the feasibility of introducing in vivo dosimetry (IVD) checks in a radiotherapy routine. The causes of dosimetric disagreements between delivered and planned treatments were identified and corrected during the course of treatment. The efficiency of the corrections performed and the added workload needed for the entire procedure were evaluated. The IVD procedure was based on an electronic portal imaging device. A total of 3682 IVD tests were performed for 147 patients who underwent head and neck, abdomen, pelvis, breast, and thorax radiotherapy treatments. Two types of indices were evaluated and used to determine if the IVD tests were within tolerance levels: the ratio R between the reconstructed and planned isocentre doses and a transit dosimetry based on the γ-analysis of the electronic portal images. The causes of test outside tolerance level were investigated and corrected and IVD test was repeated during subsequent fraction. The time needed for each step of the IVD procedure was registered. Pelvis, abdomen, and head and neck treatments had 10% of tests out of tolerance whereas breast and thorax treatments accounted for up to 25%. The patient setup was the main cause of 90% of the IVD tests out of tolerance and the remaining 10% was due to patient morphological changes. An average time of 42 min per day was sufficient to monitor a daily workload of 60 patients in treatment. This work shows that IVD performed with an electronic portal imaging device is feasible in an overloaded department and enables the timely realignment of the treatment quality indices in order to achieve a patient’s final treatment compliant with the one prescribed. PMID:29432473
Cavernous Transformation of Portal Vein Secondary to Portal Vein Thrombosis: A Case Report
Ramos, Radhames; Park, Yoojin; Shazad, Ghulamullah; A.Garcia, Christine; Cohen, Ronny
2012-01-01
There are few reported cases of cavernous transformation of the portal vein (CTPV) in adults. We present a case of a 58 year-old male who was found to have this complication due to portal vein thrombosis (PVT). A 58-year old African American male with chronic alcohol and tobacco use presented with a 25-day history of weakness, generalized malaise, nausea and vomiting associated with progressively worsening anorexia and weight loss. The patient was admitted for severe anemia in conjunction with abnormal liver function tests and electrolyte abnormalities, and to rule out end stage liver disease or hepatic malignancy. The work-up for anemia showed no significant colon abnormalities, cholecystitis, liver cirrhosis, or liver abnormalities but could not rule out malignancy. An esophageogastroduodenoscopy (EGD) was suspicious for a mass compressing the stomach and small bowel. After further work-up, the hepatic mass has been diagnosed as a cavernous transformation of the portal vein (CTPV), a very rare complication of portal vein thrombosis (PVT). Cavernous Transformation of the Portal Vein (CTPV) is a rare and incurable complication of portal vein thrombosis (PVT) that should be considered as one of the differential diagnoses of a hepatic mass. Keywords Cavernous transformation of the portal vein; Portal vein thrombosis; Portal hypertension; Hyperbilirubinemia; Hepatic mass PMID:22383935
Patient portal readiness among postpartum patients in a safety net setting.
Wieland, Daryl; Gibeau, Anne; Dewey, Caitlin; Roshto, Melanie; Frankel, Hilary
2017-07-05
Maternity patients interact with the healthcare system over an approximately ten-month interval, requiring multiple visits, acquiring pregnancy-specific education, and sharing health information among providers. Many features of a web-based patient portal could help pregnant women manage their interactions with the healthcare system; however, it is unclear whether pregnant women in safety-net settings have the resources, skills or interest required for portal adoption. In this study of postpartum patients in a safety net hospital, we aimed to: (1) determine if patients have the technical resources and skills to access a portal, (2) gain insight into their interest in health information, and (3) identify the perceived utility of portal features and potential barriers to adoption. We developed a structured questionnaire to collect demographics from postpartum patients and measure use of technology and the internet, self-reported literacy, interest in health information, awareness of portal functions, and perceived barriers to use. The questionnaire was administered in person to women in an inpatient setting. Of the 100 participants surveyed, 95% reported routine internet use and 56% used it to search for health information. Most participants had never heard of a patient portal, yet 92% believed that the portal functions were important. The two most appealing functions were to check results and manage appointments. Most participants in this study have the required resources such as a device and familiarity with the internet to access a patient portal including an interest in interacting with a healthcare institution via electronic means. Pregnancy is a critical episode of care where active engagement with the healthcare system can influence outcomes. Healthcare systems and portal developers should consider ways to tailor a portal to address the specific health needs of a maternity population including those in a safety net setting.
NASA Astrophysics Data System (ADS)
Benedetti, A.; Norris, D. J.; Hetherington, C. J. D.; Cullis, A. G.; Robbins, D. J.; Wallis, D. J.
2003-04-01
SiGe/Si multiple quantum wells, nominally 4 nm thick, were grown by low pressure chemical vapor deposition and the Ge distribution within the wells was studied using a variety of transmission electron microscope-based techniques. Energy-dispersive x-ray spectroscopy and electron energy-loss imaging were used to directly measure the Ge compositional profile across the SiGe wells. In addition, the average Ge concentration was deduced indirectly from measurement of the strain-induced lattice displacements in high resolution images, obtained from the relative phase shift of the Si lattice planes on either side of a SiGe well. The results from both the direct and indirect measurement techniques were compared and found to be in good agreement with one another. The Ge profiles exhibited an asymmetric shape consistent with the occurrence of Ge segregation during growth. However, the amplitude of the asymmetry indicated that an additional factor, in particular gas dwell times within the reactor, also needed to be taken into account. Based upon this approach, a successful theoretical model of the growth process was derived.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Borshch, N. A., E-mail: ssd18@phys.vsu.ru; Pereslavtseva, N. S.; Kurganskii, S. I.
The results of atomic-structure optimization and calculation of the electronic structure of the Si{sub 20}, Si{sub 20}{sup -}, NaSi{sub 20}, and KSi{sub 20} clusters are reported. The PM3 and AM1 semiempirical methods were used in the calculations. It is shown that the Na and K atoms stabilize the fullerene-like silicon structure. The effect of configuration of the clusters on their electronic structure is analyzed.
Electron transport in nanocrystalline SiC films obtained by direct ion deposition
NASA Astrophysics Data System (ADS)
Kozlovskyi, A.; Semenov, A.; Skorik, S.
2016-12-01
Electrical conductivity of nanocrystalline SiC films obtained by direct ion deposition was investigated within the temperature interval from 2 to 770 K. It were investigated the samples of films with 3С-SiC polytype structure and the heteropolytype films formed by layers of different polytypes SiC (3C-SiC/21R-SiC, 21R-SiC/27R-SiC, 3C-SiC/15R-SiC). The films had n-type conductivity that ensured a small excess of silicon ions. The thermally activated character of electron transport in the 3С-SiC polytype films was established. In the heteropolytype films the temperature dependence of the electrical resistance was described by the relation R(T) = R0 × exp[-kT/E0]. It was shown that the charge transport mechanism in the heteropolytype samples is electron tunneling through potential barriers formed by the conduction band offset in the contact region of the heterojunction. Tunnel charge transport occurs due to the presence of discrete energy states in the forbidden band caused the dimensional quantization.
Gate fidelity and coherence of an electron spin in an Si/SiGe quantum dot with micromagnet
Kawakami, Erika; Jullien, Thibaut; Scarlino, Pasquale; ...
2016-10-03
The gate fidelity and the coherence time of a quantum bit (qubit) are important benchmarks for quantum computation. We construct a qubit using a single electron spin in an Si/SiGe quantum dot and control it electrically via an artificial spin-orbit field from a micromagnet. We measure an average single-qubit gate fidelity of ~99% using randomized benchmarking, which is consistent with dephasing from the slowly evolving nuclear spins in the substrate. The coherence time measured using dynamical decoupling extends up to ~400 μs for 128 decoupling pulses, with no sign of saturation. We find evidence that the coherence time is limitedmore » by noise in the 10-kHz to 1-MHz range, possibly because charge noise affects the spin via the micromagnet gradient. Furthermore, this work shows that an electron spin in an Si/SiGe quantum dot is a good candidate for quantum information processing as well as for a quantum memory, even without isotopic purification.« less
Gate fidelity and coherence of an electron spin in an Si/SiGe quantum dot with micromagnet
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kawakami, Erika; Jullien, Thibaut; Scarlino, Pasquale
The gate fidelity and the coherence time of a quantum bit (qubit) are important benchmarks for quantum computation. We construct a qubit using a single electron spin in an Si/SiGe quantum dot and control it electrically via an artificial spin-orbit field from a micromagnet. We measure an average single-qubit gate fidelity of ~99% using randomized benchmarking, which is consistent with dephasing from the slowly evolving nuclear spins in the substrate. The coherence time measured using dynamical decoupling extends up to ~400 μs for 128 decoupling pulses, with no sign of saturation. We find evidence that the coherence time is limitedmore » by noise in the 10-kHz to 1-MHz range, possibly because charge noise affects the spin via the micromagnet gradient. Furthermore, this work shows that an electron spin in an Si/SiGe quantum dot is a good candidate for quantum information processing as well as for a quantum memory, even without isotopic purification.« less
Lovrinčić, Robert; Kraynis, Olga; Har-Lavan, Rotem; Haj-Yahya, Abd-Elrazek; Li, Wenjie; Vilan, Ayelet; Cahen, David
2013-02-07
Thermally evaporated Pb preserves the electronic properties of an organic monolayer (ML) on Si and surface passivation of the Si surface itself. The obtained current-voltage characteristics of Pb/ML/Si junctions agree with results obtained with the well-established Hg contact and preserve both the molecule-induced dipole effect on, and length-attenuation of, the current. We rationalize our findings by the lack of interaction between the Pb and the Si substrate. This method is fast, scalable, and compatible with standard semiconductor processing, results in close to 100% yield, and can help the development of large-scale utilization of silicon-organic hybrid electronics. Our experimental data show a dependence of the transport across the molecules on the substrate orientation, expressed in the smaller distance decay parameter with Si(100) than that with Si(111).
Electron microscopy characterization of Ni-Cr-B-Si-C laser deposited coatings.
Hemmati, I; Rao, J C; Ocelík, V; De Hosson, J Th M
2013-02-01
During laser deposition of Ni-Cr-B-Si-C alloys with high amounts of Cr and B, various microstructures and phases can be generated from the same chemical composition that results in heterogeneous properties in the clad layer. In this study, the microstructure and phase constitution of a high-alloy Ni-Cr-B-Si-C coating deposited by laser cladding were analyzed by a combination of several microscopy characterization techniques including scanning electron microscopy in secondary and backscatter imaging modes, energy dispersive spectroscopy (EDS), electron backscatter diffraction (EBSD), and transmission electron microscopy (TEM). The combination of EDS and EBSD allowed unequivocal identification of micron-sized precipitates as polycrystalline orthorhombic CrB, single crystal tetragonal Cr5B3, and single crystal hexagonal Cr7C3. In addition, TEM characterization showed various equilibrium and metastable Ni-B, Ni-Si, and Ni-Si-B eutectic products in the alloy matrix. The findings of this study can be used to explain the phase formation reactions and to tune the microstructure of Ni-Cr-B-Si-C coatings to obtain the desired properties.
Scanning electron microscopy of the surfaces of ion implanted SiC
NASA Astrophysics Data System (ADS)
Malherbe, Johan B.; van der Berg, N. G.; Kuhudzai, R. J.; Hlatshwayo, T. T.; Thabethe, T. T.; Odutemowo, O. S.; Theron, C. C.; Friedland, E.; Botha, A. J.; Wendler, E.
2015-07-01
This paper gives a brief review of radiation damage caused by particle (ions and neutrons) bombardment in SiC at different temperatures, and its annealing, with an expanded discussion on the effects occurring on the surface. The surface effects were observed using SEM (scanning electron microscopy) with an in-lens detector and EBSD (electron backscatter diffraction). Two substrates were used, viz. single crystalline 6H-SiC wafers and polycrystalline SiC, where the majority of the crystallites were 3C-SiC. The surface modification of the SiC samples by 360 keV ion bombardment was studied at temperatures below (i.e. room temperature), just at (i.e. 350 °C), or above (i.e. 600 °C) the critical temperature for amorphization of SiC. For bombardment at a temperature at about the critical temperature an extra step, viz. post-bombardment annealing, was needed to ascertain the microstructure of bombarded layer. Another aspect investigated was the effect of annealing of samples with an ion bombardment-induced amorphous layer on a 6H-SiC substrate. SEM could detect that this layer started to crystalize at 900 °C. The resulting topography exhibited a dependence on the ion species. EBSD showed that the crystallites forming in the amorphized layer were 3C-SiC and not 6H-SiC as the substrate. The investigations also pointed out the behaviour of the epitaxial regrowth of the amorphous layer from the 6H-SiC interface.
NASA Astrophysics Data System (ADS)
Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.
2018-06-01
Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.
Patient web portals, disease management, and primary prevention.
Coughlin, Steven S; Prochaska, Judith J; Williams, Lovoria B; Besenyi, Gina M; Heboyan, Vahé; Goggans, D Stephen; Yoo, Wonsuk; De Leo, Gianluca
2017-01-01
Efforts aimed at health care reform and continued advances in information technologies have prompted interest among providers and researchers in patient web portals. Patient web portals are password-protected online websites that offer the patients 24-hour access to personal health information from anywhere with an Internet connection. This article, which is based upon bibliographic searches in PubMed, reviews important developments in web portals for primary and secondary disease prevention, including patient web portals tethered to electronic medical records, disease-specific portals, health disparities, and health-related community web portals. Although findings have not been uniformly positive, several studies of the effectiveness of health care system patient portals in chronic disease management have shown promising results with regard to patient outcomes. Patient web portals have also shown promising results in increasing adherence with screening recommendations. Racial and ethnic minorities, younger persons, and patients who are less educated or have lower health literacy have been found to be less likely to use patient portals. Additional studies are needed of the utility and effectiveness of different elements of web portals for different patient populations. This should include additional diseases and health topics such as smoking cessation and weight management.
NASA Astrophysics Data System (ADS)
Matar, S. F.; Pöttgen, R.
2012-10-01
The electronic structure of U3Si2C2, with the rare [SiC] unit is examined from ab initio with an assessment of the properties of chemical bonding. We show that plain GGA fails describing the experimental lattice parameters and the electronic structure. A better agreement with experiment (crystal determination and magnetic properties) is obtained with the GGA + U method and U = 4 eV. The energy-volume equation of state and the set of elastic constants are obtained showing incompressibility along the c-axis with U-C-Si alignment and a brittle material. Bonding of U1 and U2 selectively with Si and C and Si-C bonds are remarkable
High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates
NASA Technical Reports Server (NTRS)
Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.
2003-01-01
SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony, concentration was approximately 4 x 10(exp19) per cubic cm. The electron mobility was over 1,200 and 13,000 sq cm/V-sec at room temperature and 0.25 K, respectively. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per sq cm, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V(sub DS)) range, with (V(sub DS)) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.
Cheng, Jian -Yih; Chan, Maria K. Y.; Lilley, Carmen M.
2016-09-26
Silicene on metal silicides poses promise for direct integration of silicene into electronic devices. The details of the metal silicide-silicene interface, however, may have significant effects on the electronic properties. In this work, the electronic properties of silicene on NiSi 2(111) and hydrogenated NiSi 2(111) (H:NiSi 2) substrates, as well as hydrogenated silicene (H:silicene) on a NiSi 2(111) substrate, were simulated using first principles methods. The preferred Si surface termination of NiSi 2 was determined through surface energy calculations, and the band structure and density of states (DOS) were calculated for the two-dimensional silicene and H:silicene layers. Hydrogenating NiSi 2more » lowered the binding energy between silicene and the substrate and resulting in partial decoupling of the electronic properties. Relaxed silicene on H:NiSi 2 showed a small band gap opening of 0.14 eV. Silicene on H:NiSi 2 also had a calculated electron effective mass of 0.08m 0 and Fermi velocity of 0.39×10 6 m/s, which are similar to the values for freestanding silicene. H:silicene on NiSi 2 retained its band structure and DOS compared to freestanding H:silicene. The band gap of H:silciene on NiSi 2 was 1.97 eV and is similar to freestanding H:silicene band gap of 2 eV. As a result, this research showed that hydrogenation may be a viable method for decoupling a silicene layer from a NiSi 2(111) substrate to tune its electronic properties.« less
Reboredo, Mercedes; Chang, Haisul C Y; Barbero, Roberto; Rodríguez-Ortigosa, Carlos M; Pérez-Vizcaíno, Francisco; Morán, Asunción; García, Mónica; Banales, Jesús M; Carreño, Norberto; Alegre, Félix; Herrero, Ignacio; Quiroga, Jorge; Prieto, Jesús; Sangro, Bruno
2013-01-01
Only a limited proportion of patients needing pharmacological control of portal hypertension are hemodynamic responders to propranolol. Here we analyzed the effects of zolmitriptan on portal pressure and its potential interaction with propranolol. ZOLMITRIPTAN, PROPRANOLOL OR BOTH WERE TESTED IN TWO RAT MODELS OF PORTAL HYPERTENSION: common bile duct ligation (CBDL) and CCl4-induced cirrhosis. In these animals we measured different hemodynamic parameters including portal venous pressure, arterial renal flow, portal blood flow and cardiac output. We also studied the changes in superior mesenteric artery perfusion pressure and in arterial wall cAMP levels induced by zolmitriptan, propranolol or both. Moreover, we determined the effect of splanchnic sympathectomy on the response of PVP to zolmitriptan. In both models of portal hypertension zolmitriptan induced a dose-dependent transient descent of portal pressure accompanied by reduction of portal flow with only slight decrease in renal flow. In cirrhotic rats, splanchnic sympathectomy intensified and prolonged zolmitriptan-induced portal pressure descent. Also, propranolol caused more intense and durable portal pressure fall when combined with zolmitriptan. Mesenteric artery perfusion pressure peaked for about 1 min upon zolmitriptan administration but showed no change with propranolol. However propranolol enhanced and prolonged the elevation in mesenteric artery perfusion pressure induced by zolmitriptan. In vitro studies showed that propranolol prevented the inhibitory effects of β2-agonists on zolmitriptan-induced vasoconstriction and the combination of propranolol and zolmitriptan significantly reduced the elevation of cAMP caused by β2-agonists. Zolmitriptan reduces portal hypertension and non-selective beta-blockers can improve this effect. Combination therapy deserves consideration for patients with portal hypertension failing to respond to non-selective beta-blockers.
NASA Astrophysics Data System (ADS)
Suda, Ryutaro; Yagi, Mamiko; Kojima, Akira; Mentek, Romain; Mori, Nobuya; Shirakashi, Jun-ichi; Koshida, Nobuyoshi
2015-04-01
To enhance the usefulness of ballistic hot electron injection into solutions for depositing thin group-IV films, a dripping scheme is proposed. A very small amount of SiCl4 or GeCl4 solution was dripped onto the surface of a nanocrystalline Si (nc-Si) electron emitter, and then the emitter is driven without using any counter electrodes. It is shown that thin Si and Ge films are deposited onto the emitting surface. Spectroscopic surface and compositional analyses showed no extrinsic carbon contaminations in deposited thin films, in contrast to the results of a previous study using the dipping scheme. The availability of this technique for depositing thin SiGe films is also demonstrated using a mixture SiCl4+GeCl4 solution. Ballistic hot electrons injected into solutions with appropriate kinetic energies promote preferential reduction of target ions with no by-products leading to nuclei formation for the thin film growth. Specific advantageous features of this clean, room-temperature, and power-effective process is discussed in comparison with the conventional dry and wet processes.
Patient web portals, disease management, and primary prevention
Coughlin, Steven S; Prochaska, Judith J; Williams, Lovoria B; Besenyi, Gina M; Heboyan, Vahé; Goggans, D Stephen; Yoo, Wonsuk; De Leo, Gianluca
2017-01-01
Background Efforts aimed at health care reform and continued advances in information technologies have prompted interest among providers and researchers in patient web portals. Patient web portals are password-protected online websites that offer the patients 24-hour access to personal health information from anywhere with an Internet connection. Methods This article, which is based upon bibliographic searches in PubMed, reviews important developments in web portals for primary and secondary disease prevention, including patient web portals tethered to electronic medical records, disease-specific portals, health disparities, and health-related community web portals. Results Although findings have not been uniformly positive, several studies of the effectiveness of health care system patient portals in chronic disease management have shown promising results with regard to patient outcomes. Patient web portals have also shown promising results in increasing adherence with screening recommendations. Racial and ethnic minorities, younger persons, and patients who are less educated or have lower health literacy have been found to be less likely to use patient portals. Conclusion Additional studies are needed of the utility and effectiveness of different elements of web portals for different patient populations. This should include additional diseases and health topics such as smoking cessation and weight management. PMID:28435342
Shan, Hong; Xiao, Xiang-Sheng; Huang, Ming-Sheng; Ouyang, Qiang; Jiang, Zai-Bo
2005-06-07
To evaluate the value of endovascular stent in the treatment of portal hypertension caused by benign main portal vein stenosis. Portal vein stents were implanted in six patients with benign main portal vein stenosis (inflammatory stenosis in three cases, postprocedure of liver transplantation in another three cases). Changes in portal vein pressure, portal vein patency, relative clinical symptoms, complications, and survival were evaluated. Six metallic stents were successfully placed across the portal vein stenotic or obstructive lesions in six patients. Mean portal venous pressure decreased significantly after stent implantation from (37.3+/-4.7) cm H(2)O to (18.0+/-1.9) cm H(2)O. The portal blood flow restored and the symptoms caused by portal hypertension were eliminated. There were no severe procedure-related complications. The patients were followed up for 1-48 mo. The portal vein remained patent during follow-up. All patients survived except for one patient who died of other complications of liver transplantation. Percutaneous portal vein stent placement for the treatment of portal hypertension caused by benign main portal vein stenosis is safe and effective.
Wani, Zeeshan A; Bhat, Riyaz A; Bhadoria, Ajeet S; Maiwall, Rakhi
2015-01-01
Extrahepatic portal vein obstruction is a vascular disorder of liver, which results in obstruction and cavernomatous transformation of portal vein with or without the involvement of intrahepatic portal vein, splenic vein, or superior mesenteric vein. Portal vein obstruction due to chronic liver disease, neoplasm, or postsurgery is a separate entity and is not the same as extrahepatic portal vein obstruction. Patients with extrahepatic portal vein obstruction are generally young and belong mostly to Asian countries. It is therefore very important to define portal vein thrombosis as acute or chronic from management point of view. Portal vein thrombosis in certain situations such as liver transplant and postsurgical/liver transplant period is an evolving area and needs extensive research. There is a need for a new classification, which includes all areas of the entity. In the current review, the most recent literature of extrahepatic portal vein obstruction is reviewed and summarized.
Wani, Zeeshan A.; Bhat, Riyaz A.; Bhadoria, Ajeet S.; Maiwall, Rakhi
2015-01-01
Extrahepatic portal vein obstruction is a vascular disorder of liver, which results in obstruction and cavernomatous transformation of portal vein with or without the involvement of intrahepatic portal vein, splenic vein, or superior mesenteric vein. Portal vein obstruction due to chronic liver disease, neoplasm, or postsurgery is a separate entity and is not the same as extrahepatic portal vein obstruction. Patients with extrahepatic portal vein obstruction are generally young and belong mostly to Asian countries. It is therefore very important to define portal vein thrombosis as acute or chronic from management point of view. Portal vein thrombosis in certain situations such as liver transplant and postsurgical/liver transplant period is an evolving area and needs extensive research. There is a need for a new classification, which includes all areas of the entity. In the current review, the most recent literature of extrahepatic portal vein obstruction is reviewed and summarized. PMID:26021771
Role of Boron Element on the Electronic Properties of α-Nb5Si3: A First-Principle Study
NASA Astrophysics Data System (ADS)
Pan, Yong; Lin, Yuanhua
2018-03-01
Transition metal silicides (TMSis) are attracting increasing interest from the microelectronics and nanoelectronic industries. In this paper, we use the first-principles method to investigate the B-doped mechanism and the influence of B on the electronic properties of α-Nb5Si3. The calculated results show that B-doped Nb5Si3 is thermodynamically stable at the ground state. The calculated electronic structure shows that the thermodynamically stable B-doped Nb5Si3 is attributed to the 3D-network B-Si bonds and B-Nb bond. In particular, B element prefers to occupy B -IT4 site in comparison to other sites. Moreover, the calculated band structure indicates that Nb5Si3 exhibits metallic behavior at the ground state. We find that B-doping can improve charge overlap between conduction band and the valence band, which effectively improves the electronic properties of Nb5Si3.
Portal Vein Stenting for Portal Biliopathy with Jaundice
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hyun, Dongho, E-mail: mesentery@naver.com; Park, Kwang Bo, E-mail: kbjh.park@samsung.com; Lim, Seong Joo
2016-04-15
Portal biliopathy refers to obstruction of the bile duct by dilated peri- or para-ductal collateral channels following the main portal vein occlusion from various causes. Surgical shunt operation or endoscopic treatment has been reported. Herein, we report a case of portal biliopathy that was successfully treated by interventional portal vein recanalization.
Silicene on Ag(1 1 1): Geometric and electronic structures of a new honeycomb material of Si
NASA Astrophysics Data System (ADS)
Takagi, Noriaki; Lin, Chun-Liang; Kawahara, Kazuaki; Minamitani, Emi; Tsukahara, Noriyuki; Kawai, Maki; Arafune, Ryuichi
2015-02-01
Silicene, a two-dimensional honeycomb sheet consisting of Si atoms, has attracted much attention as a new low-dimensional material because it gains various fascinating characteristics originating from the combination of Dirac fermion features with spin-orbit coupling. The novel properties such as the quantum spin Hall effect and the compatibility with the current Si device technologies have fueled competition to realize the silicene. This review article focuses on the geometric and electronic structures of silicene grown on Ag(1 1 1) investigated by scanning tunneling microcopy (STM), low energy electron diffraction (LEED) and density functional theory (DFT) calculations. The silicene on Ag(1 1 1) takes locally-buckled structure in which the Si atoms are displaced perpendicularly to the basal plane. As a result, several superstructures such as 4 × 4,√{ 13 } ×√{ 13 } R 13.9 °, 4 /√{ 3 } × 4 /√{ 3 } , and etc. emerge. The atomic arrangement of the 4 × 4 silicene has been determined by STM, DFT calculations and LEED dynamical analysis, while the other superstructures remain to be fully-resolved. In the 4 × 4 silicene, Si atoms are arranged to form a buckled honeycomb structure where six Si atoms of 18 Si atoms in the unit cell are displaced vertically. The displacements lead to the vertical shift of the substrate Ag atoms, indicating the non-negligible coupling at the interface between the silicene layer and the substrate. The interface coupling significantly modifies the electronic structure of the 4 × 4 silicene. No Landau level sequences were observed by scanning tunneling spectroscopy (STS) with magnetic fields applied perpendicularly to the sample surface. The DFT calculations showed that the π and π∗ bands derived from the Si 3pz are hybridized with the Ag electronic states, leading to the drastic modification in the band structure and then the absence of Dirac fermion features together with the two-dimensionality in the electronic states
Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.
Wen, Feng; Tutuc, Emanuel
2018-01-10
We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.
Fabrication of reproducible, integration-compatible hybrid molecular/si electronics.
Yu, Xi; Lovrinčić, Robert; Kraynis, Olga; Man, Gabriel; Ely, Tal; Zohar, Arava; Toledano, Tal; Cahen, David; Vilan, Ayelet
2014-12-29
Reproducible molecular junctions can be integrated within standard CMOS technology. Metal-molecule-semiconductor junctions are fabricated by direct Si-C binding of hexadecane or methyl-styrene onto oxide-free H-Si(111) surfaces, with the lateral size of the junctions defined by an etched SiO2 well and with evaporated Pb as the top contact. The current density, J, is highly reproducible with a standard deviation in log(J) of 0.2 over a junction diameter change from 3 to 100 μm. Reproducibility over such a large range indicates that transport is truly across the molecules and does not result from artifacts like edge effects or defects in the molecular monolayer. Device fabrication is tested for two n-Si doping levels. With highly doped Si, transport is dominated by tunneling and reveals sharp conductance onsets at room temperature. Using the temperature dependence of current across medium-doped n-Si, the molecular tunneling barrier can be separated from the Si-Schottky one, which is a 0.47 eV, in agreement with the molecular-modified surface dipole and quite different from the bare Si-H junction. This indicates that Pb evaporation does not cause significant chemical changes to the molecules. The ability to manufacture reliable devices constitutes important progress toward possible future hybrid Si-based molecular electronics. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Etch pit investigation of free electron concentration controlled 4H-SiC
NASA Astrophysics Data System (ADS)
Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook
2013-04-01
Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.
Transport properties and electronic structure of Na0.28PtSi
NASA Astrophysics Data System (ADS)
Itahara, Hiroshi; Suzumura, Akitoshi; Oh, Song-Yul
2017-07-01
We have investigated the electronic structure and properties of Na0.28PtSi, which is a Pt-based intermetallic compound with no reported physical properties. Na0.28PtSi powder with an average grain size of 15 µm was demonstrated to be stable in a strongly acidic aqueous solution. The ab initio calculations revealed that there is a band crossing the Fermi level and that the density of states (DOS) under the Fermi level mainly consists of d orbitals of Pt atoms. Here, we used the model of Na0.25PtSi with an approximately ordered structure (space group I4, full Na site occupation), which was set instead of the reported statistically disordered structure of Na0.28PtSi (I4/mcm, Na site occupancy: 0.258). The calculated electronic structure corresponded to the measured metallic properties of the Na0.28PtSi sintered body: i.e., the electrical resistivity of Na0.28PtSi was increased from 1.77 × 10-8 Ω m at 30 K to 2.67 × 10-7 Ω m at 300 K and the Seebeck coefficient was 0.11 µV K-1 at 300 K.
NASA Astrophysics Data System (ADS)
Bouafia, H.; Sahli, B.; Timaoui, M. A.; Djebour, B.; Hiadsi, S.; Abidri, B.
2018-02-01
The present work represents a theoretical investigation based on FP-(L)APW + lo method of structural properties, mechanical stability and electronic properties of Co1-xOsxSi as well as the macroscopic magnetic susceptibilities of CoSi and OsSi. The structural properties such as cell parameter, bulk modulus, internal parameters and total energy of non-magnetic NM, ferromagnetic FM and antiferromagnetic AFM phases were predicted by GGA-PBEsol semilocal functional. The obtained results for CoSi and OsSi are in good agreement with those found previously. The spin, orbital and total macroscopic magnetic susceptibilities of CoSi and OsSi have been estimated and confirmed that these compounds are diamagnetic. The total energy of the ferromagnetic phase of Co1-xOsxSi (with x = 0.25, 0.5 and 0.75) is the lowest indicating that they are ferromagnetic materials. The generalized stability criteria indicate that Co1-xOsxSi maintain their mechanical stabilities under a hydrostatic pressure less than 10 GPa. The electronic properties calculated by GW-approximation indicate that CoSi and Co1-xOsxSi (with x = 0.25, 0.50 and 0.75) are semimetals whereas OsSi is a semiconductor with a pseudo-direct band-gap. The topological analysis by QTAIM and the charge density plots indicate that the strong covalent character is predominant for Cosbnd Si, Ossbnd Si and Cosbnd Os bonds.
Web-Based Project Portfolio Management Portal Success: A Quantitative Investigation
ERIC Educational Resources Information Center
Excellent, Frendy
2013-01-01
Due to its centralized content-sharing features, a project portfolio management (PPM) portal enables vertical, as well as horizontal, electronic exchanges between project professionals. Such exchanges, if effective, may deliver an individual impact through improved project performance. Effectiveness engenders use and satisfaction, reflecting the…
Donato, K; Leszczynski, K; Fleming, K
2006-02-01
A study was performed to compare the positioning reproducibility and the cost efficiency for two head and neck immobilization devices: the Uvex (Uvex Safety, Smithfield, USA) plastic mask system and the Finesse Frame with Ultraplast System (PLANET Medical, Svendborg, Denmark). 20 patients treated with 3D conformal radiation therapy for head and neck cancers were randomly selected (10 for each of the two different immobilization systems) and electronic portal images acquired during their course of treatment were saved and used in this study. The anatomical landmark coordinates and their shifts in the anteroposterior (AP) and craniocaudal (CC) directions with respect to the digitized simulator films for lateral fields were analysed using an in-house developed portal image registration system. Statistically, no evidence was found to indicate that the systematic components of the displacement for the Uvex system and the Finesse Frame with Ultraplast System were different from each other or from zero. The random component of displacement was slightly smaller in the AP direction for the Uvex than the Ultraplast system (sigma = 1.9 mm and 2.9 mm, respectively, p = 0.007), but larger in the CC direction (sigma = 3.8 mm and 2.2 mm, respectively, p<10(-9)). Production time and required materials for a radiation therapy department were also quantified to assess costs for each system. The overall costs per patient were estimated at 141.50 dollars (CAD) and 82.10 dollars for the Uvex and Ultraplast systems, respectively. The Finesse Frame with Ultraplast System of immobilization for head and neck cancer treatment provides a field placement reproducibility that is equal to, or greater than, that of the Uvex plastic mask immobilization system and, while it requires more expensive materials, the workload and consequently overall cost is greatly reduced.
Lee, J H; Lee, B H; Kim, Y T; Kim, J J; Lee, S Y; Lee, K P; Park, C G
2014-03-01
Laser-assisted atom probe tomography has opened the way to three-dimensional visualization of nanostructures. However, many questions related to the laser-matter interaction remain unresolved. We demonstrate that the interface reaction can be activated by laser-assisted field evaporation and affects the quantification of the interfacial composition. At a vertical interface between Si and SiO2, a SiO2 molecule tends to combine with a Si atom and evaporate as a SiO molecule, reducing the evaporation field. The features of the reaction depend on the direction of the laser illumination and the inner structure of tip. A high concentration of SiO is observed at a vertical interface between Si and SiO2 when the Si column is positioned at the center of the tip, whereas no significant SiO is detected when the SiO2 layer is at the center. The difference in the interfacial compositions of two samples was due to preferential evaporation of the Si layer. This was explained using transmission electron microscopy observations before and after atom probe experiments. Copyright © 2013 Elsevier Ltd. All rights reserved.
Obliterative portal venopathy without portal hypertension: an underestimated condition.
Guido, Maria; Sarcognato, Samantha; Sonzogni, Aurelio; Lucà, Maria Grazia; Senzolo, Marco; Fagiuoli, Stefano; Ferrarese, Alberto; Pizzi, Marco; Giacomelli, Luciano; Colloredo, Guido
2016-03-01
Obliterative portal venopathy without portal hypertension has been described by a single study in a limited number of patients, thus very little is known about this clinical condition. This study aimed to investigate the prevalence of obliterative portal venopathy and its clinical-pathological correlations in patients with cryptogenic chronic liver test abnormalities without clinical signs of portal hypertension. We analysed 482 liver biopsies from adults with non-cirrhotic cryptogenic chronic liver disorders and without any clinical signs of portal hypertension, consecutively enrolled in a 5-year period. Twenty cases of idiopathic non-cirrhotic portal hypertension diagnosed in the same period, were included for comparison. Histological findings were matched with clinical and laboratory features. Obliterative portal venopathy was identified in 94 (19.5%) of 482 subjects and in all 20 cases of idiopathic non-cirrhotic portal hypertension: both groups shared the entire spectrum of histological changes described in the latter condition. The prevalence of incomplete fibrous septa and nodular regenerative hyperplasia was higher in the biopsies of idiopathic non-cirrhotic portal hypertension (P = 0.006 and P = 0.002), a possible hint of a more advanced stage of the disease. The two groups also shared several clinical laboratory features, including a similar liver function test profile, concomitant prothrombotic conditions and extrahepatic autoimmune disorders. Obliterative portal venopathy occurs in a substantial proportion of patients with unexplained chronic abnormal liver function tests without portal hypertension. The clinical-pathological profile of these subjects suggests that they may be in an early (non-symptomatic) stage of idiopathic non-cirrhotic portal hypertension. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
Tannery, Nancy H; Epstein, Barbara A; Wessel, Charles B; Yarger, Frances; LaDue, John; Klem, Mary Lou
2011-01-01
In 2008, a clinical information tool was developed and embedded in the electronic health record system of an academic medical center. In 2009, the initial information tool, Clinical-e, was superseded by a portal called Clinical Focus, with a single search box enabling a federated search of selected online information resources. To measure the usefulness and impact of Clinical Focus, a survey was used to gather feedback about users' experience with this clinical resource. The survey determined what type of clinicians were using this tool and assessed user satisfaction and perceived impact on patient care decision making. Initial survey results suggest the majority of respondents found Clinical Focus easy to navigate, the content easy to read, and the retrieved information relevant and complete. The majority would recommend Clinical Focus to their colleagues. Results indicate that this tool is a promising area for future development. PMID:22016670
Design and implementation of a portal for the medical equipment market: MEDICOM.
Palamas, S; Kalivas, D; Panou-Diamandi, O; Zeelenberg, C; van Nimwegen, C
2001-01-01
The MEDICOM (Medical Products Electronic Commerce) Portal provides the electronic means for medical-equipment manufacturers to communicate online with their customers while supporting the Purchasing Process and Post Market Surveillance. The Portal offers a powerful Internet-based search tool for finding medical products and manufacturers. Its main advantage is the fast, reliable and up-to-date retrieval of information while eliminating all unrelated content that a general-purpose search engine would retrieve. The Universal Medical Device Nomenclature System (UMDNS) registers all products. The Portal accepts end-user requests and generates a list of results containing text descriptions of devices, UMDNS attribute values, and links to manufacturer Web pages and online catalogues for access to more-detailed information. Device short descriptions are provided by the corresponding manufacturer. The Portal offers technical support for integration of the manufacturers Web sites with itself. The network of the Portal and the connected manufacturers sites is called the MEDICOM system. To establish an environment hosting all the interactions of consumers (health care organizations and professionals) and providers (manufacturers, distributors, and resellers of medical devices). The Portal provides the end-user interface, implements system management, and supports database compatibility. The Portal hosts information about the whole MEDICOM system (Common Database) and summarized descriptions of medical devices (Short Description Database); the manufacturers servers present extended descriptions. The Portal provides end-user profiling and registration, an efficient product-searching mechanism, bulletin boards, links to on-line libraries and standards, on-line information for the MEDICOM system, and special messages or advertisements from manufacturers. Platform independence and interoperability characterize the system design. Relational Database Management Systems are used for
Design and Implementation of a Portal for the Medical Equipment Market: MEDICOM
Kalivas, Dimitris; Panou-Diamandi, Ourania; Zeelenberg, Cees; van Nimwegen, Chris
2001-01-01
Background The MEDICOM (Medical Products Electronic Commerce) Portal provides the electronic means for medical-equipment manufacturers to communicate online with their customers while supporting the Purchasing Process and Post Market Surveillance. The Portal offers a powerful Internet-based search tool for finding medical products and manufacturers. Its main advantage is the fast, reliable and up-to-date retrieval of information while eliminating all unrelated content that a general-purpose search engine would retrieve. The Universal Medical Device Nomenclature System (UMDNS) registers all products. The Portal accepts end-user requests and generates a list of results containing text descriptions of devices, UMDNS attribute values, and links to manufacturer Web pages and online catalogues for access to more-detailed information. Device short descriptions are provided by the corresponding manufacturer. The Portal offers technical support for integration of the manufacturers' Web sites with itself. The network of the Portal and the connected manufacturers' sites is called the MEDICOM system. Objective To establish an environment hosting all the interactions of consumers (health care organizations and professionals) and providers (manufacturers, distributors, and resellers of medical devices). Methods The Portal provides the end-user interface, implements system management, and supports database compatibility. The Portal hosts information about the whole MEDICOM system (Common Database) and summarized descriptions of medical devices (Short Description Database); the manufacturers' servers present extended descriptions. The Portal provides end-user profiling and registration, an efficient product-searching mechanism, bulletin boards, links to on-line libraries and standards, on-line information for the MEDICOM system, and special messages or advertisements from manufacturers. Platform independence and interoperability characterize the system design. Relational Database
3. East portal of Tunnel 39, view to west with ...
3. East portal of Tunnel 39, view to west with east portal of Tunnel 38 (HAER CA-211) visible in distance, 135mm lens with electronic flash fill. - Central Pacific Transcontinental Railroad, Tunnel No. 39, Milepost 180.95, Cisco, Placer County, CA
Effects of antimony (Sb) on electron trapping near SiO{sub 2}/4H-SiC interfaces
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mooney, P. M.; Jiang, Zenan; Basile, A. F.
To investigate the mechanism by which Sb at the SiO{sub 2}/SiC interface improves the channel mobility of 4H-SiC MOSFETs, 1 MHz capacitance measurements and constant capacitance deep level transient spectroscopy (CCDLTS) measurements were performed on Sb-implanted 4H-SiC MOS capacitors. The measurements reveal a significant concentration of Sb donors near the SiO{sub 2}/SiC interface. Two Sb donor related CCDLTS peaks corresponding to shallow energy levels in SiC were observed close to the SiO{sub 2}/SiC interface. Furthermore, CCDLTS measurements show that the same type of near-interface traps found in conventional dry oxide or NO-annealed capacitors are present in the Sb implanted samples. Thesemore » are O1 traps, suggested to be carbon dimers substituted for O dimers in SiO{sub 2}, and O2 traps, suggested to be interstitial Si in SiO{sub 2}. However, electron trapping is reduced by a factor of ∼2 in Sb-implanted samples compared with samples with no Sb, primarily at energy levels within 0.2 eV of the SiC conduction band edge. This trap passivation effect is relatively small compared with the Sb-induced counter-doping effect on the MOSFET channel surface, which results in improved channel transport.« less
Grant, Richard W; Wald, Jonathan S; Poon, Eric G; Schnipper, Jeffrey L; Gandhi, Tejal K; Volk, Lynn A; Middleton, Blackford
2006-10-01
Despite the availability of expert guidelines and widespread diabetes quality improvement efforts, care of patients with diabetes remains suboptimal. Two key barriers to care that may be amenable to informatics-based interventions include (1) lack of patient engagement with therapeutic care plans and (2) lack of medication adjustment by physicians ("clinical inertia") during clinical encounters. The authors describe the conceptual framework, design, implementation, and analysis plan for a diabetes patient web-portal linked directly to the electronic health record (EHR) of a large academic medical center via secure Internet access designed to overcome barriers to effective diabetes care. Partners HealthCare System (Boston, MA), a multi-hospital health care network comprising several thousand physicians caring for over 1 million individual patients, has developed a comprehensive patient web-portal called Patient Gateway that allows patients to interact directly with their EHR via secure Internet access. Using this portal, a specific diabetes interface was designed to maximize patient engagement by importing the patient's current clinical data in an educational format, providing patient-tailored decision support, and enabling the patient to author a "Diabetes Care Plan." The physician view of the patient's Diabetes Care Plan was designed to be concise and to fit into typical EHR clinical workflow. We successfully designed and implemented a Diabetes Patient portal that allows direct interaction with our system's EHR. We are assessing the impact of this advanced informatics tool for collaborative diabetes care in a clinic-randomized controlled trial among 14 primary care practices within our integrated health care system.
Herek, Duygu; Sungurtekin, Ugur
2015-01-01
Hepatic hydatid cysts rarely invade portal veins causing portal cavernomatosis as a secondary complication. We report the case of a patient with direct invasion of the right portal vein by hydatid cysts causing portal cavernomatosis diagnosed via magnetic resonance imaging (MRI). The presented case highlights the useful application of MRI with T2-weighted images and gadolinium-enhanced T1-weighted images in the diagnosis of hepatic hydatid lesions presenting with a rare complication of portal cavernomatosis.
Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.
Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R
2011-02-09
Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.
NASA Astrophysics Data System (ADS)
van Aken, P. A.; Sharp, T. G.; Seifert, F.
The analysis of the extended energy-loss fine structure (EXELFS) of the Si K-edge for sixfold-coordinated Si in synthetic stishovite and fourfold-coordinated Si in natural α-quartz is reported by using electron energy-loss spectroscopy (EELS) in combination with transmission electron microscopy (TEM). The stishovite Si K-edge EXELFS spectra were measured as a time-dependent series to document irradiation-induced amorphization. The amorphization was also investigated through the change in Si K- and O K-edge energy-loss near edge structure (ELNES). For α-quartz, in contrast to stishovite, electron irradiation-induced vitrification, verified by selected area electron diffraction (SAED), produced no detectable changes of the EXELFS. The Si K-edge EXELFS were analysed with the classical extended X-ray absorption fine structure (EXAFS) treatment and compared to ab initio curve-waved multiple-scattering (MS) calculations of EXAFS spectra for stishovite and α-quartz. Highly accurate information on the local atomic environment of the silicon atoms during the irradiation-induced amorphization of stishovite is obtained from the EXELFS structure parameters (Si-O bond distances, coordination numbers and Debye-Waller factors). The mean Si-O bond distance R and mean Si coordination number N changes from R=0.1775 nm and N=6 for stishovite through a disordered intermediate state (R 0.172 nm and N 5) to R 0.167 nm and N 4.5 for a nearly amorphous state similar to α-quartz (R=0.1609 nm and N=4). During the amorphization process, the Debye-Waller factor (DWF) passes through a maximum value of as it changes from for sixfold to for fourfold coordination of Si. This increase in Debye-Waller factor indicates an increase in mean-square relative displacement (MSRD) between the central silicon atom and its oxygen neighbours that is consistent with the presence of an intermediate structural state with fivefold coordination of Si. The distribution of coordination states can be estimated by
Herek, Duygu; Sungurtekin, Ugur
2015-01-01
Background Hepatic hydatid cysts rarely invade portal veins causing portal cavernomatosis as a secondary complication. Case Report We report the case of a patient with direct invasion of the right portal vein by hydatid cysts causing portal cavernomatosis diagnosed via magnetic resonance imaging (MRI). Conclusion The presented case highlights the useful application of MRI with T2-weighted images and gadolinium-enhanced T1-weighted images in the diagnosis of hepatic hydatid lesions presenting with a rare complication of portal cavernomatosis. PMID:26730239
A Climate Information Portal for Copernicus: a central portal for European climate services?
NASA Astrophysics Data System (ADS)
Juckes, Martin; Swart, Rob; Thysse, Peter; Som de Cerff, Wim; Groot, Annemarie; Bennett, Victoria; Costa, Luis; Lückenkötter, Johannes; Callaghan, Sarah
2015-04-01
The FP7 project "Climate Information Portal for Copernicus" (CLIPC) is developing a demonstration portal for the Copernicus Climate Change Service (C3S). This project is one of a suite of FP7 research activities which are administratively independent of Copernicus, focussed on creating the technical and scientific building blocks needed for the service. It is to be expected that at EGU 2015 there will be many presentations describing portals delivering new and innovative ranges of services. It would be unwise to seek to replace all this creative activity with a single portal -- instead CLIPC is designing a portal to make distributed resources more accessible through flexible discovery systems. CLIPC needs to deliver more than a directory of resources: resources need to be presented in common protocols so that users can access multiple datasets. More information about the project objectives is available at www.clipc.eu. The gulf between the climate science communities and the end user communities is a central challenge being addressed in the project. It is important to understand that there is significant diversity and multiple communication barriers within these two sets of communities as well as between them. The CLIPC services must presentation will provide a review of progress towards this ambitious goal, through a discussion of user requirements activities, an overview of the proposed architecture, work on assessing and adjusting model biasses, and a discussion of the climate impact indicators which will be provided through the portal. When looking at the usability of data for the various users, CLIPC will implement a set of services functioning as a "knowledge base" supplying information to users about the data, including definitions of terminology used, quality of datasets, versioning, and user annotations.
NASA Technical Reports Server (NTRS)
Fan, W. C.; Mesarwi, A.; Ignatiev, A.
1990-01-01
The effect of Sr and Bi on the oxidation of the Si(100) surface has been studied by Auger electron spectroscopy, low electron diffraction, and X-ray photoelectron spectroscopy. A dramatic enhancement, by a factor of 10, of the Si oxidation has been observed for Si(100) with a Sr overlayer. The SR-enhanced Si oxidation has been studied as a function of O2 exposure and Sr coverage. In contrast to the oxidation promotion of Sr on Si, it has been also observed that a Bi overlayer on Si(100) reduced Si oxidation significantly. Sr adsorption on the Si(100) with a Bi overlayer enhances Si oxidation only at Sr coverage of greater than 0.3 ML.
NASA Astrophysics Data System (ADS)
Ma, Deng-Hao; Zhang, Wei-Jia; Luo, Rui-Ying; Jiang, Zhao-Yi; Ma, Qiang; Ma, Xiao-Bo; Fan, Zhi-Qiang; Song, Deng-Yuan; Zhang, Lei
2016-05-01
Phosphorus doped Si nanocrystals (SNCs) emebedded in silicon-rich SiNx:H films were prepared using plasma enhanced chemical vapor deposition technique, and the effects of nitrogen incorporation on the microstructure and electronic properties of the thin films have been systematically studied. Transmission electron microscope and Raman observation revealed that nitrogen incorporation prevents the growth of Si nanocrystals, and that their sizes can be adjusted by varying the flow rate of NH3. The reduction of photoluminescence (PL) intensity in the range of 2.1-2.6 eV of photon energy was observed with increasing nitrogen impurity, and a maximal PL intensity in the range 1.6-2.0 eV was obtained when the incorporation flow ratio NH3/(SiH4+H2+PH3) was 0.02. The conductivity of the films is improved by means of proper nitrogen impurity doping, and proper doping causes the interface charge density of the heterojunction (H-J) device to be lower than the nc-Si:H/c-Si H-J device. As a result, the proper incorporation of nitrogen could not only reduce the silicon banding bond density, but also fill some carrier capture centers, and suppress the nonradiative recombination of electrons.
Is light-induced degradation of a-Si:H/c-Si interfaces reversible?
DOE Office of Scientific and Technical Information (OSTI.GOV)
El Mhamdi, El Mahdi; Holovsky, Jakub; Demaurex, Bénédicte
2014-06-23
Thin hydrogenated amorphous silicon (a-Si:H) films deposited on crystalline silicon (c-Si) surfaces are sensitive probes for the bulk electronic properties of a-Si:H. Here, we use such samples during repeated low-temperature annealing and visible-light soaking to investigate the long-term stability of a-Si:H films. We observe that during annealing the electronic improvement of the interfaces follows stretched exponentials as long as hydrogen evolution in the films can be detected. Once such evolution is no longer observed, the electronic improvement occurs much faster. Based on these findings, we discuss how the reversibility of light-induced defects depends on (the lack of observable) hydrogen evolution.
Electrode-stress-induced nanoscale disorder in Si quantum electronic devices
Park, J.; Ahn, Y.; Tilka, J. A.; ...
2016-06-20
Disorder in the potential-energy landscape presents a major obstacle to the more rapid development of semiconductor quantum device technologies. We report a large-magnitude source of disorder, beyond commonly considered unintentional background doping or fixed charge in oxide layers: nanoscale strain fields induced by residual stresses in nanopatterned metal gates. Quantitative analysis of synchrotron coherent hard x-ray nanobeam diffraction patterns reveals gate-induced curvature and strains up to 0.03% in a buried Si quantum well within a Si/SiGe heterostructure. Furthermore, electrode stress presents both challenges to the design of devices and opportunities associated with the lateral manipulation of electronic energy levels.
Kubo, Yugo; Yonezawa, Kazuhiro
2017-09-05
SiO 2 -based optical fibers are indispensable components of modern information communication technologies. It has recently become increasingly important to establish a technique for visualizing the nanoscale phase-separated structure inside SiO 2 -GeO 2 glass nanoparticles during the manufacturing of SiO 2 -GeO 2 fibers. This is because the rapidly increasing price of Ge has made it necessary to improve the Ge yield by clarifying the detailed mechanism of Ge diffusion into SiO 2 . However, direct observation of the internal nanostructure of glass particles has been extremely difficult, mainly due to electrostatic charging and the damage induced by electron and X-ray irradiation. In the present study, we used state-of-the-art scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM), and energy dispersive X-ray spectroscopy (EDX) to examine cross-sectional samples of SiO 2 -GeO 2 particles embedded in an epoxy resin, which were fabricated using a broad Ar ion beam and a focused Ga ion beam. These advanced techniques enabled us to observe the internal phase-separated structure of the nanoparticles. We have for the first time clearly determined the SiO 2 -Si 1-x Ge x O 2 core-shell structure of such particles, the element distribution, the degree of crystallinity, and the quantitative chemical composition of microscopic regions, and we discuss the formation mechanism for the observed structure. The proposed imaging protocol is highly promising for studying the internal structure of various core-shell nanoparticles, which affects their catalytic, optical, and electronic properties.
aSi EPIDs for the in-vivo dosimetry of static and dynamic beams
NASA Astrophysics Data System (ADS)
Piermattei, A.; Cilla, S.; Azario, L.; Greco, F.; Russo, M.; Grusio, M.; Orlandini, L.; Fidanzio, A.
2015-10-01
Portal imaging by amorphous silicon (aSi) photodiode is currently the most applied technology for in-vivo dosimetry (IVD) of static and dynamic radiotherapy beams. The strategy, adopted in this work to perform the IVD procedure by aSi EPID, is based on: in patient reconstruction of the isocenter dose and day to day comparison between 2D-portal images to verify the reproducibility of treatment delivery. About 20.000 tests have been carried out in this last 3 years in 8 radiotherapy centers using the SOFTDISO program. The IVD results show that: (i) the procedure can be implemented for linacs of different manufacturer, (ii) the IVD analysis can be obtained on a computer screen, in quasi real time (about 2 min after the treatment delivery) and (iii) once the causes of the discrepancies were eliminated, all the global IVD tests for single patient were within the acceptance criteria defined by: ±5% for the isocenter dose, and Pγ<1≥90% of the checked points for the 2D portal image γ-analysis. This work is the result of a project supported by the Istituto Nazionale di Fisica Nucleare (INFN) and Università Cattolica del S.Cuore (UCSC).
Carl, Jesper; Lund, Bente; Larsen, Erik Hoejkjaer; Nielsen, Jane
2006-02-01
A new method for localization of the prostate during external beam radiotherapy is presented. The method is based on insertion of a thermo-expandable Ni-Ti stent. The stent is originally developed for treatment of bladder outlet obstruction caused by benign hyperplasia. The radiological properties of the stent are used for precise prostate localization during treatment using electronic portal images. Patients referred for intended curative radiotherapy and having a length of their prostatic urethra in the range from 25 to 65 mm were included. Pairs of isocentric orthogonal portal images were used to determine the 3D position at eight different treatment sessions for each patient. Fourteen patients were enrolled in the study. The data obtained demonstrated that the stent position was representative of the prostate location. The stent may also improve delineation of the prostate GTV, and prevent obstruction of bladder outlet during treatment. Precision in localization of the stent was less than 1 mm. Random errors in stent position were left-right 1.6 mm, cranial-caudal 2.2 mm and anterior-posterior 3.2 mm. In four of 14 patients a dislocation of the stent to the bladder occurred. Dislocation only occurred in patients with length of prostatic urethra less than 40 mm. A new method for radiological high precision localization of the prostate during radiotherapy is presented. The method is based on insertion of a standard Ni-Ti thermo-expandable stent, designed for treatment of benign prostate hyperplasia.
Subatomic electronic feature from dynamic motion of Si dimer defects in Bi nanolines on Si(001)
NASA Astrophysics Data System (ADS)
Kirkham, C. J.; Longobardi, M.; Köster, S. A.; Renner, Ch.; Bowler, D. R.
2017-08-01
Scanning tunneling microscopy (STM) reveals unusual sharp features in otherwise defect-free Bi nanolines self-assembled on Si(001). They appear as subatomic thin lines perpendicular to the Bi nanoline at positive biases and as atomic size beads at negative biases. Density functional theory (DFT) simulations show that these features can be attributed to buckled Si dimers substituting for Bi dimers in the nanoline, where the sharp feature is the counterintuitive signature of these dimers flipping during scanning. The perfect correspondence between the STM data and the DFT simulation demonstrated in this paper highlights the detailed understanding we have of the complex Bi-Si(001) Haiku system. This discovery has applications in the patterning of Si dangling bonds for nanoscale electronics.
Using Patient Portals to Increase Engagement in Patients with Cancer.
Rodriguez, Elizabeth S
2018-05-01
To review patient portals which serve as a tool for patient engagement by increasing access to electronic health care information and expanding ways to communicate with health care providers. Reviews of the literature and first-hand experience. Meaningful Use requirements propelled the design and development of patient portals in recent years. Patient engagement in oncology can improve quality of life and outcomes. Oncology nurses facilitate patient adoption of patient portals and support usage. Patient education helps manage communication expectations and understanding of online medical information. Copyright © 2018 Elsevier Inc. All rights reserved.
Dupak, Kourtney; Kuehner, Zachary; Leonard, Kevin; Lovrics, Emily; Picton, Peter; Seto, Emily; Cafazzo, Joe
2012-01-01
Background Effective management and care of diabetes is crucial to reducing associated risks such as heart disease and kidney failure. With increasing access and use of the Internet, online chronic disease management is being explored as a means of providing patients with support and the necessary tools to monitor and manage their disease. Objective The objective of our study was to evaluate the experience of patients and providers using an online diabetes management portal for patients. Methods Participants were recruited from a large sample population of 887 for a follow-up questionnaire to be completed after 6 months of using the patient portal. Participants were presented with the option to participate in an additional interview and, if the participant agreed, a time and date was scheduled for the interview. A 5-item, open-ended questionnaire was used to capture providers' opinions of the patient portal. Providers included general practitioners (GPs), nurses, nurse practitioners (NPs), dieticians, diabetes educators (DECs), and other clinical staff. Results A total of 854 patients were consented for the questionnaire. Seventeen (8 male, 9 female) patients agreed to participate in a telephone interview. Sixty-four health care providers completed the five open-ended questions; however, an average of 48.2 responses were recorded per question. Four major themes were identified and will be discussed in this paper. These themes have been classified as: facilitators of disease management, barriers to portal use, patient-provider communication and relationship, and recommendations for portal improvements. Conclusions This qualitative study shows that online chronic disease management portals increase patient access to information and engagement in their health care, but improvements in the portal itself may improve usability and reduce attrition. Furthermore, this study identifies a grey area that exists in the roles that GPs and AHPs should play in the facilitation of
Urowitz, Sara; Wiljer, David; Dupak, Kourtney; Kuehner, Zachary; Leonard, Kevin; Lovrics, Emily; Picton, Peter; Seto, Emily; Cafazzo, Joe
2012-11-30
Effective management and care of diabetes is crucial to reducing associated risks such as heart disease and kidney failure. With increasing access and use of the Internet, online chronic disease management is being explored as a means of providing patients with support and the necessary tools to monitor and manage their disease. The objective of our study was to evaluate the experience of patients and providers using an online diabetes management portal for patients. Participants were recruited from a large sample population of 887 for a follow-up questionnaire to be completed after 6 months of using the patient portal. Participants were presented with the option to participate in an additional interview and, if the participant agreed, a time and date was scheduled for the interview. A 5-item, open-ended questionnaire was used to capture providers' opinions of the patient portal. Providers included general practitioners (GPs), nurses, nurse practitioners (NPs), dieticians, diabetes educators (DECs), and other clinical staff. A total of 854 patients were consented for the questionnaire. Seventeen (8 male, 9 female) patients agreed to participate in a telephone interview. Sixty-four health care providers completed the five open-ended questions; however, an average of 48.2 responses were recorded per question. Four major themes were identified and will be discussed in this paper. These themes have been classified as: facilitators of disease management, barriers to portal use, patient-provider communication and relationship, and recommendations for portal improvements. This qualitative study shows that online chronic disease management portals increase patient access to information and engagement in their health care, but improvements in the portal itself may improve usability and reduce attrition. Furthermore, this study identifies a grey area that exists in the roles that GPs and AHPs should play in the facilitation of online disease management.
Analytical electron microscopy of Mg-SiO smokes - A comparison with infrared and XRD studies
NASA Technical Reports Server (NTRS)
Rietmeijer, F. J. M.; Nuth, J. A.; Mackinnon, I. D. R.
1986-01-01
Analytical electron microscopy conducted for Mg-SiO smokes (experimentally obtained from samples previously characterized by IR spectroscopy) indicates that the microcrystallinity content of unannealed smokes increases with increased annealing for up to 30 hr. The growth of forsterite microcrystallites in the initially nonstoichiometric smokes may give rise to the contemporaneous growth of the SiO polymorph tridymite and MgO; after 4 hr of annealing, these react to form enstatite. It is suggested that XRD analysis and IR spectroscopy should be conducted in conjunction with detailed analytical electron microscopy for the detection of emerging crystallinity in vapor-phase condensates.
Ultra-high-throughput Production of III-V/Si Wafer for Electronic and Photonic Applications
Geum, Dae-Myeong; Park, Min-Su; Lim, Ju Young; Yang, Hyun-Duk; Song, Jin Dong; Kim, Chang Zoo; Yoon, Euijoon; Kim, SangHyeon; Choi, Won Jun
2016-01-01
Si-based integrated circuits have been intensively developed over the past several decades through ultimate device scaling. However, the Si technology has reached the physical limitations of the scaling. These limitations have fuelled the search for alternative active materials (for transistors) and the introduction of optical interconnects (called “Si photonics”). A series of attempts to circumvent the Si technology limits are based on the use of III-V compound semiconductor due to their superior benefits, such as high electron mobility and direct bandgap. To use their physical properties on a Si platform, the formation of high-quality III-V films on the Si (III-V/Si) is the basic technology ; however, implementing this technology using a high-throughput process is not easy. Here, we report new concepts for an ultra-high-throughput heterogeneous integration of high-quality III-V films on the Si using the wafer bonding and epitaxial lift off (ELO) technique. We describe the ultra-fast ELO and also the re-use of the III-V donor wafer after III-V/Si formation. These approaches provide an ultra-high-throughput fabrication of III-V/Si substrates with a high-quality film, which leads to a dramatic cost reduction. As proof-of-concept devices, this paper demonstrates GaAs-based high electron mobility transistors (HEMTs), solar cells, and hetero-junction phototransistors on Si substrates. PMID:26864968
Distinct DNA exit and packaging portals in the virus Acanthamoeba polyphaga mimivirus.
Zauberman, Nathan; Mutsafi, Yael; Halevy, Daniel Ben; Shimoni, Eyal; Klein, Eugenia; Xiao, Chuan; Sun, Siyang; Minsky, Abraham
2008-05-13
Icosahedral double-stranded DNA viruses use a single portal for genome delivery and packaging. The extensive structural similarity revealed by such portals in diverse viruses, as well as their invariable positioning at a unique icosahedral vertex, led to the consensus that a particular, highly conserved vertex-portal architecture is essential for viral DNA translocations. Here we present an exception to this paradigm by demonstrating that genome delivery and packaging in the virus Acanthamoeba polyphaga mimivirus occur through two distinct portals. By using high-resolution techniques, including electron tomography and cryo-scanning electron microscopy, we show that Mimivirus genome delivery entails a large-scale conformational change of the capsid, whereby five icosahedral faces open up. This opening, which occurs at a unique vertex of the capsid that we coined the "stargate", allows for the formation of a massive membrane conduit through which the viral DNA is released. A transient aperture centered at an icosahedral face distal to the DNA delivery site acts as a non-vertex DNA packaging portal. In conjunction with comparative genomic studies, our observations imply a viral packaging pathway akin to bacterial DNA segregation, which might be shared by diverse internal membrane-containing viruses.
Distinct DNA Exit and Packaging Portals in the Virus Acanthamoeba polyphaga mimivirus
Zauberman, Nathan; Mutsafi, Yael; Halevy, Daniel Ben; Shimoni, Eyal; Klein, Eugenia; Xiao, Chuan; Sun, Siyang; Minsky, Abraham
2008-01-01
Icosahedral double-stranded DNA viruses use a single portal for genome delivery and packaging. The extensive structural similarity revealed by such portals in diverse viruses, as well as their invariable positioning at a unique icosahedral vertex, led to the consensus that a particular, highly conserved vertex-portal architecture is essential for viral DNA translocations. Here we present an exception to this paradigm by demonstrating that genome delivery and packaging in the virus Acanthamoeba polyphaga mimivirus occur through two distinct portals. By using high-resolution techniques, including electron tomography and cryo-scanning electron microscopy, we show that Mimivirus genome delivery entails a large-scale conformational change of the capsid, whereby five icosahedral faces open up. This opening, which occurs at a unique vertex of the capsid that we coined the “stargate”, allows for the formation of a massive membrane conduit through which the viral DNA is released. A transient aperture centered at an icosahedral face distal to the DNA delivery site acts as a non-vertex DNA packaging portal. In conjunction with comparative genomic studies, our observations imply a viral packaging pathway akin to bacterial DNA segregation, which might be shared by diverse internal membrane–containing viruses. PMID:18479185
Lin, Dexin; Wu, Xianbin; Ji, Xiaoke; Zhang, Qiyu; Lin, YuanWei; Chen, WeiJian; Jin, Wangxun; Deng, Liming; Chen, Yunzhi; Chen, Bicheng; Li, Jianmin
2012-01-01
Current large animal models that could closely resemble the typical features of cirrhotic portal hypertension in human have not been well established. Thus, we aimed to develop and describe a reliable and reproducible canine cirrhosis model of portal hypertension. A total of 30 mongrel dogs were randomly divided into four groups: 1 (control; n = 5), 2 (portal vein stenosis [PVS]; n = 5], 3 (thioacetamide [TAA]; n = 5), and 4 (PVS plus TAA; n = 15). After 4-months modeling period, liver and spleen CT perfusion, abdominal CT scans, portal hemodynamics, gastroscopy, hepatic function, blood routine, the bone marrow, liver, and spleen histology were studied. The animals in group 2 (PVS) developed extrahepatic portosystemic collateral circulation, particularly esophageal varices, without hepatic cirrhosis and portal hypertension. Animals from group 3 (TAA) presented mild cirrhosis and portal hypertension without significant symptoms of esophageal varices and hypersplenism. In contrast, animals from group 4 (PVS + TAA) showed well-developed micronodular and macronodular cirrhosis, associated with significant portal hypertension and hypersplenism. The combination of PVS and TAA represents a novel, reliable, and reproducible canine cirrhosis model of portal hypertension, which is associated with the typical characteristics of portal hypertension, including hypersplenism.
Optimization of image quality and dose for Varian aS500 electronic portal imaging devices (EPIDs).
McGarry, C K; Grattan, M W D; Cosgrove, V P
2007-12-07
This study was carried out to investigate whether the electronic portal imaging (EPI) acquisition process could be optimized, and as a result tolerance and action levels be set for the PIPSPro QC-3V phantom image quality assessment. The aim of the optimization process was to reduce the dose delivered to the patient while maintaining a clinically acceptable image quality. This is of interest when images are acquired in addition to the planned patient treatment, rather than images being acquired using the treatment field during a patient's treatment. A series of phantoms were used to assess image quality for different acquisition settings relative to the baseline values obtained following acceptance testing. Eight Varian aS500 EPID systems on four matched Varian 600C/D linacs and four matched Varian 2100C/D linacs were compared for consistency of performance and images were acquired at the four main orthogonal gantry angles. Images were acquired using a 6 MV beam operating at 100 MU min(-1) and the low-dose acquisition mode. Doses used in the comparison were measured using a Farmer ionization chamber placed at d(max) in solid water. The results demonstrated that the number of reset frames did not have any influence on the image contrast, but the number of frame averages did. The expected increase in noise with corresponding decrease in contrast was also observed when reducing the number of frame averages. The optimal settings for the low-dose acquisition mode with respect to image quality and dose were found to be one reset frame and three frame averages. All patients at the Northern Ireland Cancer Centre are now imaged using one reset frame and three frame averages in the 6 MV 100 MU min(-1) low-dose acquisition mode. Routine EPID QC contrast tolerance (+/-10) and action (+/-20) levels using the PIPSPro phantom based around expected values of 190 (Varian 600C/D) and 225 (Varian 2100C/D) have been introduced. The dose at dmax from electronic portal imaging has been
NASA Astrophysics Data System (ADS)
Samanta, Piyas; Mandal, Krishna C.
2015-12-01
Hole injection into silicon dioxide (SiO2) films (8-40 nm thick) is investigated for the first time during substrate electron injection via Fowler-Nordheim (FN) tunneling in n-type 4H- and 6H-SiC (silicon carbide) based metal-oxide-semiconductor (MOS) structures at a wide range of temperatures (T) between 298 and 598 K and oxide electric fields Eox from 6 to 10 MV/cm. Holes are generated in heavily doped n-type polycrystalline silicon (n+ -polySi) gate serving as the anode as well as in the bulk silicon dioxide (SiO2) film via hot-electron initiated band-to-band ionization (BTBI). In absence of oxide trapped charges, it is shown that at a given temperature, the hole injection rates from either of the above two mechanisms are higher in n-4H-SiC MOS devices than those in n-6H-SiC MOS structures when compared at a given Eox and SiO2 thickness (tox). On the other hand, relative to n-4H-SiC devices, n-6H-SiC structures exhibit higher hole injection rates for a given tox during substrate electron injection at a given FN current density je,FN throughout the temperature range studied here. These two observations clearly reveal that the substrate material (n-6H-SiC and n-4H-SiC) dependencies on time-to-breakdown (tBD) or injected charge (electron) to breakdown (QBD) of the SiO2 film depend on the mode of FN injections (constant field/voltage and current) from the substrate which is further verified from the rigorous device simulation as well.
Catching the electron in action in real space inside a Ge-Si core-shell nanowire transistor.
Jaishi, Meghnath; Pati, Ranjit
2017-09-21
Catching the electron in action in real space inside a semiconductor Ge-Si core-shell nanowire field effect transistor (FET), which has been demonstrated (J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, Nature, 2006, 441, 489) to outperform the state-of-the-art metal oxide semiconductor FET, is central to gaining unfathomable access into the origin of its functionality. Here, using a quantum transport approach that does not make any assumptions on electronic structure, charge, and potential profile of the device, we unravel the most probable tunneling pathway for electrons in a Ge-Si core-shell nanowire FET with orbital level spatial resolution, which demonstrates gate bias induced decoupling of electron transport between the core and the shell region. Our calculation yields excellent transistor characteristics as noticed in the experiment. Upon increasing the gate bias beyond a threshold value, we observe a rapid drop in drain current resulting in a gate bias driven negative differential resistance behavior and switching in the sign of trans-conductance. We attribute this anomalous behavior in drain current to the gate bias induced modification of the carrier transport pathway from the Ge core to the Si shell region of the nanowire channel. A new experiment involving a four probe junction is proposed to confirm our prediction on gate bias induced decoupling.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Balsano, Robert; Matsubayashi, Akitomo; LaBella, Vincent P., E-mail: vlabella@albany.edu
2013-11-15
The Schottky barrier heights of both n and p doped Cu/Si(001), Ag/Si(001), and Au/Si(001) diodes were measured using ballistic electron emission microscopy and ballistic hole emission microscopy (BHEM), respectively. Measurements using both forward and reverse ballistic electron emission microscopy (BEEM) and (BHEM) injection conditions were performed. The Schottky barrier heights were found by fitting to a linearization of the power law form of the Bell-Kaiser BEEM model. The sum of the n-type and p-type barrier heights are in good agreement with the band gap of silicon and independent of the metal utilized. The Schottky barrier heights are found to bemore » below the region of best fit for the power law form of the BK model, demonstrating its region of validity.« less
Janssen, Anna; Robinson, Tracy Elizabeth; Provan, Pamela; Shaw, Tim
2016-06-29
The Sydney West Translational Cancer Research Centre is an organization funded to build capacity for translational research in cancer. Translational research is essential for ensuring the integration of best available evidence into practice and for improving patient outcomes. However, there is a low level of awareness regarding what it is and how to conduct it optimally. One solution to addressing this gap is the design and deployment of web-based knowledge portals to disseminate new knowledge and engage with and connect dispersed networks of researchers. A knowledge portal is an web-based platform for increasing knowledge dissemination and management in a specialized area. To measure the design and growth of an web-based knowledge portal for increasing individual awareness of translational research and to build organizational capacity for the delivery of translational research projects in cancer. An adaptive methodology was used to capture the design and growth of an web-based knowledge portal in cancer. This involved stakeholder consultations to inform initial design of the portal. Once the portal was live, site analytics were reviewed to evaluate member usage of the portal and to measure growth in membership. Knowledge portal membership grew consistently for the first 18 months after deployment, before leveling out. Analysis of site metrics revealed members were most likely to visit portal pages with community-generated content, particularly pages with a focus on translational research. This was closely followed by pages that disseminated educational material about translational research. Preliminary data from this study suggest that knowledge portals may be beneficial tools for translating new evidence and fostering an environment of communication and collaboration.
2016-01-01
Background The Sydney West Translational Cancer Research Centre is an organization funded to build capacity for translational research in cancer. Translational research is essential for ensuring the integration of best available evidence into practice and for improving patient outcomes. However, there is a low level of awareness regarding what it is and how to conduct it optimally. One solution to addressing this gap is the design and deployment of web-based knowledge portals to disseminate new knowledge and engage with and connect dispersed networks of researchers. A knowledge portal is an web-based platform for increasing knowledge dissemination and management in a specialized area. Objective To measure the design and growth of an web-based knowledge portal for increasing individual awareness of translational research and to build organizational capacity for the delivery of translational research projects in cancer. Methods An adaptive methodology was used to capture the design and growth of an web-based knowledge portal in cancer. This involved stakeholder consultations to inform initial design of the portal. Once the portal was live, site analytics were reviewed to evaluate member usage of the portal and to measure growth in membership. Results Knowledge portal membership grew consistently for the first 18 months after deployment, before leveling out. Analysis of site metrics revealed members were most likely to visit portal pages with community-generated content, particularly pages with a focus on translational research. This was closely followed by pages that disseminated educational material about translational research. Conclusions Preliminary data from this study suggest that knowledge portals may be beneficial tools for translating new evidence and fostering an environment of communication and collaboration. PMID:27357641
NASA Astrophysics Data System (ADS)
Nugraha, T. A.; Rohrmueller, M.; Gerstmann, U.; Greulich-Weber, S.; Stellhorn, A.; Cantin, J. L.; von Bardeleben, J.; Schmidt, W. G.; Wippermann, S.
SiC is widely used in high-power, high-frequency electronic devices. Recently, it has also been employed as a building block in nanocomposites used as light absorbers in solar energy conversion devices. Analogous to Si, SiC features SiO2 as native oxide that can be used for passivation and insulating layers. However, a significant number of defect states are reported to form at SiC/SiO2 interfaces, limiting mobility and increasing recombination of free charge carriers. We investigated the growth of oxide on different 3C-SiC surfaces from first principles. Carbon antisite Csi defects are found to be strongly stabilized in particular at the interface, because carbon changes its hybridization from sp3 in the SiC-bulk to sp2 at the interface, creating a dangling bond inside a porous region of the SiO2 passivating layer. Combining ab initio g-tensor calculations and electron paramagnetic resonance (EPR) measurements, we show that Csi defects explain the measured EPR signatures, while the hyperfine structure allows to obtain local structural information of the oxide layer. Financial support from BMBF NanoMatFutur Grant 13N12972 and DFG priority program SPP-1601 is gratefully acknowledged.
Skinner, Asheley; Thornhill, Jonathan; Weinberger, Morris
2016-01-01
Summary Background Patient portals have demonstrated numerous benefits including improved patient-provider communication, patient satisfaction with care, and patient engagement. Recent literature has begun to illustrate how patients use selected portal features and an association between portal usage and improved clinical outcomes. Objectives This study sought to: (1) identify patient characteristics associated with the use of a patient portal; (2) determine the frequency with which common patient portal features are used; and (3) examine whether the level of patient portal use (non-users, light users, active users) is associated with 30-day hospital readmission. Methods My UNC Chart is the patient portal for the UNC Health Care System. We identified adults discharged from three UNC Health Care hospitals with acute myocardial infarction, congestive heart failure, or pneumonia and classified them as active, light, or non-users of My UNC Chart. Multivariable analyses were conducted to compare across user groups; logistic regression was used to predict whether patient portal use was associated with 30-day readmission. Results Of 2,975 eligible patients, 83.4% were non-users; 8.6% were light users; and 8.0% were active users of My UNC Chart. The messaging feature was used most often. For patients who were active users, the odds of being readmitted within 30 days was 66% greater than patients who were non-users (p<0.05). There was no difference in 30-day readmission between non-users and light users. Conclusions The vast majority of patients who were given an access code for My UNC Chart did not use it within 30 days of discharge. Of those who used the portal, active users had a higher odds of being readmitted within 30 days. Health care systems should consider strategies to: (1) increase overall use of patient portals and (2) target patients with the highest comorbidity scores to reduce hospital readmissions. PMID:27437056
Geyer, Peter; Blank, Hilbert; Alheit, Horst
2006-03-01
The suitability of the storage phosphor plate system ACR 2000 RT (Eastman Kodak Corp., Rochester, MN, USA), that is destined for portal verification as well as for portal simulation imaging in radiotherapy, had to be proven by the comparison with a highly sensitive verification film. The comparison included portal verification images of different regions (head and neck, thorax, abdomen, and pelvis) irradiated with 6- and 15-MV photons and electrons. Each portal verification image was done at the storage screen and the EC film as well, using the EC-L cassettes (both: Eastman Kodak Corp., Rochester, MN, USA) for both systems. The soft-tissue and bony contrast and the brightness were evaluated and compared in a ranking of the two compared images. Different phantoms were irradiated to investigate the high- and low-contrast resolution. To account for quality assurance application, the short-time exposure of the unpacked and irradiated storage screen by green and red room lasers was also investigated. In general, the quality of the processed ACR images was slightly higher than that of the films, mostly due to cases of an insufficient exposure to the film. The storage screen was able to verify electron portals even for low electron energies with only minor photon contamination. The laser lines were sharply and clearly visible on the ACR images. The ACR system may replace the film without any noticeable decrease in image quality thereby reducing processing time and saving the costs of films and avoiding incorrect exposures.
Tieu, Lina; Schillinger, Dean; Sarkar, Urmimala; Hoskote, Mekhala; Hahn, Kenneth J; Ratanawongsa, Neda; Ralston, James D; Lyles, Courtney R
2017-04-01
With the rapid rise in the adoption of patient portals, many patients are gaining access to their personal health information online for the first time. The objective of this study was to examine specific usability barriers to patient portal engagement among a diverse group of patients and caregivers. We conducted interviews using performance testing and think-aloud methods with 23 patients and 2 caregivers as they first attempted to use features of a newly launched patient portal. In navigating the portal, participants experienced basic computer barriers (eg, difficulty using a mouse), routine computer barriers (eg, mistyping, navigation issues), reading/writing barriers, and medical content barriers. Compared to participants with adequate health literacy, participants with limited health literacy required 2 additional minutes to complete each task and were more likely to experience each type of navigational barrier. They also experienced more inaccuracies in interpreting a test result and finding a treatment plan within an after-visit summary. When using a patient portal for the first time, participants with limited health literacy completed fewer tasks unassisted, had a higher prevalence of encountering barriers, took longer to complete tasks, and had more problems accurately interpreting medical information. Our findings suggest a strong need for tailored and accessible training and support to assist all vulnerable patients and/or caregivers with portal registration and use. Measuring the health literacy of a patient population might serve as a strong proxy for identifying patients who need the most support in using health technologies. © The Author 2016. Published by Oxford University Press on behalf of the American Medical Informatics Association. All rights reserved. For Permissions, please email: journals.permissions@oup.com
TMEM16A regulates portal vein smooth muscle cell proliferation in portal hypertension.
Zeng, Xi; Huang, Ping; Chen, Mingkai; Liu, Shiqian; Wu, Nannan; Wang, Fang; Zhang, Jing
2018-01-01
The aim of the present study was to elucidate the effect of transmembrane protein 16A (TMEM16A) on portal vein smooth muscle cell (PVSMC) proliferation associated with portal vein remodeling in portal hypertension (PHT). Sprague-Dawley rats were subjected to bile duct ligation to establish a rat model of liver cirrhosis and PHT. Sham-operated animals served as controls. At 8 weeks after bile duct ligation, the extent of liver fibrosis and the portal vein wall thickness were assessed using hematoxylin-eosin staining. The protein expression levels of TMEM16A, extracellular signal-regulated kinase 1 and 2 (ERK1/2) and phosphorylated ERK1/2 (p-ERK1/2) in the portal vein were detected by immunohistochemistry and western blotting. In vitro , the lentivirus vectors were constructed and transfected into PVSMCs to upregulate the expression of TMEM16A. Isolated rat primary PVSMCs were treated with a small molecule inhibitor of TMEM16A, T16A-inhA01. Cell cycle was detected by flow cytometry. The activity of TMEM16A in the portal vein isolated from bile duct ligated rats was decreased, while the expression level of p-ERK1/2 was increased. However, in vitro , upregulation of TMEM16A promoted the proliferation PVSMCs, while inhibition of TMEM16A channels inhibited the proliferation of PVSMCs. The results indicated that TMEM16A contributes to PVSMCs proliferation in vitro , but in vivo , it may be a negative regulator of cell proliferation influenced by numerous factors.
NASA Astrophysics Data System (ADS)
de Crescenzi, M.; Gunnella, R.; Bernardini, R.; de Marco, M.; Davoli, I.
1995-07-01
We have investigated the Auger-electron diffraction (AED) of the L2,3VV Auger line of the clean 7×7 reconstructed Si(111) surface and the Ge/Si interface formed after a few monolayers (ML) of Ge deposition. The experimental AED in the low kinetic-energy regime has been interpreted within the framework of a multiple-scattering theory. The comparison of the AED data taken using both the x-ray source and an electron source evidences that the incident beam plays a negligible role when the experimental conditions require the use of an angular detector. The evolution of the Ge/Si(111) interface is studied by monitoring the intensity anisotropy of the Auger peaks of the two elements at room temperature (RT) and at 400 °C annealing temperature of the substrate. The evolution of the growth mechanism underlying the Ge/Si interface formation has been studied by exploiting the very low electron escape depth of this technique (<=5 Å). While at RT two monolayers of Ge deposition appear uniform and amorphous, the successive annealing induces an intermixing and a recrystallization only in the first two layers of the interface without any further interdiffusion. Furthermore, a Stranski-Krastanow growth mode has been deduced after deposition of 4 ML of Ge on a clean Si sample kept at 400 °C.
Thermal Investigation of Three-Dimensional GaN-on-SiC High Electron Mobility Transistors
2017-07-01
AFRL-RY-WP-TR-2017-0143 THERMAL INVESTIGATION OF THREE- DIMENSIONAL GaN-on-SiC HIGH ELECTRON MOBILITY TRANSISTORS Qing Hao The University of Arizona...To) July 2017 Final 08 April 2015 – 10 April 2017 4. TITLE AND SUBTITLE THERMAL INVESTIGATION OF THREE-DIMENSIONAL GaN-on-SiC HIGH ELECTRON MOBILITY...used in many DoD applications, including integrated radio frequency (RF) amplifiers and power electronics . However, inherent inefficiencies in
High Electron Mobility SiGe/Si Transistor Structures on Sapphire Substrates
NASA Technical Reports Server (NTRS)
Alterovitz, Samuel A.; Mueller, Carl H.; Croke, Edward T.; Ponchak, George E.
2004-01-01
SiGe/Si n-type modulation doped field effect structures and transistors (n-MODFETs) have been fabricated on r-plane sapphire substrates. The structures were deposited using molecular beam epitaxy, and antimony dopants were incorporated via a delta doping process. Secondary ion mass spectroscopy (SIMS) indicates that the peak antimony concentration was approximately 4 x 10(exp 19) per cubic centimeter. At these two temperatures, the electron carrier densities were 1.6 and 1.33 x 10(exp 12) per square centimeter, thus demonstrating that carrier confinement was excellent. Shubnikov-de Haas oscillations were observed at 0.25 K, thus confirming the two-dimensional nature of the carriers. Transistors, with gate lengths varying from 1 micron to 5 microns, were fabricated using these structures and dc characterization was performed at room temperature. The saturated drain current region extended over a wide source-to-drain voltage (V (sub DS)) range, with V (sub DS) knee voltages of approximately 0.5 V and increased leakage starting at voltages slightly higher than 4 V.
Regulations.gov Federal Regulatory Portal
ERIC Educational Resources Information Center
Ashlin, John; Davis, Richard; Dalecky, Selene; Grasso, Richard; LaPlant, Lisa; Morales, Oscar; Nelson, Jennifer; White, Michael; Whitt, Sharon A.
2004-01-01
The Regulations.gov Online Rulemaking Project is 1 of the 24 e-Government Initiatives on the President's Management Agenda (PMA), which was announced by the White House in 2001. The Regulations.gov Web site is the central electronic rulemaking portal for the federal government. Through a single Web site, citizens can search, view, and comment on…
NASA Astrophysics Data System (ADS)
Hristova-Vasileva, Temenuga; Petrik, Peter; Nesheva, Diana; Fogarassy, Zsolt; Lábár, János; Kaschieva, Sonia; Dmitriev, Sergei N.; Antonova, Krassimira
2018-05-01
Homogeneous films from SiO1.3 (250 nm thick) were deposited on crystalline Si substrates by thermal evaporation of silicon monoxide. A part of the films was further annealed at 700 °C to grow amorphous Si (a-Si) nanoclusters in an oxide matrix, thus producing composite a-Si-SiO1.8 films. Homogeneous as well as composite films were irradiated by 20-MeV electrons at fluences of 7.2 × 1014 and 1.44 × 1015 el/cm2. The film thicknesses and optical constants were explored by spectroscopic ellipsometry. The development of the phase composition of the films caused by the electron-beam irradiation was studied by transmission electron microscopy. The ellipsometric and electron microscopy results have shown that the SiOx films are optically homogeneous and the electron irradiation with a fluence of 7.2 × 1014 el/cm2 has led to small changes in the optical constants and the formation of very small a-Si nanoclusters. The irradiation of the a-Si-SiOx composite films caused a decrease in the effective refractive index and, at the same time, an increase in the refractive index of the oxide matrix. Irradiation induced increase in the optical band gap and decrease in the absorption coefficient of the thermally grown amorphous Si nanoclusters have also been observed. The obtained results are discussed in terms of the formation of small amorphous silicon nanoclusters in the homogeneous layers and electron irradiation induced reduction in the nanocluster size in the composite films. The conclusion for the nanoparticle size reduction is supported by infrared transmittance results.
NASA Astrophysics Data System (ADS)
Galdin, Sylvie; Dollfus, Philippe; Hesto, Patrice
1994-03-01
A theoretical study of a Si/Si1-xGex/Si heterojunction bipolar transistor using Monte Carlo simulations is reported. The geometry and composition of the emitter-base junction are optimized using one-dimensional simulations with a view to improving electron transport in the base. It is proposed to introduce a thin Si-P spacer layer, between the Si-N emitter and the SiGe-P base, which allows launching hot electrons into the base despite the lack of natural conduction-band discontinuity between Si and strain SiGe. The high-frequency behavior of the complete transistor is then studied using 2D modeling. A method of microwave analysis using small signal Monte Carlo simulations that consists of expanding the terminal currents in Fourier series is presented. A cutoff frequency fT of 68 GHz has been extracted. Finally, the occurrence of a parasitic electron barrier at the collector-base junction is responsible for the fT fall-off at high collector current density. This parasitic barrier is lowered through the influence of the collector potential.
First principle study of electronic structures and optical properties of Ce-doped SiO2
NASA Astrophysics Data System (ADS)
Cong, Wei-Yan; Lu, Ying-Bo; Zhang, Peng; Guan, Cheng-Bo
2018-05-01
Electronic structures and optical properties of Silicon dioxide (SiO2) systems with and without cerium(Ce) dopant were calculated using the density functional theory. We find that after the Ce incorporation, a new localized impurity band appears between the valance band maximum (VBM) and the conduction band minimum (CBM) of SiO2 system, which is induced mainly by the Ce-4f orbitals. The localized impurity band constructs a bridge between the valence band and the conduction band, making the electronic transition much easier. The calculated optical properties show that in contrast from the pure SiO2 sample, absorption in the visible-light region is found in Ce-doped SiO2 system, which originates from the transition between the valence band and Ce-4f dominated impurity band, as well as the electronic transition from Ce-4f states to Ce-5d states. All calculated results indicate that Ce doping is an effective strategy to improve the optical performance of SiO2 sample, which is in agreement with the experimental results.
Factors Affecting the Use of an E-Learning Portal at University
ERIC Educational Resources Information Center
Cegarra-Navarro, Juan-Gabriel; Rodriguez, Francisco Javier Canovas
2012-01-01
Electronic learning (e-learning) portals can be defined as websites that store and present materials for online learning, training, performance assessment, and certification. Few, if any, studies have investigated the factors that might contribute to the integration or implementation of e-learning portals in universities. With the development of…
Automated detection of a prostate Ni-Ti stent in electronic portal images.
Carl, Jesper; Nielsen, Henning; Nielsen, Jane; Lund, Bente; Larsen, Erik Hoejkjaer
2006-12-01
Planning target volumes (PTV) in fractionated radiotherapy still have to be outlined with wide margins to the clinical target volume due to uncertainties arising from daily shift of the prostate position. A recently proposed new method of visualization of the prostate is based on insertion of a thermo-expandable Ni-Ti stent. The current study proposes a new detection algorithm for automated detection of the Ni-Ti stent in electronic portal images. The algorithm is based on the Ni-Ti stent having a cylindrical shape with a fixed diameter, which was used as the basis for an automated detection algorithm. The automated method uses enhancement of lines combined with a grayscale morphology operation that looks for enhanced pixels separated with a distance similar to the diameter of the stent. The images in this study are all from prostate cancer patients treated with radiotherapy in a previous study. Images of a stent inserted in a humanoid phantom demonstrated a localization accuracy of 0.4-0.7 mm which equals the pixel size in the image. The automated detection of the stent was compared to manual detection in 71 pairs of orthogonal images taken in nine patients. The algorithm was successful in 67 of 71 pairs of images. The method is fast, has a high success rate, good accuracy, and has a potential for unsupervised localization of the prostate before radiotherapy, which would enable automated repositioning before treatment and allow for the use of very tight PTV margins.
A multiresolution processing method for contrast enhancement in portal imaging.
Gonzalez-Lopez, Antonio
2018-06-18
Portal images have a unique feature among the imaging modalities used in radiotherapy: they provide direct visualization of the irradiated volumes. However, contrast and spatial resolution are strongly limited due to the high energy of the radiation sources. Because of this, imaging modalities using x-ray energy beams have gained importance in the verification of patient positioning, replacing portal imaging. The purpose of this work was to develop a method for the enhancement of local contrast in portal images. The method operates in the subbands of a wavelet decomposition of the image, re-scaling them in such a way that coefficients in the high and medium resolution subbands are amplified, an approach totally different of those operating on the image histogram, widely used nowadays. Portal images of an anthropomorphic phantom were acquired in an electronic portal imaging device (EPID). Then, different re-scaling strategies were investigated, studying the effects of the scaling parameters on the enhanced images. Also, the effect of using different types of transforms was studied. Finally, the implemented methods were combined with histogram equalization methods like the contrast limited adaptive histogram equalization (CLAHE), and these combinations were compared. Uniform amplification of the detail subbands shows the best results in contrast enhancement. On the other hand, linear re-escalation of the high resolution subbands increases the visibility of fine detail of the images, at the expense of an increase in noise levels. Also, since processing is applied only to detail subbands, not to the approximation, the mean gray level of the image is minimally modified and no further display adjustments are required. It is shown that re-escalation of the detail subbands of portal images can be used as an efficient method for the enhancement of both, the local contrast and the resolution of these images. © 2018 Institute of
Rosemurgy, A S; McAllister, E W; Godellas, C V; Goode, S E; Albrink, M H; Fabri, P J
1995-12-01
With the advent of transjugular intrahepatic porta-systemic stent shunt and the wider application of the surgically placed small diameter prosthetic H-graft portacaval shunt (HGPCS), partial portal decompression in the treatment of portal hypertension has received increased attention. The clinical results supporting the use of partial portal decompression are its low incidence of variceal rehemorrhage due to decreased portal pressures and its low rate of hepatic failure, possibly due to maintenance of blood flow to the liver. Surprisingly, nothing is known about changes in portal hemodynamics and effective hepatic blood flow following partial portal decompression. To prospectively evaluate changes in portal hemodynamics and effective hepatic blood flow brought about by partial portal decompression, the following were determined in seven patients undergoing HGPCS: intraoperative pre- and postshunt portal vein pressures and portal vein-inferior vena cava pressure gradients, intraoperative pre- and postshunt portal vein flow, and pre- and postoperative effective hepatic blood flow. With HGPCS, portal vein pressures and portal vein-inferior vena cava pressure gradients decreased significantly, although portal pressures remained above normal. In contrast to the significant decreases in portal pressures, portal vein blood flow and effective hepatic blood flow do not decrease significantly. Changes in portal vein pressures and portal vein-inferior vena cava pressure gradients are great when compared to changes in portal vein flow and effective hepatic blood flow. Reduction of portal hypertension with concomitant maintenance of hepatic blood flow may explain why hepatic dysfunction is avoided following partial portal decompression.
Noncirrhotic Portal Hypertension
Rajekar, Harshal; Vasishta, Rakesh K; Chawla, Yogesh K; Dhiman, Radha K
2011-01-01
Portal hypertension is characterized by an increase in portal pressure (> 10 mmHg) and could be a result of cirrhosis of the liver or of noncirrhotic diseases. When portal hypertension occurs in the absence of liver cirrhosis, noncirrhotic portal hypertension (NCPH) must be considered. The prognosis of this disease is much better than that of cirrhosis. Noncirrhotic diseases are the common cause of portal hypertension in developing countries, especially in Asia. NCPH is a heterogeneous group of diseases that is due to intrahepatic or extrahepatic etiologies. In general, the lesions in NCPH are vascular in nature and can be classified based on the site of resistance to blood flow. In most cases, these disorders can be explained by endothelial cell lesions, intimal thickening, thrombotic obliterations, or scarring of the intrahepatic portal or hepatic venous circulation. Many different conditions can determine NCPH through the association of these various lesions in various degrees. Many clinical manifestations of NCPH result from the secondary effects of portal hypertension. Patients with NCPH present with upper gastrointestinal bleeding, splenomegaly, ascites after gastrointestinal bleeding, features of hypersplenism, growth retardation, and jaundice due to portal hypertensive biliopathy. Other sequelae include hyperdynamic circulation, pulmonary complications, and other effects of portosystemic collateral circulation like portosystemic encephalopathy. At present, pharmacologic and endoscopic treatments are the treatments of choice for portal hypertension. The therapy of all disorders causing NCPH involves the reduction of portal pressure by pharmacotherapy or portosystemic shunting, apart from prevention and treatment of complications of portal hypertension. PMID:25755321
Electron-phonon coupling in graphene placed between magnetic Li and Si layers on cobalt
NASA Astrophysics Data System (ADS)
Usachov, Dmitry Yu.; Fedorov, Alexander V.; Vilkov, Oleg Yu.; Ogorodnikov, Ilya I.; Kuznetsov, Mikhail V.; Grüneis, Alexander; Laubschat, Clemens; Vyalikh, Denis V.
2018-02-01
Using angle-resolved photoemission spectroscopy (ARPES), we study the electronic structure and electron-phonon coupling in a Li-doped graphene monolayer decoupled from the Co(0001) substrate by intercalation of silicon. Based on the photoelectron diffraction measurements, we disclose the structural properties of the Si/Co interface. Our density functional theory calculations demonstrate that in the studied Li/graphene/Si/Co system the magnetism of Co substrate induces notable magnetic moments on Li and Si atoms. At the same time graphene remains almost nonmagnetic and clamped between two magnetically active atomic layers with antiparallel magnetizations. ARPES maps of the graphene Fermi surface reveal strong electron doping, which may lead to superconductivity mediated by electron-phonon coupling (EPC). Analysis of the spectral function of photoelectrons reveals apparent anisotropy of EPC in the k space. These properties make the studied system tempting for studying the relation between superconductivity and magnetism in two-dimensional materials.
NASA Astrophysics Data System (ADS)
Romanyuk, O.; Supplie, O.; Susi, T.; May, M. M.; Hannappel, T.
2016-10-01
The atomic and electronic band structures of GaP/Si(001) heterointerfaces were investigated by ab initio density functional theory calculations. Relative total energies of abrupt interfaces and mixed interfaces with Si substitutional sites within a few GaP layers were derived. It was found that Si diffusion into GaP layers above the first interface layer is energetically unfavorable. An interface with Si/Ga substitution sites in the first layer above the Si substrate is energetically the most stable one in thermodynamic equilibrium. The electronic band structure of the epitaxial GaP/Si(001) heterostructure terminated by the (2 ×2 ) surface reconstruction consists of surface and interface electronic states in the common band gap of two semiconductors. The dispersion of the states is anisotropic and differs for the abrupt Si-Ga, Si-P, and mixed interfaces. Ga 2 p , P 2 p , and Si 2 p core-level binding-energy shifts were computed for the abrupt and the lowest-energy heterointerface structures. Negative and positive core-level shifts due to heterovalent bonds at the interface are predicted for the abrupt Si-Ga and Si-P interfaces, respectively. The distinct features in the heterointerface electronic structure and in the core-level shifts open new perspectives in the experimental characterization of buried polar-on-nonpolar semiconductor heterointerfaces.
Electron-rich driven electrochemical solid-state amorphization in Li-Si alloys.
Wang, Zhiguo; Gu, Meng; Zhou, Yungang; Zu, Xiaotao; Connell, Justin G; Xiao, Jie; Perea, Daniel; Lauhon, Lincoln J; Bang, Junhyeok; Zhang, Shengbai; Wang, Chongmin; Gao, Fei
2013-09-11
The physical and chemical behaviors of materials used in energy storage devices, such as lithium-ion batteries (LIBs), are mainly controlled by an electrochemical process, which normally involves insertion/extraction of ions into/from a host lattice with a concurrent flow of electrons to compensate charge balance. The fundamental physics and chemistry governing the behavior of materials in response to the ions insertion/extraction is not known. Herein, a combination of in situ lithiation experiments and large-scale ab initio molecular dynamics simulations are performed to explore the mechanisms of the electrochemically driven solid-state amorphization in Li-Si systems. We find that local electron-rich condition governs the electrochemically driven solid-state amorphization of Li-Si alloys. This discovery provides the fundamental explanation of why lithium insertion in semiconductor and insulators leads to amorphization, whereas in metals, it leads to a crystalline alloy. The present work correlates electrochemically driven reactions with ion insertion, electron transfer, lattice stability, and phase equilibrium.
Electron-Rich Driven Electrochemical Solid-State Amorphization in Li-Si Alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wang, Zhiguo; Gu, Meng; Zhou, Yungang
2013-08-14
The physical and chemical behaviors of materials used in energy storage devices, such as lithium-ion batteries (LIBs), are mainly controlled by an electrochemical process, which normally involves insertion/extraction of ions into/from a host lattice with a concurrent flow of electrons to compensate charge balance. The fundamental physics and chemistry governing the behavior of materials in response to the ions insertion/extraction is not known. Herein, a combination of in situ lithiation experiments and large-scale ab initio molecular dynamics simulations are performed to explore the mechanisms of the electrochemically driven solid-state amorphization in Li-Si systems. We find that local electron-rich condition governsmore » the electrochemically driven solid-state amorphization of Li-Si alloys. This discovery provides the fundamental explanation of why lithium insertion in semiconductor and insulators leads to amorphization, whereas in metals, it leads to a crystalline alloy. The present work correlates electrochemically driven reactions with ion insertion, electron transfer, lattice stability and phase equilibrium.« less
Racial/ethnic variation in devices used to access patient portals.
Chang, Eva; Blondon, Katherine; Lyles, Courtney R; Jordan, Luesa; Ralston, James D
2018-01-01
We examined racial/ethnic variation in the devices used by patients to access medical records through an online patient portal. Retrospective, cross-sectional analysis. Using data from 318,700 adults enrolled in an integrated delivery system between December 2012 and November 2013, we examined: 1) online patient portal use that directly engages the electronic health record and 2) portal use over desktops/laptops only, mobile devices only, or both device types. The primary covariate was race/ethnicity (non-Hispanic white, black, Hispanic, and Asian). Other covariates included age, sex, primary language, and neighborhood-level income and education. Portal use and devices used were assessed with multiple and multinomial logistic models, respectively. From December 2012 to November 2013, 56% of enrollees used the patient portal. Of these portal users, 62% used desktops/laptops only, 6% used mobile devices only, and 32% used both desktops/laptops and mobile devices. Black, Hispanic, and Asian enrollees had significantly lower odds of portal use than whites. Black and Hispanic portal users also were significantly more likely to use mobile devices only (relative risk ratio, 1.73 and 1.44, respectively) and both device types (1.21 and 1.07, respectively) than desktops/laptops only compared with whites. Although racial/ethnic minority enrollees were less likely to access the online patient portal overall, a greater proportion of black and Hispanic users accessed the patient portal with mobile devices than did non-Hispanic white users. The rapid spread of mobile devices among racial/ethnic minorities may help reduce variation in online patient portal use. Mobile device use may represent an opportunity for healthcare organizations to further engage black and Hispanic enrollees in online patient portal use.
3. East portal of Tunnel 34, view to southsouthwest, 135mm ...
3. East portal of Tunnel 34, view to south-southwest, 135mm lens with electronic flash fill. Note the shift, in these later tunnels east of Colfax, to concrete portal faces with granite masonry voussoirs and coping. - Central Pacific Transcontinental Railroad, Tunnel No. 34, Milepost 145.4, Colfax, Placer County, CA
Dissociative attachment of electrons with Si2H6
NASA Technical Reports Server (NTRS)
Krishnakumar, E.; Srivastava, S. K.; Iga, I.
1991-01-01
Cross-sections for the production of negative ion fragments by electron attachment to Si2H6 and ion pair formation from it have been measured by utilizing the crossed electron beam-molecular beam collision technique. The negative ions are mass-analyzed by employing a quadrupole mass spectrometer. There are serious disagreements between the present and two previously published results. In the present paper cross-section values, appearance potentials, and the various channels of dissociation for the formation of negative monosilane fragments are presented.
Electronic structure and the origin of the Dzyaloshinskii-Moriya interaction in MnSi
Satpathy, S.; Shanavas, K. V.
2016-05-02
Here, the metallic helimagnet MnSi has been found to exhibit skyrmionic spin textures when subjected to magnetic fields at low temperatures. The Dzyaloshinskii-Moriya (DM) interaction plays a key role in stabilizing the skyrmion state. With the help of first-principles calculations, crystal field theory and a tight-binding model we study the electronic structure and the origin of the DM interaction in the B20 phase of MnSi. The strength ofmore » $$\\vec{D}$$ parameter is determined by the magnitude of the spin-orbit interaction and the degree of orbital mixing, induced by the symmetry-breaking distortions in the B20 phase. We find that, strong coupling between Mn-$d$ and Si-$p$ states lead to a mixed valence ground state $$|d^{7-x}p^{2+x}\\rangle$$ configuration. The experimental magnetic moment of $$0.4~\\mu_B$$ is consistent with the Coulomb-corrected DFT+$U$ calculations, which redistributes electrons between the majority and minority spin channels. We derive the magnetic interaction parameters $J$ and $$\\vec{D}$$ for Mn-Si-Mn superexchange paths using Moriya's theory assuming the interaction to be mediated by $$e_g$$ electrons near the Fermi level. Finally, using parameters from our calculations, we get reasonable agreement with the observations.« less
Electronic transport properties of nano-scale Si films: an ab initio study
NASA Astrophysics Data System (ADS)
Maassen, Jesse; Ke, Youqi; Zahid, Ferdows; Guo, Hong
2010-03-01
Using a recently developed first principles transport package, we study the electronic transport properties of Si films contacted to heavily doped n-type Si leads. The quantum transport analysis is carried out using density functional theory (DFT) combined with nonequilibrium Green's functions (NEGF). This particular combination of NEGF-DFT allows the investigation of Si films with thicknesses in the range of a few nanometers and lengths up to tens of nanometers. We calculate the conductance, the momentum resolved transmission, the potential profile and the screening length as a function of length, thickness, orientation and surface structure. Moreover, we compare the properties of Si films with and without a top surface passivation by hydrogen.
Developing patient portals in a fragmented healthcare system.
Otte-Trojel, Terese; de Bont, Antoinette; Aspria, Marcello; Adams, Samantha; Rundall, Thomas G; van de Klundert, Joris; de Mul, Marleen
2015-10-01
Use of patient portals may contribute to improved patient health and experiences and better organizational performance. In the Netherlands, patient portals have gained considerable attention in recent years, as evidenced by various policy initiatives and practical efforts directed at developing portals. Due to the fragmented setup of the Dutch healthcare system patient portals that give patients access to information and services from across their providers are developed in inter-organizational collaboration. The objective of this paper is to identify and describe the types of collaborations, or networks, that have been established to develop patient portals in the Netherlands. Understanding the characteristics of these networks as well as the development of their respective portals enables us to assess the enabling and constraining effects of different network types on patient portal initiatives. We used qualitative methods including interview and documents analysis. In a first step, we interviewed eighteen experts and reviewed relevant national policy and strategy documents. Based on this orientation, we selected three networks we deemed to be representative of inter-organizational efforts to develop Dutch patient portals in 2012. In a second step, we interviewed twelve representatives of these patient portal networks and collected documents related to the portals. We applied content analytic techniques to analyze data from the three cases. The three studied networks differed in their number and diversity of actors, the degree to which these actors were mutually dependent, the degree to which network governance was decentralized, and the dynamics of the network structures. We observed that the portals developed in networks displaying the highest degree of these characteristics experienced most difficulties associated with developing patient portals - such as achieving interoperability, successful implementation, regulatory complaisance, and financial
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kuerbanjiang, Balati; Nedelkoski, Zlatko; Ghasemi, Arsham
2016-04-25
We show that Co{sub 2}FeAl{sub 0.5}Si{sub 0.5} film deposited on Si(111) has a single crystal structure and twin related epitaxial relationship with the substrate. Sub-nanometer electron energy loss spectroscopy shows that in a narrow interface region there is a mutual inter-diffusion dominated by Si and Co. Atomic resolution aberration-corrected scanning transmission electron microscopy reveals that the film has B2 ordering. The film lattice structure is unaltered even at the interface due to the substitutional nature of the intermixing. First-principles calculations performed using structural models based on the aberration corrected electron microscopy show that the increased Si incorporation in the filmmore » leads to a gradual decrease of the magnetic moment as well as significant spin-polarization reduction. These effects can have significant detrimental role on the spin injection from the Co{sub 2}FeAl{sub 0.5}Si{sub 0.5} film into the Si substrate, besides the structural integrity of this junction.« less
Flat-panel detector, CCD cameras, and electron-beam-tube-based video for use in portal imaging
NASA Astrophysics Data System (ADS)
Roehrig, Hans; Tang, Chuankun; Cheng, Chee-Way; Dallas, William J.
1998-07-01
This paper provides a comparison of some imaging parameters of four portal imaging systems at 6 MV: a flat panel detector, two CCD cameras and an electron beam tube based video camera. Measurements were made of signal and noise and consequently of signal-to-noise per pixel as a function of the exposure. All systems have a linear response with respect to exposure, and with the exception of the electron beam tube based video camera, the noise is proportional to the square-root of the exposure, indicating photon-noise limitation. The flat-panel detector has a signal-to-noise ratio, which is higher than that observed with both CCD-Cameras or with the electron beam tube based video camera. This is expected because most portal imaging systems using optical coupling with a lens exhibit severe quantum-sinks. The measurements of signal-and noise were complemented by images of a Las Vegas-type aluminum contrast detail phantom, located at the ISO-Center. These images were generated at an exposure of 1 MU. The flat-panel detector permits detection of Aluminum holes of 1.2 mm diameter and 1.6 mm depth, indicating the best signal-to-noise ratio. The CCD-cameras rank second and third in signal-to- noise ratio, permitting detection of Aluminum-holes of 1.2 mm diameter and 2.2 mm depth (CCD_1) and of 1.2 mm diameter and 3.2 mm depth (CCD_2) respectively, while the electron beam tube based video camera permits detection of only a hole of 1.2 mm diameter and 4.6 mm depth. Rank Order Filtering was applied to the raw images from the CCD-based systems in order to remove the direct hits. These are camera responses to scattered x-ray photons which interact directly with the CCD of the CCD-Camera and generate 'Salt and Pepper type noise,' which interferes severely with attempts to determine accurate estimates of the image noise. The paper also presents data on the metal-phosphor's photon gain (the number of light-photons per interacting x-ray photon).
Visualizing buried silicon atoms at the Cd-Si(111)-7 ×7 interface with localized electrons
NASA Astrophysics Data System (ADS)
Tao, Min-Long; Xiao, Hua-Fang; Sun, Kai; Tu, Yu-Bing; Yuan, Hong-Kuan; Xiong, Zu-Hong; Wang, Jun-Zhong; Xue, Qi-Kun
2017-09-01
We report the atomic-scale imaging of the buried Cd-Si(111)-7 ×7 interface with a low temperature scanning tunneling microscopy (STM). The Cd(0001) films grown on Si(111)-7 ×7 reveal the electronic growth mode, and manifest a series of quantum-well states. In the low-bias STM images, not only the 7 ×7 reconstruction but also individual Si adatoms buried by thick Cd islands are clearly visible. The two successive layers of Cd islands exhibit the distinct contrasts due to the quantum size effect. Moreover, we found a small gap appeared at Fermi level owing to the Anderson localization induced by interface scattering. The perfect transparency of Cd films can be attributed to the anisotropic electron motion, i.e., lateral electron localization and transverse motion like free-electron.
Electronic sputtering of vitreous SiO2: Experimental and modeling results
NASA Astrophysics Data System (ADS)
Toulemonde, M.; Assmann, W.; Trautmann, C.
2016-07-01
The irradiation of solids with swift heavy ions leads to pronounced surface and bulk effects controlled by the electronic energy loss of the projectiles. In contrast to the formation of ion tracks in bulk materials, the concomitant emission of atoms from the surface is much less investigated. Sputtering experiments with different ions (58Ni, 127I and 197Au) at energies around 1.2 MeV/u were performed on vitreous SiO2 (a-SiO2) in order to quantify the emission rates and compare them with data for crystalline SiO2 quartz. Stoichiometry of the sputtering process was verified by monitoring the thickness decreases of a thin SiO2 film deposited on a Si substrate. Angular distributions of the emitted atoms were measured by collecting sputtered atoms on arc-shaped Cu catcher foils. Subsequent analysis of the number of Si atoms deposited on the catcher foils was quantified by elastic recoil detection analysis providing differential as well as total sputtering yields. Compared to existing data for crystalline SiO2, the total sputtering yields for vitreous SiO2 are by a factor of about five larger. Differences in the sputtering rate and track formation characteristics between amorphous and crystalline SiO2 are discussed within the frame of the inelastic thermal spike model.
ERIC Educational Resources Information Center
Chen, Yu-Hui
2012-01-01
As the availability of digital resources increased exponentially over the last two decades, academic libraries have heavily invested in electronic resources and made them accessible via library Web portals. Yet, underutilization of library Web portals is a common concern among academic libraries. According to the information systems (IS)…
Endovascular interventions for traumatic portal venous hemorrhage complicated by portal hypertension
Sundarakumar, Dinesh Kumar; Smith, Crysela Mirta; Lopera, Jorge Enrique; Kogut, Matthew; Suri, Rajeev
2013-01-01
Life-threatening hemorrhage rarely occurs from the portal vein following blunt hepatic trauma. Traditionally, severe portal bleeding in this setting has been controlled by surgical techniques such as packing, ligation, and venorrhaphy. The presence of portal hypertension could potentially increase the amount of hemorrhage in the setting of blunt portal vein trauma making it more difficult to control. This case series describes the use of indirect carbon dioxide portography to identify portal hemorrhage. Furthermore, these cases illustrate attempted endovascular treatment utilizing a transjugular intrahepatic portosystemic shunt in one scenario and transmesocaval shunt coiling of a jejunal varix in the other. PMID:24179633
Noncirrhotic portal hypertension.
Da, Ben L; Koh, Christopher; Heller, Theo
2018-05-01
Noncirrhotic portal hypertension represents a heterogeneous group of liver disorders that is characterized by portal hypertension in the absence of cirrhosis. The purpose of this review is to serve as a guide on how to approach a patient with noncirrhotic portal hypertension with a focus on recent developments. Recent studies pertaining to noncirrhotic portal hypertension have investigated aetiological causes, mechanisms of disease, noninvasive diagnostic modalities, clinical characteristics in the paediatric population and novel treatment targets. Noncirrhotic portal hypertension is an underappreciated clinical entity that can be difficult to diagnosis without a healthy suspicion. Diagnosis then relies on a comprehensive understanding of the causes and clinical manifestations of this disease, as well as a careful interpretation of the liver biopsy. Noninvasive approaches to diagnosis may play a significant role moving forward in this disease. Treatment in NCPH remains largely targeted at the individual sequalae of portal hypertension.
Walter Reed Army Medical Center's Internet-based electronic health portal.
Abbott, Kevin C; Boocks, Carl E; Sun, Zhengyi; Boal, Thomas R; Poropatich, Ronald K
2003-12-01
Use of the World Wide Web (WWW) and electronic media to facilitate medical care has been the subject of many reports in the popular press. However, few reports have documented the results of implementing electronic health portals for essential medical tasks, such as prescription refills and appointments. At Walter Reed Army Medical Center, "Search & Learn" medical information, Internet-based prescription refills and patient appointments were established in January 2001. A multiphase retrospective analysis was conducted to determine the use of the "Search & Learn" medical information and the relative number of prescription refills and appointments conducted via the WWW compared with conventional methods. From January 2001 to May 2002, there were 34,741 refills and 819 appointments made over the Internet compared with 2,275,112 refills and approximately 500,000 appointments made conventionally. WWW activity accounted for 1.52% of refills and 0.16% of appointments. There was a steady increase in this percentage over the time of the analysis. In April of 2002, the monthly average of online refills had risen to 4.57% and online appointments were at 0.27%. Online refills were projected to account for 10% of all prescriptions in 2 years. The "Search & Learn" medical information portion of our web site received 147,429 unique visits during this same time frame, which was an average of 326 visitors per day. WWW-based methods of conducting essential medical tasks accounted for a small but rapidly increasing percentage of total activity at Walter Reed Army Medical Center. Subsequent phases of analysis will assess demographic and geographic factors and aid in the design of future systems to increase use of the Internet-based systems.
Optimizing surface defects for atomic-scale electronics: Si dangling bonds
NASA Astrophysics Data System (ADS)
Scherpelz, Peter; Galli, Giulia
2017-07-01
Surface defects created and probed with scanning tunneling microscopes are a promising platform for atomic-scale electronics and quantum information technology applications. Using first-principles calculations we demonstrate how to engineer dangling bond (DB) defects on hydrogenated Si(100) surfaces, which give rise to isolated impurity states that can be used in atomic-scale devices. In particular, we show that sample thickness and biaxial strain can serve as control parameters to design the electronic properties of DB defects. While in thick Si samples the neutral DB state is resonant with bulk valence bands, ultrathin samples (1-2 nm) lead to an isolated impurity state in the gap; similar behavior is seen for DB pairs and DB wires. Strain further isolates the DB from the valence band, with the response to strain heavily dependent on sample thickness. These findings suggest new methods for tuning the properties of defects on surfaces for electronic and quantum information applications. Finally, we present a consistent and unifying interpretation of many results presented in the literature for DB defects on hydrogenated silicon surfaces, rationalizing apparent discrepancies between different experiments and simulations.
NASA Astrophysics Data System (ADS)
Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.
1996-06-01
Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.
NASA Astrophysics Data System (ADS)
Dollfus, Ph.; Galdin, S.; Hesto, P.
1999-07-01
Electron transport properties in tensile strained Si-based materials are theoretically analyzed using Monte-Carlo calculation. We focus our interest on in-plane transport in Si and Si{1-y}Cy (yleq 0.03), grown respectively on <~ngle 001rangle Si{1-x}Gex pseudo-substrate and Si substrate, with a view to Field-Effect-Transistor application. In comparison with unstrained Si, the tensile strain effect is shown to be very attractive in Si: drift mobilities greater than 3000 cm^2/Vs are obtained at 300 K for a Ge fraction mole of 0.2 in the pseudo-substrate. In the Si{1-y}Cy/Si system, that does not need any pseudo-substrate, the beneficial strain effect on transport is counterbalanced by the alloy scattering whose influence on mobility is studied. If the alloy potential is greater than about 1 eV, the advantage of strain-induced reduction of effective mass is lost in terms of stationary transport performance at 300 K.
Electron-irradiated n+-Si as hole injection tunable anode of organic light-emitting diode
NASA Astrophysics Data System (ADS)
Li, Y. Z.; Wang, Z. L.; Wang, Y. Z.; Luo, H.; Xu, W. J.; Ran, G. Z.; Qin, G. G.
2013-01-01
Traditionally, n-type silicon is not regarded as a good anode of organic light emitting diode (OLED) due to the extremely low hole concentration in it; however, when doped with Au element which acts as carrier generation centers, it can be, as shown in our previous work. In this study, we demonstrate a new kind of carrier generation centers in n+-type silicon, which are the defects produced by 5 MeV electron irradiation. The density of carrier generation centers in the irradiated n+-Si anode can be controlled by tuning the electron irradiation time, and thus hole injection current in the OLEDs with the irradiated n+-Si anode can be optimized, leading to their much higher maximum efficiencies than those of the OLEDs with non-irradiated n+-Si anode. For a green phosphorescent OLED with the irradiated n+-Si anode, the current efficiency and power efficiency reach up to 12.1 cd/A and 4.2 lm/W, respectively.
Transjugular Portal Venous Stenting in Inflammatory Extrahepatic Portal Vein Stenosis
DOE Office of Scientific and Technical Information (OSTI.GOV)
Schaible, Rolf; Textor, Jochen; Decker, Pan
2002-12-15
We report the case of a 37-year-old man with necrotizing pancreatitis associated with inflammatory extrahepatic portal vein stenosis and progressive ascites. Four months after the acute onset, when no signs of infection were present, portal decompression was performed to treat refractory ascites. Transjugulartranshepatic venoplasty failed to dilate the stenosis in the extrahepatic portion of the portal vein sufficiently. Therefore a Wallstent was implanted, resulting in almost normal diameter of the vessel. In follow-up imaging studies the stent and the portal vein were still patent 12 months after the intervention and total resolution of the ascites was observed.
Use of a web portal among adult clinic patients seen for type 2 diabetes mellitus.
Coughlin, Steven S; Heboyan, Vahé; Williams, Lovoria B; Hatzigeorgiou, Christos
2018-01-01
To determine the number of adult clinic patients seen for type 2 diabetes mellitus (T2DM) at an academic medical center and to examine characteristics of those who had or had not registered for a web portal. Electronic records were reviewed to identify web portal registration by patients treated for T2DM by age, sex, race and Hispanic ethnicity, and service (General Internal Medicine, Endocrinology). A total of 1,401 patients with T2DM were seen in General Internal Medicine and Endocrinology outpatient clinics. Less than one third (32%) had registered for the web portal. Women were more likely to have registered for the web portal than men [odds ratio (OR) =1.25; 95% CI, 0.99-1.57; P<0.063]. Black patients were less likely to have registered than whites (OR =0.40; 95% CI, 0.31-0.51; P<0.001). Patients who were 18-25 years of age were less likely to have registered for the web portal, along with those who were 56 to 60 and >66 years of age. In multivariate analysis, a statistically significant association was observed between web portal registration and General Internal Medicine clinic vs . Endocrinology clinic (OR =2.96, P<0.001). Inverse associations were observed with age >18-25 years, male sex (adjusted OR =0.71, P=0.006), and Black race (OR =0.33, P<0.001). Additional research is needed to identify portal design features that improve glycemic control and interventions that will increase use of patient portals, especially among Black patients with T2DM and those with low health literacy or computer literacy.
NASA Technical Reports Server (NTRS)
Nieh, C. W.; Lin, T. L.
1989-01-01
This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.
An interactive portal to empower cancer survivors: a qualitative study on user expectations.
Kuijpers, Wilma; Groen, Wim G; Loos, Romy; Oldenburg, Hester S A; Wouters, Michel W J M; Aaronson, Neil K; van Harten, Wim H
2015-09-01
Portals are increasingly used to improve patient empowerment, but are still uncommon in oncology. In this study, we explored cancer survivors' and health professionals' expectations of possible features of an interactive portal. We conducted three focus groups with breast cancer survivors (n = 21), two with lung cancer survivors (n = 14), and four with health professionals (n = 31). Drafts of possible features of an interactive portal were presented as static screenshots: survivorship care plan (SCP), access to electronic medical record (EMR), appointments, e-consultation, online patient community, patient reported outcomes (PROs) plus feedback, telemonitoring service, online rehabilitation program, and online psychosocial self-management program. This presentation was followed by an open discussion. Focus groups were audiotaped, transcribed verbatim, and data were analyzed using content analysis. Important themes included fulfillment of information needs, communication, motivation, quality of feedback, and supervision. Cancer survivors were primarily interested in features that could fulfill their information needs: SCP, access to their EMR, and an overview of appointments. Health professionals considered PROs and telemonitoring as most useful features, as these provide relevant information about survivors' health status. We recommend to minimally include these features in an interactive portal for cancer survivors. This is the first study that evaluated the expectations of cancer survivors and health professionals concerning an interactive portal. Both groups were positive about the introduction of such a portal, although their preferences for the various features differed. These findings reflect their unique perspective and emphasize the importance of involving multiple stakeholders in the actual design process.
Laroche, Dominique; Huang, ShiHsien; Nielsen, Erik; ...
2015-04-08
We report the design, the fabrication, and the magneto-transport study of an electron bilayer system embedded in an undoped Si/SiGe double-quantum-well heterostructure. Additionally, the combined Hall densities (n Hall ) ranging from 2.6 × 10 10 cm -2 to 2.7 × 10 11 cm -2 were achieved, yielding a maximal combined Hall mobility (μ Hall ) of 7.7 × 10 5 cm 2/(V • s) at the highest density. Simultaneous electron population of both quantum wells is clearly observed through a Hall mobility drop as the Hall density is increased to n Hall > 3.3 × 10 10 cm -2,more » consistent with Schrödinger-Poisson simulations. Furthermore, the integer and fractional quantum Hall effects are observed in the device, and single-layer behavior is observed when both layers have comparable densities, either due to spontaneous interlayer coherence or to the symmetric-antisymmetric gap.« less
NASA Astrophysics Data System (ADS)
Cheng, Cheng
Non-crystalline thin film materials are widely used in the semiconductor industry (micro- and optoelectronics) and in green energy, e.g., photovolatic applications. This dissertation under-pins these device application with studies of their electronic structures using derivative X-ray Absorption Spectroscopy (XAS) and derivative Spectroscopic Ellipsometry (SE) for the first time to experimentally determine electronic and intrinsic defect structures. Differences between electron and hole mobilities in c- (and ng-Si) and c- (and ng- Ge), make Ge channels superior to Si channels in for aggressively scaled CMOS field effect transistors (FETs). Bonding between Si and Ge substrates and gate dielectric oxides is the focus this dissertation. The primary objective of this research is to measure and interpret by ab-initio theory the electronic and intrinsic electronic defect structures mirco-electronic thin film materials. This is accomplished for the first time by combining (i) derivative XAS TEY data obtained at the Stanford Synchrotron Radiation Light Source (SSRL) with (ii) derivative Spectroscopic Ellipsometry results obtained at the J.A. Woollam Co. laboratory. All the oxides were deposited in RPECVD system with in-line AES and RHEED. Thins films and gate stacks were annealed in RTA system in Ar to determine temperature dependent changes. 2nd derivative analysis is applied on XAS and SE spectra emphasizing the conduction band (CB) and virtual bound state (VBS) regimes. 2nd derivative SE spectra for ng-Si and ng-Ge each have 3 distinct regimes: (i) 3 excitons, (ii) 2 features in the CB edge region, and (iii) 3 additional exciton features above the IP. Excitonic spectral width provides conductivity electron masses (em0*) and hence electron mobilities. The wider the energy range, the higher the electron mobility in that CB. Spectra of high-K dielectrics have an additional energy regime between the CB edge regime, and the higher eV excitons. This regime has 4 intra-d state
Kinetics of Si and Ge nanowires growth through electron beam evaporation
2011-01-01
Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted. PMID:21711696
Kinetics of Si and Ge nanowires growth through electron beam evaporation.
Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco
2011-02-21
Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Yong, E-mail: liyong@pdsu.edu.cn; Song, Xiao Yan; Song, Yue Li
2016-02-15
Highlights: • CdS/Si nanoheterostructure array has been fabricated through a CBD method. • The electronic properties have been investigated by the I–V and C–V techniques. • The onset voltages, characteristic frequency and built-in potential are investigated. • The electronic structures can be tuned through the annealing treatments. - Abstract: The electronic properties of heterostructures are very important to its applications in the field of optoelectronic devices. Understanding and control of electronic properties are very necessary. CdS/Si nanoheterostructure array have been fabricated through growing CdS nanocrystals on the silicon nanoporous pillar array using a chemical bath deposition method. The electronic propertiesmore » of CdS nanoheterostructure array have been investigated by the current–voltage, complex impedance spectroscopy and capacitance–voltage techniques. The onset voltages, characteristic frequency and built-in potential are gradually increased with increasing the annealing temperature. It is indicated that the electronic structures of CdS/Si nanoheterostructure array can be tuned through the annealing treatments.« less
On flattening filter‐free portal dosimetry
Novais, Juan Castro; Molina López, María Yolanda; Maqueda, Sheila Ruiz
2016-01-01
Varian introduced (in 2010) the option of removing the flattening filter (FF) in their C‐Arm linacs for intensity‐modulated treatments. This mode, called flattening filter‐free (FFF), offers the advantage of a greater dose rate. Varian's “Portal Dosimetry” is an electronic portal imager device (EPID)‐based tool for IMRT verification. This tool lacks the capability of verifying flattening filter‐free (FFF) modes due to saturation and lack of an image prediction algorithm. (Note: the latest versions of this software and EPID correct these issues.) The objective of the present study is to research the feasibility of said verifications (with the older versions of the software and EPID). By placing the EPID at a greater distance, the images can be acquired without saturation, yielding a linearity similar to the flattened mode. For the image prediction, a method was optimized based on the clinically used algorithm (analytical anisotropic algorithm (AAA)) over a homogeneous phantom. The depth inside the phantom and its electronic density were tailored. An application was developed to allow the conversion of a dose plane (in DICOM format) to Varian's custom format for Portal Dosimetry. The proposed method was used for the verification of test and clinical fields for the three qualities used in our institution for IMRT: 6X, 6FFF and 10FFF. The method developed yielded a positive verification (more than 95% of the points pass a 2%/2 mm gamma) for both the clinical and test fields. This method was also capable of “predicting” static and wedged fields. A workflow for the verification of FFF fields was developed. This method relies on the clinical algorithm used for dose calculation and is able to verify the FFF modes, as well as being useful for machine quality assurance. The procedure described does not require new hardware. This method could be used as a verification of Varian's Portal Dose Image Prediction. PACS number(s): 87.53.Kn, 87.55.T‐, 87.56.bd
NASA Astrophysics Data System (ADS)
Molteni, Elena; Onida, Giovanni; Cappellini, Giancarlo
2016-04-01
We study the electronic properties of the Si(001):Uracil, Si(001):Thymine, and Si(001):5-Fluorouracil systems, focusing on the Si dimer-bridging configuration with adsorption governed by carbonyl groups. While the overall structural and electronic properties are similar, with small differences due to chemical substitutions, much larger effects on the surface band dispersion and bandgap show up as a function of the molecular orientation with respect to the surface. An off-normal orientation of the molecular planes is favored, showing larger bandgap and lower total energy than the upright position. We also analyze the localization of gap-edge occupied and unoccupied surface states. Supplementary material in the form of one pdf file available from the Journal web page at http://dx.doi.org/10.1140/epjb/e2016-70011-1
Electron-impact ionization of silicon tetrachloride (SiCl4).
Basner, R; Gutkin, M; Mahoney, J; Tarnovsky, V; Deutsch, H; Becker, K
2005-08-01
We measured absolute partial cross sections for the formation of various singly charged and doubly charged positive ions produced by electron impact on silicon tetrachloride (SiCl4) using two different experimental techniques, a time-of-flight mass spectrometer (TOF-MS) and a fast-neutral-beam apparatus. The energy range covered was from the threshold to 900 eV in the TOF-MS and to 200 eV in the fast-neutral-beam apparatus. The results obtained by the two different experimental techniques were found to agree very well (better than their combined margins of error). The SiCl3(+) fragment ion has the largest partial ionization cross section with a maximum value of slightly above 6x10(-20) m2 at about 100 eV. The cross sections for the formation of SiCl4(+), SiCl+, and Cl+ have maximum values around 4x10(-20) m2. Some of the cross-section curves exhibit an unusual energy dependence with a pronounced low-energy maximum at an energy around 30 eV followed by a broad second maximum at around 100 eV. This is similar to what has been observed by us earlier for another Cl-containing molecule, TiCl4 [R. Basner, M. Schmidt, V. Tamovsky, H. Deutsch, and K. Becker, Thin Solid Films 374 291 (2000)]. The maximum cross-section values for the formation of the doubly charged ions, with the exception of SiCl3(++), are 0.05x10(-20) m2 or less. The experimentally determined total single ionization cross section of SiCl4 is compared with the results of semiempirical calculations.
Ion scattering and electron spectroscopy of the chemical species at a HF-prepared Si(211) surface
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jaime-Vasquez, M.; Martinka, M.; Groenert, M.
2006-01-16
The species and the nature of their chemical bonds at the surface of a hydrogen-terminated Si(211) wafer were characterized using temperature desorption spectroscopy, ion scattering spectroscopy, and electron spectroscopy. The surface region is dominated by monohydride species with dihydrides present in small amounts. Fluorine is distributed across the top layer as largely a physisorbed species to the Si substrate. Low-energy {sup 3}He{sup +} ions remove the H and F species with only minimal damage to the underlying region.
NASA Astrophysics Data System (ADS)
Bozso, F.; Avouris, Ph.
1986-09-01
We report on the low-temperature reaction of ammonia with Si(100)-(2×1). The dangling bonds in the clean Si surface promote NH3 dissociation even at temperatures as low as 90 K. The N atoms thus produced occupy subsurface sites, while the H atoms bind to surface Si atoms, tie up the dangling bonds, and inactivate the surface. Thermal or electronic-excitation-induced hydrogen desorption restores the dangling bonds and the reactivity of the surface. Silicon nitride film growth is achieved at 90 K by simultaneous exposure of the Si surface to NH3 and an electron beam.
8. Detail, east portal of Tunnel 41 inside Snowshed 33, ...
8. Detail, east portal of Tunnel 41 inside Snowshed 33, view to west-northwest, 135mm lens with electronic flash fill. The tiny white dot visible in the darkness of the tunnel is the west portal, two miles distant. The heavy steel beams carrying the concrete roof panels of the snowshed appear to be reused bridge girders. - Central Pacific Transcontinental Railroad, Tunnel No. 41, Milepost 193.3, Donner, Placer County, CA
Precession electron diffraction for SiC grain boundary characterization in unirradiated TRISO fuel
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lillo, T. M.; van Rooyen, I. J.; Wu, Y. Q.
Precession electron diffraction (PED), a transmission electron microscopy-based technique, has been evaluated for the suitability for evaluating grain boundary character in the SiC layer of tristructural isotropic (TRISO) fuel. Although the ultimate goal is to determine the grain boundary characteristics of fission product containing grain boundaries of neutron irradiated SiC, our work reports the effect of transmission electron microscope (TEM) lamella thickness on quality of data and establishes a baseline comparison on grain boundary characteristics determined previously using a conventional EBSD scanning electron microscope (SEM) based technique. In general, it was determined that the lamella thickness produced using the standardmore » FIB fabrication process, is sufficient to provide reliable PED measurements with thicker lamellae (~120 nm) produce higher quality orientation data. Analysis of grain boundary character from the TEM-based PED data showed a much lower fraction of low angle grain boundaries compared to SEM-based EBSD data from the SiC layer of the same TRISO-coated particle as well as a SiC layer deposited at a slightly lower temperature. The fractions of high angle and CSL-related grain boundaries determined by PED are similar to those found using SEM-based EBSD. Since the grain size of the SiC layer of TRSIO fuel can be as small as 250 nm [12], depending on the fabrication parameters, and grain boundary fission product precipitates can be nano-sized, the TEM-based PED orientation data collection method is preferred to determine an accurate representation of the relative fractions of low angle, high angle and CSL-related grain boundaries. It was concluded that although the resolution of the PED data is better by more than an order of magnitude, data acquisition times may be significantly longer or the number of areas analyzed significantly larger than the SEM-based method to obtain a statistically relevant distribution. Also, grain size could be
Precession electron diffraction for SiC grain boundary characterization in unirradiated TRISO fuel
Lillo, T. M.; van Rooyen, I. J.; Wu, Y. Q.
2016-06-16
Precession electron diffraction (PED), a transmission electron microscopy-based technique, has been evaluated for the suitability for evaluating grain boundary character in the SiC layer of tristructural isotropic (TRISO) fuel. Although the ultimate goal is to determine the grain boundary characteristics of fission product containing grain boundaries of neutron irradiated SiC, our work reports the effect of transmission electron microscope (TEM) lamella thickness on quality of data and establishes a baseline comparison on grain boundary characteristics determined previously using a conventional EBSD scanning electron microscope (SEM) based technique. In general, it was determined that the lamella thickness produced using the standardmore » FIB fabrication process, is sufficient to provide reliable PED measurements with thicker lamellae (~120 nm) produce higher quality orientation data. Analysis of grain boundary character from the TEM-based PED data showed a much lower fraction of low angle grain boundaries compared to SEM-based EBSD data from the SiC layer of the same TRISO-coated particle as well as a SiC layer deposited at a slightly lower temperature. The fractions of high angle and CSL-related grain boundaries determined by PED are similar to those found using SEM-based EBSD. Since the grain size of the SiC layer of TRSIO fuel can be as small as 250 nm [12], depending on the fabrication parameters, and grain boundary fission product precipitates can be nano-sized, the TEM-based PED orientation data collection method is preferred to determine an accurate representation of the relative fractions of low angle, high angle and CSL-related grain boundaries. It was concluded that although the resolution of the PED data is better by more than an order of magnitude, data acquisition times may be significantly longer or the number of areas analyzed significantly larger than the SEM-based method to obtain a statistically relevant distribution. Also, grain size could be
NASA Astrophysics Data System (ADS)
Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar
2018-04-01
It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.
NASA Astrophysics Data System (ADS)
Wei, Su-Huai; Yang, Ji-Hui; Zhang, Yueyu; Yin, Wan-Jian; Gong, X. G.; Yakobson, Boris I.
Two-dimensional (2D) semiconductors have many unique electronic and optoelectronic properties that is suitable for novel device applications. Most of the current study are focused on group IV or transition metal chalcogenides. In this study, using atomic transmutation and global optimization methods, we identified two group IV-VI 2D materials, Pma2-SiS and silicene sulfide that can overcome shortcomings encountered in conventional 2D semiconducttord. Pma2-SiS is found to be both chemically, energetically, and thermally stable. Most importantly, Pma2-SiS has unique electronic and optoelectronic properties, including direct bandgaps suitable for solar cells, good mobility for nanoelectronics, good flexibility of property tuning by layer thickness and strain appliance, and good air stability as well. Therefore, Pma2-SiS is expected to be a very promising 2D material in the field of 2D electronics and optoelectronics. Silicene sulfide also shows similar properties. We believe that the designing principles and approaches used to identify these materials have great potential to accelerate future finding of new functional materials within the 2D families.
Characterization of ultrafine grained Cu-Ni-Si alloys by electron backscatter diffraction
NASA Astrophysics Data System (ADS)
Altenberger, I.; Kuhn, H. A.; Gholami, M.; Mhaede, M.; Wagner, L.
2014-08-01
A combination of rotary swaging and optimized precipitation hardening was applied to generate ultra fine grained (UFG) microstructures in low alloyed high performance Cu-based alloy CuNi3Si1Mg. As a result, ultrafine grained (UFG) microstructures with nanoscopically small Ni2Si-precipitates exhibiting high strength, ductility and electrical conductivity can be obtained. Grain boundary pinning by nano-precipitates enhances the thermal stability. Electron channeling contrast imaging (ECCI) and especially electron backscattering diffraction (EBSD) are predestined to characterize the evolving microstructures due to excellent resolution and vast crystallographic information. The following study summarizes the microstructure after different processing steps and points out the consequences for the most important mechanical and physical properties such as strength, ductility and conductivity.
Electron Microprobe Measurements of Nitrogen in SiC
NASA Astrophysics Data System (ADS)
Ross, K.
2007-12-01
Methods have been developed for the measurement of low abundances of nitrogen in SiC films. These techniques were developed for measurements of synthetic thin-film samples prepared by materials scientists but the technique can also be applied to natural SiC grains in meteorites. One problem associated with measuring nitrogen at low abundance levels is the low count rates due to strong absorption of the nitrogen signal in the matrix material. In thin film samples, (SiC deposited on elemental Si) it is preferable to limit x-ray production and emission to the overlayer. This eliminates the need for data reduction using thin-film methods. Thin film data reduction is inevitably less accurate than bulk material data reduction methods. In order to limit x-ray emission to the film layer, data has been collected at 5 kV and 3.5 kV accelerating voltage (depending on film thickness estimates provided by scientists who prepared these samples). These low beam energies also promote production of x-rays in the shallow region of the samples, and this minimizes strong absorption, leading to more abundant nitrogen x-ray detection, which improves counting statistics and overall precision. The CASINO monte carlo modeling program was used to model electron penetration and x-ray production as a function of beam energy and depth in the sample in order to ensure that the excited volume is limited to the film. The beam was set to 200 nA beam current. This high beam current also improves counting statistics by providing more abundant count rates. One drawback of these beam conditions is the limited spatial resolution provided. In our Cameca probe, a 5 kV, 200 nA beam is approximately 10 microns in diameter. SiC samples and standard were not carbon coated (they are conducting). AlN was used as the nitrogen standard. These films contained 0.3 to 0.7 wt. per cent nitrogen, with analytical uncertainties in the range of 10-20 per cent relative errors. The Si:C ratios were very near 1
NASA Astrophysics Data System (ADS)
Chiker, Fafa; Boukabrine, Fatiha; Khachai, H.; Khenata, R.; Mathieu, C.; Bin Omran, S.; Syrotyuk, S. V.; Ahmed, W. K.; Murtaza, G.
2016-11-01
In the present study, the structural, thermal, and electronic properties of some important orthosilicate dielectrics, such as the ZrSiO4, ZrGeO4, and HfSiO4 compounds, have been investigated theoretically with the use of first-principle calculations. We attribute the application of the modified Becke-Johnson exchange potential, which is basically an improvement over the local density approximation and the Perdew-Burke-Ernzerhof exchange-correlation functional, for a better description of the band gaps of the compounds. This resulted in a good agreement with our estimated values in comparison with the reported experimental data, specifically for the ZrSiO4, and HfSiO4 compounds. Conversely, for the ZrGeO4 compound, the calculated electronic band structure shows a direct band gap at the Γ point with the value of 5.79 eV. Furthermore, our evaluated thermal properties that are calculated by using the quasi-harmonic Debye model indicated that the volume variation with temperature is higher in the ZrGeO4 compound as compared to both the ZrSiO4 and HfSiO4 compounds, which is ascribed to the difference between the electron shells of the Si and Ge atoms. Therefore, these results also indicate that while the entropy ( S) and enthalpy ( U) parameters increase monotonically, the free energy ( G), in contrast, decreases monotonically with increasing temperature, respectively. Moreover, the pressure and temperature dependencies of the Debye temperature Θ, thermal expansion coefficient, and heat capacities C V were also predicted in our study.
Furukawa, Taichi; Kanamori, Satoshi; Fukuta, Masahiro; Nawa, Yasunori; Kominami, Hiroko; Nakanishi, Yoichiro; Sugita, Atsushi; Inami, Wataru; Kawata, Yoshimasa
2015-07-13
We fabricated a bright and thin Zn₂SiO₄ luminescent film to serve as a nanometric light source for high-spatial-resolution optical microscopy based on electron beam excitation. The Zn₂SiO₄ luminescent thin film was fabricated by annealing a ZnO film on a Si₃N₄ substrate at 1000 °C in N₂. The annealed film emitted bright cathodoluminescence compared with the as-deposited film. The film is promising for nano-imaging with electron beam excitation-assisted optical microscopy. We evaluated the spatial resolution of a microscope developed using this Zn₂SiO₄ luminescent thin film. This is the first report of the investigation and application of ZnO/Si₃N₄ annealed at a high temperature (1000 °C). The fabricated Zn₂SiO₄ film is expected to enable high-frame-rate dynamic observation with ultra-high resolution using our electron beam excitation-assisted optical microscopy.
Fang, F; Markwitz, A
2009-05-01
Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.
Chawla, Yogesh K.; Bodh, Vijay
2015-01-01
Portal vein thrombosis is an important cause of portal hypertension. PVT occurs in association with cirrhosis or as a result of malignant invasion by hepatocellular carcinoma or even in the absence of associated liver disease. With the current research into its genesis, majority now have an underlying prothrombotic state detectable. Endothelial activation and stagnant portal blood flow also contribute to formation of the thrombus. Acute non-cirrhotic PVT, chronic PVT (EHPVO), and portal vein thrombosis in cirrhosis are the three main variants of portal vein thrombosis with varying etiological factors and variability in presentation and management. Procoagulant state should be actively investigated. Anticoagulation is the mainstay of therapy for acute non-cirrhotic PVT, with supporting evidence for its use in cirrhotic population as well. Chronic PVT (EHPVO) on the other hand requires the management of portal hypertension as such and with role for anticoagulation in the setting of underlying prothrombotic state, however data is awaited in those with no underlying prothrombotic states. TIPS and liver transplant may be feasible even in the setting of PVT however proper selection of candidates and type of surgery is warranted. Thrombolysis and thrombectomy have some role. TARE is a new modality for management of HCC with portal vein invasion. PMID:25941431
Disastrous Portal Vein Embolization Turned into a Successful Intervention
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dobrocky, Tomas, E-mail: tomas.dobrocky@insel.ch; Kettenbach, Joachim, E-mail: joachim.kettenbach@stpoelten.lknoe.at; Lopez-Benitez, Ruben, E-mail: Ruben.lopez@insel.ch
Portal vein embolization (PVE) may be performed before hemihepatectomy to increase the volume of future liver remnant (FLR) and to reduce the risk of postoperative liver insufficiency. We report the case of a 71-year-old patient with hilar cholangiocarcinoma undergoing PVE with access from the right portal vein using a mixture of n-butyl-2-cyanoacrylate and ethiodized oil. During the procedure, nontarget embolization of the left portal vein occurred. An aspiration maneuver of the polymerized plug failed; however, the embolus obstructing portal venous flow in the FLR was successfully relocated into the right portal vein while carefully bypassing the plug with a balloonmore » catheter, inflating the balloon, and pulling the plug into the main right portal vein.« less
Roadside Tracker Portal-less Portal Monitor
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ziock, Klaus-Peter; Cheriyadat, Anil M.; Bradley, Eric Craig
2013-07-01
This report documents the full development cycle of the Roadside Tracker (RST) Portal-less Portal monitor (Fig. 1) funded by DHS DNDO. The project started with development of a proof-of-feasibility proto-type, proceeded through design and construction of a proof-of-concept (POC) prototype, a test-and-evaluation phase, participation in a Limited Use Exercise that included the Standoff Radiation Detections Systems developed under an Advanced Technology Demonstration and concluded with participation in a Characterization Study conducted by DNDO.
Analytical electron tomography mapping of the SiCporeoxidation at the nanoscale
NASA Astrophysics Data System (ADS)
Florea, Ileana; Ersen, Ovidiu; Hirlimann, Charles; Roiban, Lucian; Deneuve, Adrien; Houllé, Matthieu; Janowska, Izabela; Nguyen, Patrick; Pham, Charlotte; Pham-Huu, Cuong
2010-12-01
Silicon carbide is a ceramic material that has been widely studied because of its potential applications, ranging from electronics to heterogeneous catalysis. Recently, a new type of SiC materials with a medium specific surface area and thermal conductivity, called β-SiC, has attracted overgrowing interest as a new class of catalyst support in several catalytic reactions. A primary electron tomography study, performed in usual mode, has revealed a dual surface structure defined by two types of porosities made of networks of connected channels with sizes larger than 50 nm and ink-bottled pores with sizes spanning from 4 to 50 nm. Depending on the solvent nature, metal nanoparticles could be selectively deposited inside one of the two porosities, a fact that illustrates a selective wetting titration of the two types of surfaces by different liquids. The explaining hypothesis that has been put forward was that this selectivity against solvents is related to the pore surface oxidation degree of the two types of pores. A new technique of analytical electron tomography, where the series of projections used to reconstruct the volume of an object is recorded in energy filtered mode (EFTEM), has been implemented to map the poreoxidation state and to correlate it with the morphology and the accessibility of the porous network. Applied, for the first time, at a nanoscale resolution, this technique allowed us to obtain 3D elemental maps of different elements present in the analysed porous grains, in particular oxygen; we found thus that the interconnected channelpores are more rapidly oxidized than the ink-bottled ones. Alternatively, our study highlights the great interest of this method that opens the way for obtaining precise information on the chemical composition of a 3D surface at a nanometer scale.Silicon carbide is a ceramic material that has been widely studied because of its potential applications, ranging from electronics to heterogeneous catalysis. Recently, a new
Use of a web portal among adult clinic patients seen for type 2 diabetes mellitus
Coughlin, Steven S.; Heboyan, Vahé; Williams, Lovoria B.; Hatzigeorgiou, Christos
2018-01-01
Background To determine the number of adult clinic patients seen for type 2 diabetes mellitus (T2DM) at an academic medical center and to examine characteristics of those who had or had not registered for a web portal. Methods Electronic records were reviewed to identify web portal registration by patients treated for T2DM by age, sex, race and Hispanic ethnicity, and service (General Internal Medicine, Endocrinology). Results A total of 1,401 patients with T2DM were seen in General Internal Medicine and Endocrinology outpatient clinics. Less than one third (32%) had registered for the web portal. Women were more likely to have registered for the web portal than men [odds ratio (OR) =1.25; 95% CI, 0.99–1.57; P<0.063]. Black patients were less likely to have registered than whites (OR =0.40; 95% CI, 0.31–0.51; P<0.001). Patients who were 18–25 years of age were less likely to have registered for the web portal, along with those who were 56 to 60 and >66 years of age. In multivariate analysis, a statistically significant association was observed between web portal registration and General Internal Medicine clinic vs. Endocrinology clinic (OR =2.96, P<0.001). Inverse associations were observed with age >18–25 years, male sex (adjusted OR =0.71, P=0.006), and Black race (OR =0.33, P<0.001). Conclusions Additional research is needed to identify portal design features that improve glycemic control and interventions that will increase use of patient portals, especially among Black patients with T2DM and those with low health literacy or computer literacy. PMID:29377041
Precipitate resolution in an electron irradiated ni-si alloy
NASA Astrophysics Data System (ADS)
Watanabe, H.; Muroga, T.; Yoshida, N.; Kitajima, K.
1988-09-01
Precipitate resolution processes in a Ni-12.6 at% Si alloy under electron irradiation have been observed by means of HVEM. Above 400°C, growth and resolution of Ni 3Si precipitates were observed simultaneously. The detail stereoscopic observation showed that the precipitates close to free surfaces grew, while those in the middle of a specimen dissolved. The critical dose when the precipitates start to shrink increases with increasing the depth. This depth dependence of the precipitate behavior under irradiation has a close relation with the formation of surface precipitates and the growth of solute depleted zone beneath them. The temperature and dose dependence of the resolution rate showed that the precipitates in the solute depleted zone dissolved by the interface controlled process of radiation-enhanced diffusion.
1. East portal of Tunnel 4, view to west with ...
1. East portal of Tunnel 4, view to west with east portal of Tunnel 38 (HAER CA-211) visible in distance, 135mm lens with electronic flash fill. This tunnel was photographed to provide context, because even though somewhat enlarged, it illustrates the nature of the unlined hard rock tunnels typical of the original Central Pacific construction in 1868. - Central Pacific Transcontinental Railroad, Tunnel No. 4, Milepost 180.95, Cisco, Placer County, CA
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fujimoto, M. M.; Michelin, S. E.; Mazon, K. T.
2007-07-15
We report a theoretical study of elastic electron collisions on three isoelectronic free radicals, namely, SiNN, SiCO, and CSiO. More specifically, differential, integral, and momentum-transfer cross sections are calculated and reported in the (1-100) eV energy range. Calculations are performed at the static-exchange-polarization-absorption level of approximation. A combination of the iterative Schwinger variational method and the distorted-wave approximation is used to solve the scattering equations. Our study reveals that the calculated cross sections for the e{sup -}-SiNN and e{sup -}-SiCO collisions are very similar even at incident energies as low as 3 eV. Strong isomeric effects are also observed inmore » the calculated cross sections for e{sup -}-CSiO and e{sup -}-SiCO collisions, particularly at incident energies below 20 eV. It is believed that the position of the silicon atom being at the center or extremity of the molecules may exert important influence on the calculated cross sections.« less
Probing lattice dynamics and electron-phonon coupling in the topological nodal-line semimetal ZrSiS
NASA Astrophysics Data System (ADS)
Singha, Ratnadwip; Samanta, Sudeshna; Chatterjee, Swastika; Pariari, Arnab; Majumdar, Dipanwita; Satpati, Biswarup; Wang, Lin; Singha, Achintya; Mandal, Prabhat
2018-03-01
Topological materials provide an exclusive platform to study the dynamics of relativistic particles in table-top experiments and offer the possibility of wide-scale technological applications. ZrSiS is a newly discovered topological nodal-line semimetal and has drawn enormous interests. In this paper, we have investigated the lattice dynamics and electron-phonon interaction in single-crystalline ZrSiS using Raman spectroscopy. Polarization and angle-resolved Raman data have been analyzed using crystal symmetries and theoretically calculated atomic vibrational patterns along with phonon dispersion spectra. Wavelength- and temperature-dependent measurements show the complex interplay of electron and phonon degrees of freedom, resulting in resonant phonon and quasielastic electron scattering through interband transition. Our high-pressure Raman studies reveal vibrational anomalies, which are the signature of structural phase transitions. Further investigations through high-pressure synchrotron x-ray diffraction clearly show pressure-induced structural transitions and coexistence of multiple phases, which also indicate possible electronic topological transitions in ZrSiS. This study not only provides the fundamental information on the phonon subsystem, but also sheds some light in understanding the topological nodal-line phase in ZrSiS and other isostructural systems.
NASA Astrophysics Data System (ADS)
Kim, J.-S.; Tyryshkin, A. M.; Lyon, S. A.
2017-03-01
Electron-beam (e-beam) lithography is commonly used in fabricating metal-oxide-silicon (MOS) quantum devices but creates defects at the Si/SiO2 interface. Here, we show that a forming gas anneal is effective at removing shallow defects (≤4 meV below the conduction band edge) created by an e-beam exposure by measuring the density of shallow electron traps in two sets of high-mobility MOS field-effect transistors. One set was irradiated with an electron-beam (10 keV, 40 μC/cm2) and was subsequently annealed in forming gas while the other set remained unexposed. Low temperature (335 mK) transport measurements indicate that the forming gas anneal recovers the e-beam exposed sample's peak mobility (14 000 cm2/Vs) to within a factor of two of the unexposed sample's mobility (23 000 cm2/Vs). Using electron spin resonance (ESR) to measure the density of shallow traps, we find that the two sets of devices are nearly identical, indicating the forming gas anneal is sufficient to anneal out shallow defects generated by the e-beam exposure. Fitting the two sets of devices' transport data to a percolation transition model, we extract a T = 0 percolation threshold density in quantitative agreement with our lowest temperature ESR-measured trap densities.
Reasons and barriers for using a patient portal: survey among patients with diabetes mellitus.
Ronda, Maaike C M; Dijkhorst-Oei, Lioe-Ting; Rutten, Guy E H M
2014-11-25
The use of a Web portal for patients with diabetes mellitus to access their own personal health record may result in improved diabetes outcomes. However, the adoption by patients is slow. This may be caused by patient characteristics, but also by the content, layout, and promotion of the portal. Detailed knowledge about this could help increase patients' participation in Web portals. The aim was to study the opinions of patients with diabetes and identify perceived barriers to using a Web portal to optimize its use. We conducted a survey among patients with type 1 and type 2 diabetes mellitus from 62 primary care practices and 1 outpatient hospital clinic in the central area of the Netherlands who all used the same electronic health record with a Web portal. Questionnaires about patient characteristics, opinions about reasons for use or nonuse, and about portal content were sent to 1500 patients with a login and 3000 patients without a login to the Web portal. Patient groups were stratified according to login frequency. Demographic and diabetes-related variables were analyzed with multivariable regression analysis. The total response rate was 66.63% (2391/4399); 1390 of 4399 patients (31.60%) were eligible for analysis. There were 413 regular users (login frequency more than once) and 758 nonusers (no login). Most nonusers (72.4%) stated that the main reason for not requesting a login was that they were unaware of the existence of the portal. Other barriers reported by patients were disinterest in managing their own disease (28.5%, 216/758) and feelings of inadequacy with the use of computers and Internet (11.6%, 88/758). Patients treated by a general practitioner were more frequently nonusers compared to patients treated by an internist (78.8%, 666/846 vs 28.3%, 92/325; P<.001) and more users than nonusers became aware of the Web portal through their physician (94.9%, 392/413 vs 48.8%, 102/209; P<.001). Nonusers perceived specific portal content as not as useful
Reasons and Barriers for Using a Patient Portal: Survey Among Patients With Diabetes Mellitus
Dijkhorst-Oei, Lioe-Ting; Rutten, Guy EHM
2014-01-01
Background The use of a Web portal for patients with diabetes mellitus to access their own personal health record may result in improved diabetes outcomes. However, the adoption by patients is slow. This may be caused by patient characteristics, but also by the content, layout, and promotion of the portal. Detailed knowledge about this could help increase patients’ participation in Web portals. Objective The aim was to study the opinions of patients with diabetes and identify perceived barriers to using a Web portal to optimize its use. Methods We conducted a survey among patients with type 1 and type 2 diabetes mellitus from 62 primary care practices and 1 outpatient hospital clinic in the central area of the Netherlands who all used the same electronic health record with a Web portal. Questionnaires about patient characteristics, opinions about reasons for use or nonuse, and about portal content were sent to 1500 patients with a login and 3000 patients without a login to the Web portal. Patient groups were stratified according to login frequency. Demographic and diabetes-related variables were analyzed with multivariable regression analysis. Results The total response rate was 66.63% (2391/4399); 1390 of 4399 patients (31.60%) were eligible for analysis. There were 413 regular users (login frequency more than once) and 758 nonusers (no login). Most nonusers (72.4%) stated that the main reason for not requesting a login was that they were unaware of the existence of the portal. Other barriers reported by patients were disinterest in managing their own disease (28.5%, 216/758) and feelings of inadequacy with the use of computers and Internet (11.6%, 88/758). Patients treated by a general practitioner were more frequently nonusers compared to patients treated by an internist (78.8%, 666/846 vs 28.3%, 92/325; P<.001) and more users than nonusers became aware of the Web portal through their physician (94.9%, 392/413 vs 48.8%, 102/209; P<.001). Nonusers perceived
Electron impact ionization of plasma important SiClX (X = 1-4) molecules: theoretical cross sections
NASA Astrophysics Data System (ADS)
Kothari, Harshit N.; Pandya, Siddharth H.; Joshipura, K. N.
2011-06-01
Electron impact ionization of SiClX (X = 1-4) molecules is less studied but an important process for understanding and modelling the interactions of silicon-chlorine plasmas with different materials. The SiCl3 radical is a major chloro-silicon species involved in the CVD (chemical vapour deposition) of silicon films from SiCl4/Ar microwave plasmas. We report in this paper the total ionization cross sections for electron collisions on these silicon compounds at incident energies from the ionization threshold to 2000 eV. We employ the 'complex scattering potential-ionization contribution' method and identify the relative importance of various channels, with ionization included in the cumulative inelastic scattering. New results are also presented on these exotic molecular targets. This work is significant in view of the paucity of theoretical studies on the radicals SiClX (X = 1-3) and on SiCl4.
Sai, Linwei; Tang, Lingli; Zhao, Jijun; Wang, Jun; Kumar, Vijay
2011-11-14
The ground state structures of neutral and anionic clusters of Na(n)Si(m) (1 ≤ n ≤ 3, 1 ≤ m ≤ 11) have been determined using genetic algorithm incorporated in first principles total energy code. The size dependence of the structural and electronic properties is discussed in detail. It is found that the lowest-energy structures of Na(n)Si(m) clusters resemble those of the pure Si clusters. Interestingly, Na atoms in neutral Na(n)Si(m) clusters are usually well separated by the Si(m) skeleton, whereas Na atoms can form Na-Na bonds in some anionic clusters. The ionization potentials, adiabatic electron affinities, and photoelectron spectra are also calculated and the results compare well with the experimental data. © 2011 American Institute of Physics
Idiopathic portal hypertension and extrahepatic portal venous obstruction.
Khanna, Rajeev; Sarin, Shiv Kumar
2018-02-01
Idiopathic portal hypertension (IPH) and extrahepatic portal venous obstruction (EHPVO) are non-cirrhotic vascular causes of portal hypertension (PHT). Variceal bleed and splenomegaly are the commonest presentations. The present review is intended to provide the existing literature on etiopathogenesis, clinical profile, diagnosis, natural history and management of IPH and EHPVO. IPH and EHPVO are both characterized by normal hepatic venous pressure gradient, moderate to massive splenomegaly with preserved liver synthetic functions. While the level of block in IPH is presinusoidal, in EHPVO it is at prehepatic level. Infections, autoimmunity, drugs, immunodeficiency and prothrombotic states are possible etiological agents in IPH. Contrastingly in EHPVO, prothrombotic disorders and local factors around the portal vein are the incriminating factors. Diagnosis is often clinical, supported by simple radiological tools. Natural history is defined by episodes of variceal bleed and symptoms related to enlarged spleen. Growth failure, portal biliopathy and minimal hepatic encephalopathy are additional concerns in EHPVO. Long-term survival is reasonably good with endoscopic surveillance; however, parenchymal extinction leading to decompensation is seen in a minority of patients in both the disorders. Surgical shunts revert the complications secondary to PHT. Meso-Rex shunt has become the standard surgery in children with EHPVO. This review gives a detailed summary of these two vascular conditions of liver-IPH and EHPVO. Further research is needed to understand the pathogenesis and natural history of these disorders.
[Idiopathic portal hypertension].
Orozco, H; Takahashi, T; García-Tsao, G; Mercado, M A; Diliz, H; Hernández-Ortiz, J
1991-01-01
Patients with portal hypertension without a demonstrable cause have been reported in the literature under several different terms, such as tropical splenomegaly, phlebosclerosis, obliterative portal venopathy of the liver, hepatoportal sclerosis, noncirrhotic portal fibrosis and idiopathic portal hypertension (IPH). Such patients have been described worldwide, with a greater frequency in India and Japan. The etiology of IPH is still unknown, although some of the theories that have been proposed are: exposure to toxic substances or drugs, relationship with the hepatitis-B virus, immunologic abnormalities, systemic or intra-abdominal infections and clotting abnormalities. The main histopathologic findings are periportal fibrosis, obliteration of small portal veins and sclerosis of the interhepatic portal system. Although these abnormalities could be secondary to portal hypertension, it has been proposed that the vascular changes are the primary event that leads to portal hypertension. The site of increased resistance in IPH is found at the presinusoidal level with some component at the sinusoidal and postsinusoidal level. The main symptoms and signs in IPH are upper gastrointestinal tract bleeding secondary to esophago-gastric varices, symptoms related to anemia, and splenomegaly. The long-term prognosis for patients with IPH is better than for cirrhotic patients, with a 77% survival at ten years. Variceal bleeding is the main cause of death, and some treatment to prevent bleeding or its recurrence is warranted. Although no comparative trial has been performed in IPH patients, the surgical management could be the first choice for elective treatment in these patient without liver failure, because of the high re-bleeding rates with chronic sclerotherapy. Pharmacologic management could be considered for prophylactic treatment of these patients.
From Prototype to Production: Lessons Learned from the Evolution of an EHR Educational Portal
Borycki, Elizabeth M.; Armstrong, Brian; Kushniruk, Andre W.
2009-01-01
The use of electronic health records is rapidly increasing. However, the integration of this technology into the education of health professionals and health informaticians has largely remained to be explored. In this paper we describe an approach to providing remote access to electronic health records for use in health professional and health informatics education at the undergraduate and graduate levels. The University of Victoria EHR Educational Portal was designed by the authors to allow for remote Web-based access by students to a range of systems hosted on the portal. Architectural considerations and the evolution of the portal structure from prototype to production system are described. The paper also describes our initial applications of the approach in integrating EHRs into nursing, medical and health informatics educational programs. PMID:20351822
NASA Astrophysics Data System (ADS)
Feng, Yefeng; He, Cheng-En; Xu, Zhichao; Hu, Jianbing; Peng, Cheng
2018-01-01
Interface induced polarization has been found to have a significant impact on dielectric properties of 2-2 type polymer composites bearing Si based semi-conducting ceramic sheets. Inherent overall polarity of polymer layers in 2-2 composites has been verified to be closely connected with interface effect and achieved permittivity in composites. In present work, conducting performances of monocrystalline Si sheets coated by varied high polarity material layers were deeply researched. The positive results inspired us to propose a novel strategy to improve separated electron-hole dipoles in commercial Si based solar cell panel for assisting photovoltaic effect, based on strong interface induced polarization. Conducting features of solar panels coated by two different high polarity polymer layers were detected to be greatly elevated compared with solar panel standalone, thanks to interface induced polarization between panel and polymer. Polymer coating with higher polarity would lead to more separated electron-hole dipole pairs in solar panel contributing to higher conductivity of panel. Valid synergy of interface effect and photovoltaic effect was based on their unidirectional traits of electron transfer. Dielectric properties of solar panels in composites further confirmed that strategy. This work might provide a facile route to prepare promising Si based solar panels with higher photoelectric conversion efficiency by enhancing interface induced polarization between panel and polymer coating.
Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications
NASA Astrophysics Data System (ADS)
Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.
2018-04-01
Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.
Electronic structures of GeSi nanoislands grown on pit-patterned Si(001) substrate
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ye, Han, E-mail: Dabombyh@aliyun.com; Yu, Zhongyuan
2014-11-15
Patterning pit on Si(001) substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in (105) pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP) in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facetsmore » for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.« less
Vogel, Clarissa Barbon
2017-01-01
Abstract: Pediatric portal hypertension management is a team approach between the patient, the patient's family, the primary caregiver, and specialty providers. Evidence-based practice guidelines have not been established in pediatrics. This article serves as a review for the primary care NP in the management of pediatric portal hypertension, discussing the etiology, pathophysiology, and clinical presentation of pediatric portal hypertension, diagnostic tests, and treatment and management options. PMID:28406835
Probing the fermionic Higgs portal at lepton colliders
Fedderke, Michael A.; Lin, Tongyan; Wang, Lian -Tao
2016-04-26
Here, we study the sensitivity of future electron-positron colliders to UV completions of the fermionic Higgs portal operator H †Hχ¯χ. Measurements of precision electroweak S and T parameters and the e +e – → Zh cross-section at the CEPC, FCC-ee, and ILC are considered. The scalar completion of the fermionic Higgs portal is closely related to the scalar Higgs portal, and we summarize existing results. We devote the bulk of our analysis to a singlet-doublet fermion completion. Assuming the doublet is sufficiently heavy, we construct the effective field theory (EFT) at dimension-6 in order to compute contributions to the observables.more » We also provide full one-loop results for S and T in the general mass parameter space. In both completions, future precision measurements can probe the new states at the (multi-)TeV scale, beyond the direct reach of the LHC.« less
Probing the fermionic Higgs portal at lepton colliders
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fedderke, Michael A.; Lin, Tongyan; Wang, Lian -Tao
Here, we study the sensitivity of future electron-positron colliders to UV completions of the fermionic Higgs portal operator H †Hχ¯χ. Measurements of precision electroweak S and T parameters and the e +e – → Zh cross-section at the CEPC, FCC-ee, and ILC are considered. The scalar completion of the fermionic Higgs portal is closely related to the scalar Higgs portal, and we summarize existing results. We devote the bulk of our analysis to a singlet-doublet fermion completion. Assuming the doublet is sufficiently heavy, we construct the effective field theory (EFT) at dimension-6 in order to compute contributions to the observables.more » We also provide full one-loop results for S and T in the general mass parameter space. In both completions, future precision measurements can probe the new states at the (multi-)TeV scale, beyond the direct reach of the LHC.« less
NASA Astrophysics Data System (ADS)
Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi
2018-02-01
We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.
Sakurai, Katsunobu; Amano, Ryosuke; Yamamoto, Akira; Nishida, Norifumi; Matsutani, Shinya; Hirata, Keiichiro; Kimura, Kenjiro; Muguruma, Kazuya; Toyokawa, Takahiro; Kubo, Naoshi; Tanaka, Hiroaki; Yashiro, Masakazu; Ohira, Masaichi; Hirakawa, Kosei
2014-01-01
This report describes the successful use of portal venous stent placement for a patient with recurrent melena secondary to jejunal varices that developed after subtotal stomach preserved pancreatoduodenectomy (SSPPD). A 67-year-old man was admitted to our hospital with tarry stool and severe anemia at 2 years after SSPPD for carcinoma of the head of the pancreas. Abdominal computed tomography examination showed severe stenosis of the extrahepatic portal vein caused by local recurrence and showed an intensely enhanced jejunal wall at the choledochojejunostomy. Gastrointestinal bleeding scintigraphy also revealed active bleeding near the choledochojejunostomy. Based on these findings, jejunal varices resulting from portal vein stenosis were suspected as the cause of the melena. Portal vein stenting and balloon dilation was performed via the ileocecal vein after laparotomy. Coiling of the jejunal varices and sclerotherapy of the dilate postgastric vein with 5% ethanolamine oleate with iopamidol was performed. After portal stent placement, the patient was able to lead a normal life without gastrointestinal hemorrhage. However, he died 7 months later due to liver metastasis. PMID:24444277
Electronic and Interfacial Properties of PD/6H-SiC Schottky Diode Gas Sensors
NASA Technical Reports Server (NTRS)
Chen, Liang-Yu; Hunter, Gary W.; Neudeck, Philip G.; Bansal, Gaurav; Petit, Jeremy B.; Knight, Dak; Liu, Chung-Chiun; Wu, Qinghai
1996-01-01
Pd/SiC Schottky diodes detect hydrogen and hydrocarbons with high sensitivity. Variation of the diode temperature from 100 C to 200 C shows that the diode sensitivity to propylene is temperature dependent. Long-term heat treating at 425 C up to 140 hours is carried out to determine the effect of extended heat treating on the diode properties and gas sensitivity. The heat treating significantly affects the diode's capacitive characteristics, but the diode's current carrying characteristics are much more stable with a large response to hydrogen. Scanning Electron Microscopy and X-ray Spectrometry studies of the Pd surface after the heating show cluster formation and background regions with grain structure observed in both regions. The Pd and Si concentrations vary between grains. Auger Electron Spectroscopy depth profiles revealed that the heat treating promoted interdiffusion and reaction between the Pd and SiC dw broadened the interface region. This work shows that Pd/SiC Schottky diodes have significant potential as high temperature gas sensors, but stabilization of the structure is necessary to insure their repeatability in long-term, high temperature applications.
Electrical control of a long-lived spin qubit in a Si/SiGe quantum dot
NASA Astrophysics Data System (ADS)
Kawakami, Erika
2015-03-01
Electron spins in Si/SiGe quantum dots are one of the most promising candidates for a quantum bit for their potential to scale up and their long dephasing time. We realized coherent control of single electron spin in a single quantum dot (QD) defined in a Si/SiGe 2D electron gas. Spin rotations are achieved by applying microwave excitation to one of the gates, which oscillates the electron wave function back and forth in the gradient field produced by cobalt micromagnets fabricated near the dot. The electron spin is read out in single-shot mode via spin-to-charge conversion and a QD charge sensor. In earlier work, both the fidelity of single-spin rotations and the spin echo decay time were limited by a small splitting of the lowest two valleys. By changing the direction and magnitude of the external magnetic field as well as the gate voltages that define the dot potential, we were able to increase the valley splitting and also the difference in Zeeman splittings associated with these two valleys. This has resulted in considerable improvements in the gate fidelity and spin echo decay times. Thanks to the long intrinsic dephasing time T2* = 900 ns and Rabi frequency of 1.4 MHz, we now obtain an average single qubit gate fidelity of an electron spin in a Si/SiGe quantum dot of 99 percent, measured via randomized benchmarking. The dephasing time is extended to 70 us for the Hahn echo and up to 400 us with CPMG80. From the dynamical decoupling data, we extract the noise spectral density in the range of 30 kHz-3 MHz. We will discuss the mechanism that induces this noise and is responsible for decoherence. In parallel, we also realized electron spin resonance and coherent single-spin control by second harmonic generation, which means we can drive an electron spin at half the Larmor frequency. Finally, we observe not only single-spin transitions but also transitions whereby both the spin and the valley state are flipped. Altogether, these measurements have significantly
Structural, Electronic and Vibrational Properties of Nax Si 136(0 < x < 24) Clathrates
NASA Astrophysics Data System (ADS)
Higgins, Craig; Nenghabi, Emmanuel; Myles, Charles; Biswas, Koushik; Beekman, Matt; Nolas, George
2011-03-01
CRAIG HIGGINS, EMMANUEL NENGHA BI† , CHARLES W. MYLES, Texas Tech U.; KOUSHIK BISWAS, Oak Ridge National Lab; MATT BEEKMAN, U. of Oregon; GEORGE S. NOLAS, U. of South Florida - Na x Si 136 is a Type II clathrate with important thermoelectric properties. It's face-centered cubic lattice contains polyhedral ``cages'' of silicon atoms with Na atom ``guests'' in the cages. This material is very interesting because powder X-ray diffraction experiments 1 for differing Na content x have shown that, for increasing x in the range 0
A Novel Intrinsic Interface State Controlled by Atomic Stacking Sequence at Interfaces of SiC/SiO2.
Matsushita, Yu-Ichiro; Oshiyama, Atsushi
2017-10-11
On the basis of ab initio total-energy electronic-structure calculations, we find that electron states localized at the SiC/SiO 2 interface emerge in the energy region between 0.3 eV below and 1.2 eV above the bulk conduction-band minimum (CBM) of SiC, being sensitive to the sequence of atomic bilayers in SiC near the interface. These new interface states unrecognized in the past are due to the peculiar characteristics of the CBM states that are distributed along the crystallographic channels. We also find that the electron doping modifies the energetics among the different stacking structures. Implication for performance of electron devices fabricated on different SiC surfaces is discussed.
NASA Astrophysics Data System (ADS)
Koizumi, Akihisa; Kubo, Yasunori; Motoyama, Gaku; Yamamura, Tomoo; Sakurai, Yoshiharu
2018-06-01
We have measured directional Compton profiles on the (001) plane in URu2Si2 single crystal at several temperatures. Two-dimensional electron occupation number densities (2D-EONDs) were obtained from the profiles through electron momentum reconstruction and Lock-Crisp-West folding analyses. We have also performed band calculations based on 5f-electron itinerant and localized models and derived theoretical 2D-EONDs for comparison. The experimental 2D-EOND at 300 K is well described by the localized model, and the 2D-EOND at 10 K is consistent with the theoretical one based on the itinerant model. The difference between 2D-EONDs at 30 and 100 K reflects a gradual change in the electronic structure, which reveals some of the crossover phenomena from localized to itinerant states. The change from localized to itinerant states is also reflected in a B(r) function, which is obtained in the reconstruction analysis and is an autocorrelation function of the wave function in the position space. The process by which the electronic structure in URu2Si2 changes is demonstrated through a series of experimental results.
The 17 MeV anomaly in beryllium decays and U(1) portal to dark matter
NASA Astrophysics Data System (ADS)
Chen, Chian-Shu; Lin, Guey-Lin; Lin, Yen-Hsun; Xu, Fanrong
2017-11-01
The experiment of Krasznahorkay et al. observed the transition of a 8Be excited state to its ground state and accompanied by an emission of an e+e‑ pair with 17 MeV invariant mass. This 6.8σ anomaly can be fitted by a new light gauge boson. We consider the new particle as a U(1) gauge boson, Z‧, which plays as a portal linking dark sector and visible sector. In particular, we study the new U(1) gauge symmetry as a hidden or nonhidden group separately. The generic hidden U(1) model, referred to as dark Z model, is excluded by imposing various experimental constraints. On the other hand, a nonhidden Z‧ is allowed due to the additional interactions between Z‧ and Standard Model fermions. We also study the implication of the dark matter direct search on such a scenario. We found that the search for the DM-nucleon scattering cannot probe the parameter space that is allowed by 8Be-anomaly for the range of DM mass above 500 MeV. However, the DM-electron scattering for DM between 20 MeV and 50 MeV can test the underlying U(1) portal model using the future Si and Ge detectors with the 5e‑ threshold charges.
A portal for the ocean biogeographic information system
Zhang, Yunqing; Grassle, J. F.
2002-01-01
Since its inception in 1999 the Ocean Biogeographic Information System (OBIS) has developed into an international science program as well as a globally distributed network of biogeographic databases. An OBIS portal at Rutgers University provides the links and functional interoperability among member database systems. Protocols and standards have been established to support effective communication between the portal and these functional units. The portal provides distributed data searching, a taxonomy name service, a GIS with access to relevant environmental data, biological modeling, and education modules for mariners, students, environmental managers, and scientists. The portal will integrate Census of Marine Life field projects, national data archives, and other functional modules, and provides for network-wide analyses and modeling tools.
A Semantically Enabled Portal for Facilitating the Public Service Provision
NASA Astrophysics Data System (ADS)
Loutas, Nikolaos; Giantsiou, Lemonia; Peristeras, Vassilios; Tarabanis, Konstantinos
During the past years, governments have made significant efforts to improve both their internal processes and the services that they provide to citizens and businesses. These led to several successful e-Government applications (e.g., see www.epractice.eu). One of the most popular tools that was used by governments in order to modernize their services and make them accessible is e-Government portals, e.g., (Drigas et al. 2005), (Fang 2002). The main goals of such portals are: To make available complete, easy to understand, and structured information about public services and public administration's modus operandi, which will assist citizens during the service provision process. To facilitate the electronic execution of public services. Nevertheless, most of such efforts did not succeed. Gartner argues that most e-Government strategies have not achieved their objectives and have failed to trigger sustainable government transformation to greater efficiency and citizen-centricity (DiMaio 2007).
Electronic structures and superconductivity in LuTE2Si2 phases (TE = d-electron transition metal)
NASA Astrophysics Data System (ADS)
Samsel-Czekała, M.; Chajewski, G.; Wiśniewski, P.; Romanova, T.; Hackemer, A.; Gorzelniak, R.; Pikul, A. P.; Kaczorowski, D.
2018-05-01
In the course of our search for unconventional superconductors amidst the 1:2:2 phases, we have re-investigated the LuTE2Si2 compounds with TE = Fe, Co, Ni, Ru, Pd and Pt. In this paper, we present the results of our fully relativistic ab initio calculations of the band structures, performed using the full-potential local-orbital code. The theoretical data are supplemented by the results of low-temperature electrical transport and specific heat measurements performed down to 0.35 K. All the materials studied but LuPt2Si2 crystallize with the body-centered tetragonal ThCr2Si2-type structure (space group I4/mmm). Their Fermi surfaces exhibit a three-dimensional multi-band character. In turn, the Pt-bearing compound adopts the primitive tetragonal CaBe2Ge2-type structure (space group P4/nmm), and its Fermi surface consists of predominantly quasi-two-dimensional sheets. Bulk superconductivity was found only in LuPd2Si2 and LuPt2Si2 (independent of the structure type and dimensionality of the Fermi surface). The key superconducting characteristics indicate a fully-gapped BCS type character. Though the electronic structure of LuFe2Si2 closely resembles that of the unconventional superconductor YFe2Ge2, this Lu-based silicide exhibits neither superconductivity nor spin fluctuations at least down to 0.35 K.
29 CFR 785.34 - Effect of section 4 of the Portal-to-Portal Act.
Code of Federal Regulations, 2014 CFR
2014-07-01
... 29 Labor 3 2014-07-01 2014-07-01 false Effect of section 4 of the Portal-to-Portal Act. 785.34... of Principles Traveltime § 785.34 Effect of section 4 of the Portal-to-Portal Act. The Portal Act... employee and activities that are incidental to the use of such vehicle for commuting are not considered...
29 CFR 785.34 - Effect of section 4 of the Portal-to-Portal Act.
Code of Federal Regulations, 2011 CFR
2011-07-01
... 29 Labor 3 2011-07-01 2011-07-01 false Effect of section 4 of the Portal-to-Portal Act. 785.34... of Principles Traveltime § 785.34 Effect of section 4 of the Portal-to-Portal Act. The Portal Act... employee and activities that are incidental to the use of such vehicle for commuting are not considered...
29 CFR 785.34 - Effect of section 4 of the Portal-to-Portal Act.
Code of Federal Regulations, 2013 CFR
2013-07-01
... 29 Labor 3 2013-07-01 2013-07-01 false Effect of section 4 of the Portal-to-Portal Act. 785.34... of Principles Traveltime § 785.34 Effect of section 4 of the Portal-to-Portal Act. The Portal Act... employee and activities that are incidental to the use of such vehicle for commuting are not considered...
29 CFR 785.34 - Effect of section 4 of the Portal-to-Portal Act.
Code of Federal Regulations, 2012 CFR
2012-07-01
... 29 Labor 3 2012-07-01 2012-07-01 false Effect of section 4 of the Portal-to-Portal Act. 785.34... of Principles Traveltime § 785.34 Effect of section 4 of the Portal-to-Portal Act. The Portal Act... employee and activities that are incidental to the use of such vehicle for commuting are not considered...
Biology of portal hypertension.
McConnell, Matthew; Iwakiri, Yasuko
2018-02-01
Portal hypertension develops as a result of increased intrahepatic vascular resistance often caused by chronic liver disease that leads to structural distortion by fibrosis, microvascular thrombosis, dysfunction of liver sinusoidal endothelial cells (LSECs), and hepatic stellate cell (HSC) activation. While the basic mechanisms of LSEC and HSC dysregulation have been extensively studied, the role of microvascular thrombosis and platelet function in the pathogenesis of portal hypertension remains to be clearly characterized. As a secondary event, portal hypertension results in splanchnic and systemic arterial vasodilation, leading to the development of a hyperdynamic circulatory syndrome and subsequently to clinically devastating complications including gastroesophageal varices and variceal hemorrhage, hepatic encephalopathy from the formation of portosystemic shunts, ascites, and renal failure due to the hepatorenal syndrome. This review article discusses: (1) mechanisms of sinusoidal portal hypertension, focusing on HSC and LSEC biology, pathological angiogenesis, and the role of microvascular thrombosis and platelets, (2) the mesenteric vasculature in portal hypertension, and (3) future directions for vascular biology research in portal hypertension.
Increasing Health Portal Utilization in Cardiac Ambulatory Patients: A Pilot Project.
Shaw, Carmen L; Casterline, Gayle L; Taylor, Dennis; Fogle, Maureen; Granger, Bradi
2017-10-01
Increasing health portal participation actively engages patients in their care and improves outcomes. The primary aim for this project was to increase patient health portal utilization. Nurses used a tablet-based demo to teach patients how to navigate the health portal. Assigning health videos to the portal was a tactic used to increase utilization. Each patient participant was surveyed about health portal utilization at initial nurse navigator appointment, day of procedure, and 30 days after discharge. Seventy-three percent (n = 14) of the 19 selected patients received the intervention; 36% (n = 4) of patients reported using a health portal feature; meaningful use metric preintervention increased from 12% to 16% after the intervention; 16% and 18% of patients viewed assigned videos in their health portal prior to procedure and after hospital discharge. Patients need a reason to access their health portal. Education alone is not enough to motivate patient portal use. Further research is needed to specify what tactics are required to motivate patients to use their health portals.
Positron-electron decay of 28Si at an excitation energy of 50 MeV
NASA Astrophysics Data System (ADS)
Buda, A.; Bacelar, J. C.; Balanda, A.; van der Ploeg, H.; Sujkowski, Z.; van der Woude, A.
1993-03-01
The electron-position pair decay of 28Si at 50 MeV excitation produced by the isospin T=0 (α + 24Mg) and the mixed isospin T=0,1 (3He + 25Mg) reactions has been studied using a special designed Positron-Electron pair spectrometer PEPSI.
2012-01-01
Background The radiation field on most megavoltage radiation therapy units are shown by a light field projected through the collimator by a light source mounted inside the collimator. The light field is traditionally used for patient alignment. Hence it is imperative that the light field is congruent with the radiation field. Method A simple quality assurance tool has been designed for rapid and simple test of the light field and radiation field using electronic portal images device (EPID) or computed radiography (CR). We tested this QA tool using Varian PortalVision and Elekta iViewGT EPID systems and Kodak CR system. Results Both the single and double exposure techniques were evaluated, with double exposure technique providing a better visualization of the light-radiation field markers. The light and radiation congruency could be detected within 1 mm. This will satisfy the American Association of Physicists in Medicine task group report number 142 recommendation of 2 mm tolerance. Conclusion The QA tool can be used with either an EPID or CR to provide a simple and rapid method to verify light and radiation field congruence. PMID:22452821
The experimental electron mean-free-path in Si under typical (S)TEM conditions.
Potapov, P L
2014-12-01
The electron mean-free-path in Si was measured by EELS using the test structure with the certified dimensions as a calibration standard. In a good agreement with the previous CBED measurements, the mean-free-path is 150nm for 200keV and 179nm for 300keV energy of primary electrons at large collection angles. These values are accurately predicted by the model of Iakoubovskii et al. while the model of Malis et al. incorporated in common microscopy software underestimates the mean-free-path by 15% at least. Correspondingly, the thickness of TEM samples reported in many studies of the Si-based materials last decades might be noticeably underestimated. Copyright © 2014 Elsevier B.V. All rights reserved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chen, Cheng; Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060; Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn
2016-08-01
We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to themore » fabrication of nanodevices and nanosurfaces.« less
Electron microscopy study of Ni induced crystallization in amorphous Si thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Radnóczi, G. Z.; Battistig, G.; Pécz, B., E-mail: pecz.bela@ttk.mta.hu
2015-02-17
The crystallization of amorphous silicon is studied by transmission electron microscopy. The effect of Ni on the crystallization is studied in a wide temperature range heating thinned samples in-situ inside the microscope. Two cases of limited Ni source and unlimited Ni source are studied and compared. NiSi{sub 2} phase started to form at a temperature as low as 250°C in the limited Ni source case. In-situ observation gives a clear view on the crystallization of silicon through small NiSi{sub 2} grain formation. The same phase is observed at the crystallization front in the unlimited Ni source case, where a secondmore » region is also observed with large grains of Ni{sub 3}Si{sub 2}. Low temperature experiments show, that long annealing of amorphous silicon at 410 °C already results in large crystallized Si regions due to the Ni induced crystallization.« less
Ballistic-Electron-Emission-Microscopy of Strained Si(sub 1-x)Ge(sub x) Layers
NASA Technical Reports Server (NTRS)
Bell, L. D.; Milliken, A. M.; Manion, S. J.; Kaiser, W. J.; Fathauer, R. W.; Pike, W. T.
1994-01-01
Ballistic-electron-emission microscopy (BEEM) has been used to investigate the effects of strain on Si(sub 1-x)Ge(sub x) alloys. Lifting of the degeneracy of the conduction-band minimum of Si(sub 1-x)Ge(sub x), due to lattice deformation has been directly measured by application of BEEM spectroscopy to Ag/Si structures. Experimental values for this conduction-band splitting agree well with calculations. In addition, an unexpected heterogeneity in the strain of the Si(sub 1-x)Ge(sub x) layer is introduced by deposition of Au. This effect, not observed with Ag, is attributed to species interdiffusion and has important implications for metal-semiconductor devices based oil pseudomorphic Si(sub 1-x)Ge(sub x)/Si material systems.
Gan, Zhaofeng; Perea, Daniel E.; Yoo, Jinkyoung; ...
2016-09-13
Doped Si-Ge nanowire (NW) heterojunctions were grown using the vapor-liquid-solid method with AuGa and Au catalyst particles. Transmission electron microscopy and off-axis electron holography (EH) were used to characterize the nanostructure and to measure the electrostatic potential profile across the junction resulting from electrically active dopants, while atom-probe tomography (APT) was used to determine the Si, Ge and total (active and inactive) dopant concentration profiles. A comparison of the measured potential profile with simulations indicated that Ga dopants unintentionally introduced during AuGa catalyst growth were electronically inactive despite APT results that showed considerable amounts of Ga in the Si region.more » 10% P in Ge and 100% B in Si were estimated to be activated, which was corroborated by in situ electron-holography biasing experiments. This combination of EH, APT, in situ biasing and simulations allows a better knowledge and understanding of the electrically active dopant distributions in NWs.« less
Modified Anterolateral Portals in Elbow Arthroscopy: A Cadaveric Study on Safety.
Thon, Stephen; Gold, Peter; Rush, Lane; O'Brien, Michael J; Savoie, Felix H
2017-11-01
To evaluate the proximity to the radial nerve on cadaveric specimens of 2 modified anterolateral portals used for elbow arthroscopy. Ten fresh cadaveric elbow specimens were prepared. Four-millimeter Steinman pins were inserted into 3 anterolateral portal sites in relation to the lateral epicondyle: (1) the standard distal anterolateral portal, (2) a modified direct anterolateral portal, and (3) a modified proximal anterolateral portal. These were defined as follows: direct portals 2 cm directly anterior to the lateral epicondyle, and proximal portals 2 cm proximal and 2 cm directly anterior to the lateral epicondyle. Each elbow was then dissected to reveal the course of the radial nerve. Digital photographs were taken of each specimen, and the distance from the Steinman pin to the radial nerve was measured. The modified proximal anterolateral and direct anterolateral portals were found to be a statistically significant distance from the radial nerve compare to the distal portal site (P = .011 and P = .0011, respectively). No significant difference was found in the proximity of the radial nerve between the modified proximal and direct anterolateral portals (P = .25). Inadequate imaging was found at a single portal site for the proximal site; 9 specimens were used for analysis of this portal with 10 complete specimens for the other 2 sites. In cadaveric analysis, both the modified proximal and direct lateral portals provide adequate distance from the radial nerve and may be safe for clinical use. In this study, the distal anterolateral portal was in close proximity of the radial nerve and may result in iatrogenic injury in the clinical setting. This is a cadaveric analysis of 2 modified portal locations at the anterolateral elbow for use in elbow arthroscopy. Further clinical studies are needed prior to determining their absolute safety in comparison to previously identified portal sites. Copyright © 2017 Arthroscopy Association of North America. Published by
NASA Astrophysics Data System (ADS)
Kiran, Boggavarapu; Li, Xi; Zhai, Hua-Jin; Wang, Lai-Sheng
2006-10-01
A single Au atom has been shown to behave like H in its bonding to Si in several mono- and disilicon gold clusters. In the current work, we investigate the Au /H analogy in trisilicon gold clusters, Si3Au3+/0/-. Photoelectron spectroscopy and density functional calculations are combined to examine the geometric and electronic structure of Si3Au3-. We find that there are three isomers competing for the ground state of Si3Au3- as is the case for Si3H3-. Extensive structural searches show that the potential energy surfaces of the trisilicon gold clusters (Si3Au3-, Si3Au3, and Si3Au3+) are similar to those of the corresponding silicon hydrides. The lowest energy isomers for Si3Au3- and Si3Au3 are structurally similar to a Si3Au four-membered ring serving as a common structural motif. For Si3Au3+, the 2π aromatic cyclotrisilenylium auride ion, analogous to the aromatic cyclotrisilenylium ion (Si3H3+), is the most stable species. Comparison of the structures and chemical bonding between Si3Au3+/0/- and the corresponding silicon hydrides further extends the isolobal analogy between Au and H.
Patient Portal Use and Blood Pressure Control in Newly Diagnosed Hypertension.
Manard, William; Scherrer, Jeffrey F; Salas, Joanne; Schneider, F David
2016-01-01
Current evidence that patient portal use improves disease management is inconclusive. Randomized controlled trials have found no benefit of Web-based patient-provider communication for blood pressure (BP) control, but patients from these studies were not selected for uncontrolled hypertension, nor did measures of portal use occur in a real-world setting, as captured in the electronic medical record. This study determined whether patient portal use by patients with treated, incident hypertension was associated with achieving BP control. Between 2008 to 2010, 1571 patients with an incident hypertension diagnosis, ages 21 to >89 years, were identified from an academic medical center primary care patient data registry. Cox proportional hazard models were computed to estimate the association between portal use and incident BP control during follow-up (2011-2015), before and after adjusting for covariates. Covariates included sociodemographics, smoking, obesity and other physical and mental health comorbidities, and volume of health care utilization. After adjusting for age, portal users were more likely than nonusers to achieve BP control (hazard ratio, 1.24; 95% confidence interval, 1.06-1.45). After adjustment for sociodemographics, portal use was no longer associated with BP control (hazard ratio, 0.98; 95% confidence interval, 0.83-1.16). Patient sociodemographic factors, including race, sex, and socioeconomic status, account for the observation that portal use leads to BP control among persons with newly diagnosed hypertension. Further research is warranted to determine whether there are benefits of portal use for other chronic conditions. © Copyright 2016 by the American Board of Family Medicine.
Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.
Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin
2012-12-14
GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.
NASA Astrophysics Data System (ADS)
Hsu, C. C.; Pao, C. W.; Chen, J. L.; Chen, C. L.; Dong, C. L.; Liu, Y. S.; Lee, J. F.; Chan, T. S.; Chang, C. L.; Kuo, Y. K.; Lue, C. S.
2014-05-01
We report the effects of Ge partial substitution for Si on local atomic and electronic structures of thermoelectric materials in binary compound cobalt monosilicides (\\text{CoSi}_{1-x}\\text{Ge}_{x}\\text{:}\\ 0 \\le x \\le 0.15 ). Correlations between local atomic/electronic structure and thermoelectric properties are investigated by means of X-ray absorption spectroscopy. The spectroscopic results indicate that as Ge is partially substituted onto Si sites at x \\le 0.05 , Co in CoSi1-xGex gains a certain amount of charge in its 3d orbitals. Contrarily, upon further replacing Si with Ge at x \\ge 0.05 , the Co 3d orbitals start to lose some of their charge. Notably, thermopower is strongly correlated with charge redistribution in the Co 3d orbital, and the observed charge transfer between Ge and Co is responsible for the variation of Co 3d occupancy number. In addition to Seebeck coefficient, which can be modified by tailoring the Co 3d states, local lattice disorder may also be beneficial in enhancing the thermoelectric properties. Extended X-ray absorption fine structure spectrum results further demonstrate that the lattice phonons can be enhanced by Ge doping, which results in the formation of the disordered Co-Co pair. Improvements in the thermoelectric properties are interpreted based on the variation of local atomic and electronic structure induced by lattice distortion through chemical substitution.
NASA Astrophysics Data System (ADS)
Nejati, Kamellia; Vessally, Esmail; Delir Kheirollahi Nezhad, Parvaneh; Mofid, Hadi; Bekhradnia, Ahmadreza
2017-12-01
Cathinone (CT) is a psychoactive drug which its abuse is linked to several deaths worldwide. Here, we investigated the electronic response of BC2N nanotubes to the CT drug, using density functional theory calculations. Our results indicate that the CT drug is adsorbed on the pristine tube from its -NH2 group with ad adsorption energy about -14.6 kcal/mol with no electronic response. To overcome this problem, we doped the tube with Al or Si atom. Both of the Al and Si dopants increase the tube sensitivity and strengthen the interaction. Our calculations demonstrate that despite the high sensitivity of the Al-doped BC2N nanotube to the CT drug, it suffers from a very long recovery time which makes it unsuitable for application in CT sensors. But the calculated recovery time for the Si-doped BC2N nanotube is predicted to be about 0.27 s, which is short and desirable. Also, we showed that the Si-doped tube can be used in the humidity condition and at the presence of some gases including H2, O2, N2, and CO2. It was concluded that Si-doped BC2N nanotubes may be promising candidate for application in the CT sensors which benefit form a short recovery time, high sensitivity, and selectivity.
Optical and electronic properties of SO2 molecule adsorbed on Si-doped (8, 0) boron nitride nanotube
NASA Astrophysics Data System (ADS)
Guo, Shuang-Shuang; Wei, Xiu-Mei; Zhang, Jian-Min; Zhu, Gang-Qiang; Guo, Wan-Jin
2016-09-01
The study of the optical properties of pristine BNNT, Si-doped BNNTs and SO2 molecule adsorption on Si-doped BNNTs is that, to our knowledge, few relevant research have ever been found. In this paper, the adsorption behaviors of Sulfur dioxide (SO2) molecule on Si-doped Boron nitride nanotubes (BNNTs) are investigated applying the first-principles calculations. The main contribution of this paper is that the foremost investigation for the optical properties of the pristine BNNT, Si-doped BNNTs and SO2 adsorption on Si-doped BNNTs. Additionally, the electronic properties and the structural properties are also presented. In our calculations of optical properties, the dielectric constant, the refractive index and the absorption coefficient are obtained. Comparing the pristine BNNT, our results indicate that, the blue-shifts (in the main peaks of the dielectric constant of SiB -BNNT and SO2-SiB -BNNT), and the red-shifts (in the main peaks of the refractive index of SiN -BNNT and SO2-SiN -BNNT) are appeared. Under these conditions, Si-doped BNNT and Si-doped BNNT with SO2 adsorption, the gaps are reduced both for the speculated optical band gaps and the electronic structure band gaps.
Case report: patient portal versus telephone recruitment for a surgical research study.
Baucom, R B; Ousley, J; Poulose, B K; Rosenbloom, S T; Jackson, G P
2014-01-01
Patient portal adoption has rapidly increased over the last decade. Most patient portal research has been done in primary care or medical specialties, and few studies have examined their use in surgical patients or for recruiting research subjects. No known studies have compared portal messaging with other approaches of recruitment. This case report describes our experience with patient portal versus telephone recruitment for a study involving long-term follow up of surgical patients. Participants were recruited for a study of recurrence after ventral hernia repair through telephone calls and patient portal messaging based on registration status with the portal. Potential subjects who did not have a portal account or whose portal messages were returned after 5 days were called. The proportion of participants enrolled with each method was determined and demographics of eligible patients, portal users, and participants were compared. 1359 patients were eligible for the hernia study, and enrollment was 35% (n=465). Most participants were recruited by telephone (84%, n=391); 16% (n=74) were recruited through portal messaging. Forty-four percent of eligible participants had a registered portal account, and 14% of users responded to the recruitment message. Portal users were younger than non-users (55 vs. 58 years, p<0.001); participants recruited through the portal versus telephone were also younger (54 vs. 59 years, p=0.001). Differences in the sex and racial distributions between users and non-users and between portal and telephone recruits were not significant. Portal versus telephone recruitment for a surgical research study demonstrated modest portal recruitment rates and similar demographics between recruitment methods. Published studies of portal-only recruitment in primary care or medical-specialty patient populations have demonstrated higher enrollment rates, but this case study demonstrates that portal recruitment for research studies in the surgical
The Monash Portal: More Than Just a Virtual Gateway.
ERIC Educational Resources Information Center
Kennedy, David; Webster, Len; Benson, Robyn; James, Di; Bailey, Nathan
The My Monash Portal (Portal) at Monash University (Australia) provides a virtual gateway to support student-centered flexible learning by coordinating several of the university's key resources to meet the needs of students and staff. The Portal is intended to help deliver innovative learning programs, foster opportunities to undertake research,…
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sontheimer, Tobias, E-mail: tobias.sontheimer@helmholtz-berlin.de; Schnegg, Alexander; Lips, Klaus
2013-11-07
By employing electron paramagnetic resonance spectroscopy, transmission electron microscopy, and optical measurements, we systematically correlate the structural and optical properties with the deep-level defect characteristics of various tailored periodic Si microhole arrays, which are manufactured in an easily scalable and versatile process on nanoimprinted sol-gel coated glass. While tapered microhole arrays in a structured base layer are characterized by partly nanocrystalline features, poor electronic quality with a defect concentration of 10{sup 17} cm{sup −3} and a high optical sub-band gap absorption, planar polycrystalline Si layers perforated with periodic arrays of tapered microholes are composed of a compact crystalline structure and amore » defect concentration in the low 10{sup 16} cm{sup −3} regime. The low defect concentration is equivalent to the one in planar state-of-the-art solid phase crystallized Si films and correlates with a low optical sub-band gap absorption. By complementing the experimental characterization with 3-dimensional finite element simulations, we provide the basis for a computer-aided approach for the low-cost fabrication of novel high-quality structures on large areas featuring tailored opto-electronic properties.« less
Learning from Public Television and the Web: Positioning Continuing Education as a Knowledge Portal.
ERIC Educational Resources Information Center
Vedro, Steven R.
1999-01-01
Digital convergence--the merging of television and computing--challenges localized monopolies of public television and continuing education. Continuing educators can reposition themselves in the electronic marketplace by serving as an educational portal, bringing their strengths of "brand recognition," local customer base, and access to…
SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.
Mastari, M; Charles, M; Bogumilowicz, Y; Thai, Q M; Pimenta-Barros, P; Argoud, M; Papon, A M; Gergaud, P; Landru, D; Kim, Y; Hartmann, J M
2018-07-06
In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was investigated in a 300 mm industrial reduced pressure-chemical vapour deposition tool. An integration scheme based on diblock copolymer patterning was used to fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars. Results showed highly selective and uniform processes for the epitaxial growth of Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano-pillars and characterised by atomic force microscopy, x-ray diffraction and transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation were obtained in the 650 °C-700 °C range for 2D SiGe layers grown either on Si or SiGe nano-pillars.
Evaluating Open Source Portals
ERIC Educational Resources Information Center
Goh, Dion; Luyt, Brendan; Chua, Alton; Yee, See-Yong; Poh, Kia-Ngoh; Ng, How-Yeu
2008-01-01
Portals have become indispensable for organizations of all types trying to establish themselves on the Web. Unfortunately, there have only been a few evaluative studies of portal software and even fewer of open source portal software. This study aims to add to the available literature in this important area by proposing and testing a checklist for…
Inflammation: a way to understanding the evolution of portal hypertension
Aller, María-Angeles; Arias, Jorge-Luis; Cruz, Arturo; Arias, Jaime
2007-01-01
Background Portal hypertension is a clinical syndrome that manifests as ascites, portosystemic encephalopathy and variceal hemorrhage, and these alterations often lead to death. Hypothesis Splanchnic and/or systemic responses to portal hypertension could have pathophysiological mechanisms similar to those involved in the post-traumatic inflammatory response. The splanchnic and systemic impairments produced throughout the evolution of experimental prehepatic portal hypertension could be considered to have an inflammatory origin. In portal vein ligated rats, portal hypertensive enteropathy, hepatic steatosis and portal hypertensive encephalopathy show phenotypes during their development that can be considered inflammatory, such as: ischemia-reperfusion (vasodilatory response), infiltration by inflammatory cells (mast cells) and bacteria (intestinal translocation of endotoxins and bacteria) and lastly, angiogenesis. Similar inflammatory phenotypes, worsened by chronic liver disease (with anti-oxidant and anti-enzymatic ability reduction) characterize the evolution of portal hypertension and its complications (hepatorenal syndrome, ascites and esophageal variceal hemorrhage) in humans. Conclusion Low-grade inflammation, related to prehepatic portal hypertension, switches to high-grade inflammation with the development of severe and life-threatening complications when associated with chronic liver disease. PMID:17999758
DOE Office of Scientific and Technical Information (OSTI.GOV)
Horowitz, Kelsey; Remo, Timothy; Reese, Samantha
Wide bandgap (WBG) semiconductor devices are increasingly being considered for use in certain power electronics applications, where they can improve efficiency, performance, footprint, and, potentially, total system cost compared to systems using traditional silicon (Si) devices. Silicon carbide (SiC) devices in particular -- which are currently more mature than other WBG devices -- are poised for growth in the coming years. Today, the manufacturing of SiC wafers is concentrated in the United States, and chip production is split roughly equally between the United States, Japan, and Europe. Established contract manufacturers located throughout Asia typically carry out manufacturing of WBG powermore » modules. We seek to understand how global manufacturing of SiC components may evolve over time by illustrating the regional cost drivers along the supply chain and providing an overview of other factors that influence where manufacturing is sited. We conduct this analysis for a particular case study where SiC devices are used in a medium-voltage motor drive.« less
From EGEE Operations Portal towards EGI Operations Portal
NASA Astrophysics Data System (ADS)
Cordier, Hélène; L'Orphelin, Cyril; Reynaud, Sylvain; Lequeux, Olivier; Loikkanen, Sinikka; Veyre, Pierre
Grid operators in EGEE have been using a dedicated dashboard as their central operational tool, stable and scalable for the last 5 years despite continuous upgrade from specifications by users, monitoring tools or data providers. In EGEE-III, recent regionalisation of operations led the Operations Portal developers to conceive a standalone instance of this tool. We will see how the dashboard reorganization paved the way for the re-engineering of the portal itself. The outcome is an easily deployable package customized with relevant information sources and specific decentralized operational requirements. This package is composed of a generic and scalable data access mechanism, Lavoisier; a renowned php framework for configuration flexibility, Symfony and a MySQL database. VO life cycle and operational information, EGEE broadcast and Downtime notifications are next for the major reorganization until all other key features of the Operations Portal are migrated to the framework. Features specifications will be sketched at the same time to adapt to EGI requirements and to upgrade. Future work on feature regionalisation, on new advanced features or strategy planning will be tracked in EGI- Inspire through the Operations Tools Advisory Group, OTAG, where all users, customers and third parties of the Operations Portal are represented from January 2010.
Gender Linked Metric Analysis of Portal Vein: A Sonographic Appraisal.
Singh, Shikha; Pankaj, Arvind Kumar; Rani, Anita; Sharma, Pradeep Kumar; Chauhan, Puja
2017-03-01
Portal hypertension is one of the most mystifying and disconcerting abdominal ailment. Ultrasonography (USG) is an effective diagnostic tool for its prompt management. Knowledge of normal calibre of portal vein in a local setting is essential as literature reports contrasting values in different regions. It helps in early diagnosis of portal hypertension even before it is clinically manifested thereby assisting clinicians and interventional radiologists in pertinent management. Study was aimed to evaluate the Portal Vein Diameter (PVD) and find its correlation with gender by using USG in North Indian population. A total of 300 healthy adults were included in the study. Portal vein diameter was measured in supine position and normal respiration by grey scale USG. The portal vein diameter was correlated with age and gender statistically using independent Student's t-test and ANOVA. Mean PVD of (9.49±1.03 mm) was observed in the present cross-sectional study. Male showed a significantly higher mean PVD (9.70±1.02 mm) as compared to females (9.10±0.94 mm). Scarcity of information concerning ultrasonographically measured standard portal vein diameter and inconstant values reported in literature necessitates the need for establishing local standard value. In the given subset of population the portal vein diameter was influenced by the gender. The information will be helpful in prompt diagnosis and management of portal hypertension.
Reliability in endoscopic diagnosis of portal hypertensive gastropathy
de Macedo, George Fred Soares; Ferreira, Fabio Gonçalves; Ribeiro, Maurício Alves; Szutan, Luiz Arnaldo; Assef, Mauricio Saab; Rossini, Lucio Giovanni Battista
2013-01-01
AIM: To analyze reliability among endoscopists in diagnosing portal hypertensive gastropathy (PHG) and to determine which criteria from the most utilized classifications are the most suitable. METHODS: From January to July 2009, in an academic quaternary referral center at Santa Casa of São Paulo Endoscopy Service, Brazil, we performed this single-center prospective study. In this period, we included 100 patients, including 50 sequential patients who had portal hypertension of various etiologies; who were previously diagnosed based on clinical, laboratory and imaging exams; and who presented with esophageal varices. In addition, our study included 50 sequential patients who had dyspeptic symptoms and were referred for upper digestive endoscopy without portal hypertension. All subjects underwent upper digestive endoscopy, and the images of the exam were digitally recorded. Five endoscopists with more than 15 years of experience answered an electronic questionnaire, which included endoscopic criteria from the 3 most commonly used Portal Hypertensive Gastropathy classifications (McCormack, NIEC and Baveno) and the presence of elevated or flat antral erosive gastritis. All five endoscopists were blinded to the patients’ clinical information, and all images of varices were deliberately excluded for the analysis. RESULTS: The three most common etiologies of portal hypertension were schistosomiasis (36%), alcoholic cirrhosis (20%) and viral cirrhosis (14%). Of the 50 patients with portal hypertension, 84% were Child A, 12% were Child B, 4% were Child C, 64% exhibited previous variceal bleeding and 66% were previously endoscopic treated. The endoscopic parameters, presence or absence of mosaic-like pattern, red point lesions and cherry-red spots were associated with high inter-observer reliability and high specificity for diagnosing Portal Hypertensive Gastropathy. Sensitivity, specificity and reliability for the diagnosis of PHG (%) were as follows: mosaic-like pattern
Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP
NASA Technical Reports Server (NTRS)
Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.
1990-01-01
Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.
Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.
Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo
2013-09-01
We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.
Portal hypertension and hypersplenism in extrahepatic portal venous obstruction: Are they related?
Kilambi, Ragini; Singh, Anand Narayan; Madhusudhan, Kumble Seetharama; Pal, Sujoy; Saxena, Renu; Shalimar; Dash, Nihar Ranjan; Sahni, Peush
2018-06-23
Portal hypertension (PHT) due to extrahepatic portal venous obstruction (EHPVO) is common in developing countries. Hypersplenism is a near-constant feature of EHPVO, but its significance, unlike in cirrhotics, is unknown. We aimed to study the relationship between hypersplenism and the severity of PHT in patients with EHPVO. This prospective study was done at a tertiary care center from January 2014 to August 2015. All patients with EHPVO who underwent a splenectomy and a shunt or devascularization were included. Data regarding clinical profile, preoperative parameters, and intraoperative details were recorded. The correlation was studied between hypersplenism and the intraoperatively measured portal pressures and markers of PHT. Of the 40 patients studied (mean [SD] age 22.4 [8.4] years), hematological hypersplenism was present in 39 (97.5%). The mean (SD) hemoglobin, total leukocyte counts (TLC), and platelet counts were 9.9 (2.4) g/dL, 2971 (1239) cells/mm 3 , and 66,400 (32047) cells/mm 3 , respectively. The mean (SD) sonographic spleen volume (SV), splenic weight, and intraoperative portal pressure were 1084.7 (553.9) cm 3 , 1088.7 (454.7) g, and 35.6 (5.1) mmHg, respectively. The TLC and platelet counts correlated inversely with the portal pressure. Additionally, the platelet counts correlated negatively with eradicated variceal status, SV, and weight; hemoglobin with SV and weight; and TLC with SV. Multivariate analysis showed the platelet counts were an independent predictor of portal pressures and platelet counts ≤ 53,500 cells/mm 3 indicated significantly high portal pressures. The platelet counts showed a significant inverse correlation with portal pressures in patients with EHPVO and may be used as surrogate markers of PHT. A platelet count ≤ 53,500 cells/mm 3 is predictive of significantly high pressures.
NASA Astrophysics Data System (ADS)
Majumder, Chiranjib; Kulshreshtha, S. K.
2004-12-01
Structural and electronic properties of metal-doped silicon clusters ( MSi10 , M=Li , Be, B, C, Na, Mg, Al, and Si) have been investigated via ab initio molecular dynamics simulation under the formalism of the density functional theory. The exchange-correlation energy has been calculated using the generalized gradient approximation method. Several stable isomers of MSi10 clusters have been identified based on different initial configurations and their relative stabilities have been analyzed. From the results it is revealed that the location of the impurity atom depends on the nature of interaction between the impurity atom and the host cluster and the size of the impurty atom. Whereas Be and B atoms form stable isomers, the impurity atom being placed at the center of the bicapped tetragonal antiprism structure of the Si10 cluster, all other elements diffuse outside the cage of Si10 cluster. Further, to understand the stability and the chemical bonding, the LCAO-MO based all electron calculations have been carried out for the lowest energy isomers using the hybrid B3LYP energy functional. Based on the interaction energy of the M atoms with Si10 clusters it is found that p-p interaction dominates over the s-p interaction and smaller size atoms interact more strongly. Based on the binding energy, the relative stability of MSi10 clusters is found to follow the order of CSi10>BSi10>BeSi10>Si11>AlSi10>LiSi10>NaSi10>MgSi10 , leading one to infer that while the substitution of C, B and Be enhances the stability of the Si11 cluster, others have an opposite effect. The extra stability of the BeSi10 clusters is due to its encapsulated close packed structure and large energy gap between the HOMO and LUMO energy levels.
Kruse, L.W.
1982-03-23
A portal radiation monitor combines .1% FAR with high sensitivity to special nuclear material. The monitor utilizes pulse shape discrimination, dynamic compression of the photomultiplier output and scintillators sized to maintain efficiency over the entire portal area.
NASA Astrophysics Data System (ADS)
Samanta, Piyas
2017-10-01
The conduction mechanism of gate leakage current through thermally grown silicon dioxide (SiO2) films on (100) p-type silicon has been investigated in detail under negative bias on the degenerately doped n-type polysilicon (n+-polySi) gate. The analysis utilizes the measured gate current density J G at high oxide fields E ox in 5.4 to 12 nm thick SiO2 films between 25 and 300 °C. The leakage current measured up to 300 °C was due to Fowler-Nordheim (FN) tunneling of electrons from the accumulated n +-polySi gate in conjunction with Poole Frenkel (PF) emission of trapped-electrons from the electron traps located at energy levels ranging from 0.6 to 1.12 eV (depending on the oxide thickness) below the SiO2 conduction band (CB). It was observed that PF emission current I PF dominates FN electron tunneling current I FN at oxide electric fields E ox between 6 and 10 MV/cm and throughout the temperature range studied here. Understanding of the mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown (TDDB) of metaloxide-semiconductor (MOS) devices and to precisely predict the normal operating field or applied gate voltage for lifetime projection of the MOS integrated circuits.
Portal Connecting Dark Photons and Axions.
Kaneta, Kunio; Lee, Hye-Sung; Yun, Seokhoon
2017-03-10
The dark photon and the axion (or axionlike particle) are popular light particles of the hidden sector. Each of them has been actively searched for through the couplings called the vector portal and the axion portal. We introduce a new portal connecting the dark photon and the axion (axion-photon-dark photon, axion-dark photon-dark photon), which emerges in the presence of the two particles. This dark axion portal is genuinely new couplings, not just from a product of the vector portal and the axion portal, because of the internal structure of these couplings. We present a simple model that realizes the dark axion portal and discuss why it warrants a rich phenomenology.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kuznetsov, Vladimir L.; Vai, Alex T.; Edwards, Peter P., E-mail: peter.edwards@chem.ox.ac.uk
2015-12-07
Highly conducting (ρ = 3.9 × 10{sup −4} Ωcm) and transparent (83%) polycrystalline Si-doped ZnO (SiZO) thin films have been deposited onto borosilicate glass substrates by pulsed laser deposition from (ZnO){sub 1−x}(SiO{sub 2}){sub x} (0 ≤ x ≤ 0.05) ceramic targets prepared using a sol-gel technique. Along with their structural, chemical, and optical properties, the electronic transport within these SiZO samples has been investigated as a function of silicon doping level and temperature. Measurements made between 80 and 350 K reveal an almost temperature-independent carrier concentration consistent with degenerate metallic conduction in all of these samples. The temperature-dependent Hall mobility has been modeled by considering the varying contribution of grainmore » boundary and electron-phonon scattering in samples with different nominal silicon concentrations.« less
SU-F-T-283: A Novel Device to Enable Portal Dosimetry for Flattening Filter Free Beams
DOE Office of Scientific and Technical Information (OSTI.GOV)
Faught, A; Wu, Q; Adamson, J
Purpose: Varian’s electronic portal imaging device (EPID) based portal dosimetry tool is a popular and effective means of performing IMRT QA. EPIDs for older models of the TrueBeam accelerator utilize a 40cmx30cm Image Detection Unit (IDU) that saturates at the center for standard source to imager distances with high dose rate flattening filter free (FFF) beams. This makes portal dosimetry not possible and an alternative means of IMRT QA necessary. We developed a filter that would attenuate the beam to a dose rate measureable by the IDU for portal dosimetry IMRT QA. Methods: Multipurpose 304 stainless steel plates were placedmore » on an accessory tray to attenuate the beam. Profiles of an open field measured on the IDU were acquired with varying number of plates to assess the thickness needed to reduce the maximum dose rates of 6XFFF and 10XFFF beams to measurable levels. A new portal dose image prediction (PDIP) model was commissioned based on open field measurements with plates in position, and a modified beam profile was input to portal dosimetry calibration at the console to empirically correct for attenuation and scatter. The portal dosimetry tool was used to assess agreement between predicted and measured doses for open 25×25cm{sup 2} fields and intensity modulated fields using 6XFFF and 10XFFF beams. Results: Thicknesses of 2.5cm and 3.8cm of steel were required to reduce the highest dose rates to a measureable level for 6XFFF and 10XFFF, respectively. Gamma analysis using a 3%/3mm relative criterion with the filter in place and using the new PDIP model resulted in 98.2% and 93.6% of pixels passing while intensity modulated fields showed passing rates of 98.2% and 99.0%. Conclusion: Use of the filter allows for portal dosimetry to be used for IMRT QA of FFF plans in place of purchasing a second option for IMRT QA.« less
Knowledge portal: a tool to capture university requirements
NASA Astrophysics Data System (ADS)
Mansourvar, Marjan; Binti Mohd Yasin, Norizan
2011-10-01
New technologies, especially, the Internet have made a huge impact on knowledge management and information dissemination in education. The web portal as a knowledge management system is very popular topics in many organizations including universities. Generally, a web portal defines as a gateway to online network accessible resources through the intranet, extranet or Internet. This study develops a knowledge portal for the students in the Faculty of Computer Science and Information Technology (FCSIT), University of Malaya (UM). The goals of this portal are to provide information for the students to help them to choose the right courses and major that are relevant to their intended future jobs or career in IT. A quantitative approach used as the selected method for this research. Quantitative method provides an easy and useful way to collect data from a large sample population.
Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)
NASA Astrophysics Data System (ADS)
Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.
2000-09-01
This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.
Kruse, Lyle W.
1985-01-01
A portal radiation monitor combines 0.1% FAR with high sensitivity to special nuclear material. The monitor utilizes pulse shape discrimination, dynamic compression of the photomultiplier output and scintillators sized to maintain efficiency over the entire portal area.
NASA Astrophysics Data System (ADS)
Briggs, P. J.; Walker, A. B.; Herbert, D. C.
1998-05-01
A one-dimensional self-consistent bipolar Monte Carlo simulation code has been used to model carrier mobilities in strained doped SiGe and the base-collector region of Si/SiGe/Si and SiC/Si heterojunction bipolar transistors (HBTs) with wide collectors, to study the variation of the cutoff frequency 0268-1242/13/5/005/img6 with collector current density 0268-1242/13/5/005/img7. Our results show that while the presence of strain enhances the electron mobility, the scattering from alloy disorder and from ionized impurities reduces the electron mobility so much that it is less than that of Si at the same doping level, leading to larger base transit times 0268-1242/13/5/005/img8 and hence poorer 0268-1242/13/5/005/img6 performance for large 0268-1242/13/5/005/img7 for an Si/SiGe/Si HBT than for an SiC/Si HBT. At high values of 0268-1242/13/5/005/img7, we demonstrate the formation of a parasitic electron barrier at the base-collector interface which causes a sharp increase in 0268-1242/13/5/005/img8 and hence a dramatic reduction in 0268-1242/13/5/005/img6. Based on a comparison of the height of this parasitic barrier with estimates from an analytical model, we suggest a physical mechanism for base pushout after barrier formation that differs somewhat from that given for the analytical model.
Elevation, west portal. Sign on portal reads Watson Mill Bridge, ...
Elevation, west portal. Sign on portal reads Watson Mill Bridge, est. 1885. - Watson Mill Bridge, Spanning South Fork Broad River, Watson Mill Road, Watson Mill Bridge State Park, Comer, Madison County, GA
Insulators obtained by electron cyclotron resonance plasmas on Si or GaAs
DOE Office of Scientific and Technical Information (OSTI.GOV)
Diniz, J.A.; Doi, I.; Swart, J.W
2003-03-15
Silicon oxynitride (SiO{sub x}N{sub y}) and nitride (SiN{sub x}) insulators have been deposited or grown (with or without silane in the gas mixture, respectively) by electron cyclotron resonance (ECR) plasmas on Si and/or GaAs substrates at room temperature (20 deg. C) and low pressures (up to 10 mTorr). Chemical bonding characteristics of the SiO{sub x}N{sub y} and SiN{sub x} films were evaluated using Fourier transform infrared spectrometry (FTIR). The profile measurements determined the film thickness, the deposition (or oxidation) rate and the etch rates in buffered HF (BHF). The refractive indexes and the thicknesses were determined by ellipsometry. The effectivemore » interface charge densities were determined by capacitance-voltage (C-V) measurements. With these processes and analyses, different films were obtained and optimized. Suitable gate insulators for metal-insulator-semiconductor (MIS) devices with low interface charge densities were developed: (a) SiN{sub x} films deposited by ECR-chemical vapor deposition (ECR-PECVD) on GaAs substrates; (b) SiO{sub x}N{sub y} insulators obtained by low-energy molecular nitrogen ion ({sup 28}N{sub 2}{sup +}) implantation (energy of 5 keV and dose of 1x10{sup 15}/cm{sup 2}) in Si substrates prior to high-density O{sub 2} ECR plasma oxidation; and (c) SiO{sub x}N{sub y} insulators grown (without silane in the gas mixture) by O{sub 2}/N{sub 2}/Ar ECR plasma 'oxynitridation'. Furthermore, some SiN{sub x} films also present very good masking characteristics for local oxidation of silicon process.« less
Lateral Knee Compartment Portals: A Cadaveric Study Defining a Posterolateral Viewing Safety Zone.
Dilworth, Brian; Fehrenbacher, Victor; Nyland, John; Clark, Jamie; Greene, Joseph W
2018-04-12
This study attempted to define a reproducible "safe zone" based on extra- and intra-articular knee anatomy for placing one or 2 accessory portals in the lateral tibiofemoral compartment for posterolateral region viewing. Standard portals were created in 10 cadaveric knees to enable posterolateral region arthroscopic lateral tibiofemoral joint compartment viewing. After identifying the lateral knee surface tissue "soft spot," an accessory posterolateral portal (A) was created using an 18-gauge spinal needle and 4-mm cannula under direct visualization of a 70° arthroscope through the anteromedial portal. A second accessory portal (B) was then created 1 cm posterior and 1 cm superior to portal A. Accessory portal locations were measured relative to capsular fold and popliteus tendon locations. Distances from the peroneal nerve, lateral collateral ligament, popliteus tendon, and the biceps tendon were determined. Statistical analysis compared portal location differences from key anatomical structures (P < .05). Accessory portal A (mean ± 95% confidence interval) was located 8.8 ± 2.7 mm from the popliteus tendon, 11.6 ± 2.7 mm from the lateral collateral ligament (LCL), 26.8 ± 2.3 mm from the peroneal nerve, and 4.9 ± 2.5 mm from the biceps tendon. Accessory portal B was located 17.3 ± 2.8 mm from the popliteus tendon, 20 ± 2.8 mm from the LCL, 30.3 ± 3.3 mm from the peroneal nerve, and 7.0 ± 4.8 mm from the biceps tendon. Accessory portal B was located a greater distance from the LCL and the popliteus tendon than portal A (P < .0001). Using intra- and extra-articular anatomic landmarks, both accessory portals could be safely placed in the lateral tibiofemoral joint compartment to enable posterolateral region viewing. Accessory portals used individually or in combination may enable easier posterolateral region viewing for arthroscopic repair of lateral tibiofemoral compartment structures. Lateral tibiofemoral compartment portals can be safely
6. Detail, vertical guides adjacent to east portal of Tunnel ...
6. Detail, vertical guides adjacent to east portal of Tunnel 28, view to southwest, 135mm lens with electronic flash fill. - Central Pacific Transcontinental Railroad, Tunnel No. 28, Milepost 134.75, Applegate, Placer County, CA
NASA Technical Reports Server (NTRS)
Neudeck, Philip G.
1998-01-01
Silicon carbide (SiC)-based semiconductor electronic devices and circuits are presently being developed for use in high-temperature, high-power, and/or high-radiation conditions under which conventional semiconductors cannot adequately perform. Silicon carbide's ability to function under such extreme conditions is expected to enable significant improvements to a far-ranging variety of applications and systems. These range from greatly improved high-voltage switching [1- 4] for energy savings in public electric power distribution and electric motor drives to more powerful microwave electronics for radar and communications [5-7] to sensors and controls for cleaner-burning more fuel-efficient jet aircraft and automobile engines. In the particular area of power devices, theoretical appraisals have indicated that SiC power MOSFET's and diode rectifiers would operate over higher voltage and temperature ranges, have superior switching characteristics, and yet have die sizes nearly 20 times smaller than correspondingly rated silicon-based devices [8]. However, these tremendous theoretical advantages have yet to be realized in experimental SiC devices, primarily due to the fact that SiC's relatively immature crystal growth and device fabrication technologies are not yet sufficiently developed to the degree required for reliable incorporation into most electronic systems [9]. This chapter briefly surveys the SiC semiconductor electronics technology. In particular, the differences (both good and bad) between SiC electronics technology and well-known silicon VLSI technology are highlighted. Projected performance benefits of SiC electronics are highlighted for several large-scale applications. Key crystal growth and device-fabrication issues that presently limit the performance and capability of high temperature and/or high power SiC electronics are identified.
Establishment of a reversible model of prehepatic portal hypertension in rats.
Zhao, Xin; Dou, Jian; Gao, Qing-Jun
2016-08-01
The aim of the present study was to improve upon the traditional model of pre-hepatic portal hypertension in rats, and simulate the anhepatic phase of orthotopic liver transplantation without veno-venous bypass. A reversible model of portal hypertension was induced by portal vein ligation, with a label ring ligated along the portal vein. A total of 135 male Wistar rats were divided into three groups: i) Normal control (NC) group; ii) portal hypertensive control (PHTC) group; and iii) reperfusion (R) group. In the R group, rats with portal hypertension underwent simultaneous clamping of the portal triad and retrohepatic vena cava for 1 h, followed by removal of the clamps to enable blood reperfusion. Portal venography and portal vein pressure were recorded during the surgery. Arterial oxygen pressure (PaO 2 ), and alanine aminotransferase (ALT), aspartate aminotransferase (AST) and total bilirubin (TBil) levels were determined, and pathological changes of the liver were investigated by immunohistochemical staining. The results demonstrated that, 3 weeks after portal vein ligation, the vein area and the free portal pressures in the PHTC group were significantly increased compared with those in the NC group. The serum ALT and AST levels in the R group at different time points were significantly elevated compared with those in the PHTC group, and reached their maximal levels at 24 h after reperfusion. Furthermore, the PaO 2 at 24 h after reperfusion was significantly decreased. In conclusion, the reversible model of pre-hepatic portal hypertension in rats was successfully established using the introduction of a label ring. This model may be useful for basic research focusing on the anhepatic phase of orthotopic liver transplantation without veno-venous bypass.
Building a Smart Portal for Astronomy
NASA Astrophysics Data System (ADS)
Derriere, S.; Boch, T.
2011-07-01
The development of a portal for accessing astronomical resources is not an easy task. The ever-increasing complexity of the data products can result in very complex user interfaces, requiring a lot of effort and learning from the user in order to perform searches. This is often a design choice, where the user must explicitly set many constraints, while the portal search logic remains simple. We investigated a different approach, where the query interface is kept as simple as possible (ideally, a simple text field, like for Google search), and the search logic is made much more complex to interpret the query in a relevant manner. We will present the implications of this approach in terms of interpretation and categorization of the query parameters (related to astronomical vocabularies), translation (mapping) of these concepts into the portal components metadata, identification of query schemes and use cases matching the input parameters, and delivery of query results to the user.
Congenital absence of the portal vein in a cat.
Holloway, Andrew; Groot, Louise; van der Schaaf, Klaartje
2018-01-01
A 9-month-old female neutered domestic shorthair cat presented with a history of episodic ptyalism, lethargy and abnormal behaviour. The clinical signs together with elevated pre- and post-prandial bile acid concentrations were consistent with hepatic encephalopathy (HE). In the absence of a portosystemic shunt (PSS) on abdominal ultrasound, medical management of HE was established with a protein-restricted diet and lactulose and the neurological signs resolved. Following an episode of acute vomiting and haemorrhagic diarrhoea at 19 months of age abdominal ultrasonography was repeated. The portal vein could not be demonstrated ultrasonographically; instead, portal vein tributaries were tortuous and communicated with the caudal vena cava (CdVC) at the level of the left kidney. CT angiography (CTA) confirmed the absence of the portal vein. CTA demonstrated the tortuous terminations of the portal tributaries, and several systemic veins, draining into the CdVC via a large-diameter paracaval vessel at the level of the left kidney. Gastrointestinal signs were stabilised and medical management for HE of a protein-restricted diet and lactulose was re-established. Congenital absence of the portal vein has not been described previously in the cat and should be considered in cats presenting with signs suggestive of a PSS and HE. The portal vein in the cat can be demonstrated using ultrasound, but complex congenital vascular malformations of the portal or systemic abdominal veins should be characterised using CTA and further distinguished from other vascular anomalies that may present with similar ultrasonographic features.
Surgery for portal hypertension in children: A 12-year review.
Patel, N; Grieve, A; Hiddema, J; Botha, J; Loveland, J
2017-11-06
Portal hypertension is a common and potentially devastating condition in children. Notwithstanding advances in the nonsurgical management of portal hypertension, surgery remains an important treatment modality in select patients. We report here on our experience in the past 12 years. To describe the profile of, indication for, and complications of shunt surgery in children with portal hypertension. Twelve children underwent shunt surgery between 2005 and 2017. Patient records were reviewed. Fourteen procedures were performed on 12 patients during the study period. The median age at surgery was 6.5 (range 1 - 18) years. Six patients were male. Gastrointestinal bleeding that was not amenable to endoscopic control was the most common indication for surgery. Portal vein thrombosis was the most common cause of portal hypertension in our series (n=11). Two-thirds (8/12) of all patients had an identifiable underlying risk factor for portal vein thrombosis. One-third of all patients (4/12) underwent a meso-portal bypass procedure (Rex shunt), while 58% (7/12) were managed with a distal splenorenal shunt. All patients received postoperative thromboprophylaxis. We experienced a single mortality, 1 patient experienced shunt thrombosis that required revision shunt surgery, and 2 patients experienced anastomotic strictures, with one being managed with revision surgery and the other currently awaiting radiological venoplasty. Surgery is a safe and important tool in the management of children with non-cirrhotic portal hypertension and those with sufficient hepatic reserve who fail to respond to more conservative methods for the treatment of side effects of portal hypertension.
Electronic structure and optical properties of Si, Ge and diamond in the lonsdaleite phase.
De, Amrit; Pryor, Craig E
2014-01-29
Crystalline semiconductors may exist in different polytypic phases with significantly different electronic and optical properties. In this paper, we calculate the electronic structure and optical properties of diamond, Si and Ge in the lonsdaleite (hexagonal diamond) phase using a transferable model empirical pseudopotential method with spin–orbit interactions. We calculate their band structures and extract various relevant parameters. Differences between the cubic and hexagonal phases are highlighted by comparing their densities of states. While diamond and Si remain indirect gap semiconductors in the lonsdaleite phase, Ge transforms into a direct gap semiconductor with a much smaller bandgap. We also calculate complex dielectric functions for different optical polarizations and find strong optical anisotropy. We further provide expansion parameters for the dielectric functions in terms of Lorentz oscillators.
NASA Astrophysics Data System (ADS)
Benlamari, S.; Boukhtouta, M.; Taïri, L.; Meradji, H.; Amirouche, L.; Ghemid, S.
2018-03-01
Structural, electronic, optical, and thermal properties of ternary II-IV-V2 (BeSiSb2 and MgSiSb2) chalcopyrite semiconductors have been calculated using the full-potential linearized augmented plane wave scheme␣in the generalized gradient approximation. The optimized equilibrium structural parameters ( a, c, and u) are in good agreement with theoretical results obtained using other methods. The band structure and density of states reveal that BeSiSb2 has an indirect (Γ-Z) bandgap of about 0.61 eV, whereas MgSiSb2 has a direct (Γ-Γ) bandgap of 0.80 eV. The dielectric function, refractive index, and extinction coefficient were calculated to investigate the optical properties, revealing that BeSiSb2 and MgSiSb2 present very weak birefringence. The temperature dependence of the volume, bulk modulus, Debye temperature, and heat capacities ( C v and C p) was predicted using the quasiharmonic Debye model at different pressures. Significant differences in properties are observed at high pressure and high temperature. We predict that, at 300 K and 0 GPa, the heat capacity at constant volume C v, heat capacity at constant pressure C P, Debye temperature θ D, and Grüneisen parameter γ will be about 94.91 J/mol K, 98.52 J/mol K, 301.30 K, and 2.11 for BeSiSb2 and about 96.08 J/mol K, 100.47 J/mol K, 261.38 K, and 2.20 for MgSiSb2, respectively.
Infection as a Trigger for Portal Hypertension.
Steib, Christian J; Schewe, Julia; Gerbes, Alexander L
2015-01-01
Microbial infections are a relevant problem for patients with liver cirrhosis. Different types of bacteria are responsible for different kinds of infections: Escherichia coli and Klebsiella pneumoniae are frequently observed in spontaneous bacterial peritonitis or urinary tract infections, and Streptococcus pneumoniae and Mycoplasma pneumoniae in pulmonary infections. Mortality is up to 4-fold higher in infected patients with liver cirrhosis than in patients without infections. Key Messages: Infections in patients with liver cirrhosis are due to three major reasons: bacterial translocation, immune deficiency and an increased incidence of systemic infections. Nonparenchymal liver cells like Kupffer cells, sinusoidal endothelial cells and hepatic stellate cells are the first liver cells to come into contact with microbial products when systemic infection or bacterial translocation occurs. Kupffer cell (KC) activation by Toll-like receptor (TLR) agonists and endothelial sinusoidal dysfunction have been shown to be important mechanisms increasing portal pressure following intraperitoneal lipopolysaccharide pretreatment in cirrhotic rat livers. Reduced intrahepatic vasodilation and increased intrahepatic vasoconstriction are the relevant pathophysiological pathways. Thromboxane A2 and leukotriene (LT) C4/D4 have been identified as important vasoconstrictors. Accordingly, treatment with montelukast to inhibit the cysteinyl-LT1 receptor reduced portal pressure in cirrhotic rat livers. Clinical studies have demonstrated that activation of KCs, estimated by the amount of soluble CD163 in the blood, correlates with the risk for variceal bleeding. Additionally, intestinal decontamination with rifaximin in patients with alcohol-associated liver cirrhosis reduced the portal pressure and the risk for variceal bleeding. TLR activation of nonparenchymal liver cells by pathogens results in portal hypertension. This might explain the pathophysiologic correlation between microbial
7. Detail, machinery shed atop east portal of Tunnel 28, ...
7. Detail, machinery shed atop east portal of Tunnel 28, showing shaft and pulley system, 210mm lens with electronic flash fill. - Central Pacific Transcontinental Railroad, Tunnel No. 28, Milepost 134.75, Applegate, Placer County, CA
Monolithic Ge-on-Si lasers for large-scale electronic-photonic integration
NASA Astrophysics Data System (ADS)
Liu, Jifeng; Kimerling, Lionel C.; Michel, Jurgen
2012-09-01
A silicon-based monolithic laser source has long been envisioned as a key enabling component for large-scale electronic-photonic integration in future generations of high-performance computation and communication systems. In this paper we present a comprehensive review on the development of monolithic Ge-on-Si lasers for this application. Starting with a historical review of light emission from the direct gap transition of Ge dating back to the 1960s, we focus on the rapid progress in band-engineered Ge-on-Si lasers in the past five years after a nearly 30-year gap in this research field. Ge has become an interesting candidate for active devices in Si photonics in the past decade due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) processing. In 2007, we proposed combing tensile strain with n-type doping to compensate the energy difference between the direct and indirect band gap of Ge, thereby achieving net optical gain for CMOS-compatible diode lasers. Here we systematically present theoretical modeling, material growth methods, spontaneous emission, optical gain, and lasing under optical and electrical pumping from band-engineered Ge-on-Si, culminated by recently demonstrated electrically pumped Ge-on-Si lasers with >1 mW output in the communication wavelength window of 1500-1700 nm. The broad gain spectrum enables on-chip wavelength division multiplexing. A unique feature of band-engineered pseudo-direct gap Ge light emitters is that the emission intensity increases with temperature, exactly opposite to conventional direct gap semiconductor light-emitting devices. This extraordinary thermal anti-quenching behavior greatly facilitates monolithic integration on Si microchips where temperatures can reach up to 80 °C during operation. The same band-engineering approach can be extended to other pseudo-direct gap semiconductors, allowing us to achieve efficient light emission at wavelengths previously
Growth and characterization of cubic SiC single-crystal films on Si
NASA Technical Reports Server (NTRS)
Powell, J. Anthony; Matus, L. G.; Kuczmarski, Maria A.
1987-01-01
Morphological and electrical characterization results are presented for cubic SiC films grown by chemical vapor deposition on single-crystal Si substrates. The films, up to 40 microns thick, were characterized by optical microscopy, (SEM), (TEM), electron channeling, surface profilometry, and Hall measurements. A variety of morphological features observed on the SiC films are described. Electrical measurements showed a decrease in the electron mobility with increasing electron carrier concentration, similar to that observed in Si. Room-temperature electron mobilities up to 520 sq cm/V-s (at an electron carrier concentration of 5 x 10 to the 16th/cu cm) were measured. Finally, a number of parameters believed to be important in the growth process were investigated, and some discussion is given of their possible effects on the film characteristics.
Growth and characterization of cubic SiC single-crystal films on Si
NASA Astrophysics Data System (ADS)
Powell, J. Anthony; Matus, L. G.; Kuczmarski, Maria A.
1987-06-01
Morphological and electrical characterization results are presented for cubic SiC films grown by chemical vapor deposition on single-crystal Si substrates. The films, up to 40 microns thick, were characterized by optical microscopy, (SEM), (TEM), electron channeling, surface profilometry, and Hall measurements. A variety of morphological features observed on the SiC films are described. Electrical measurements showed a decrease in the electron mobility with increasing electron carrier concentration, similar to that observed in Si. Room-temperature electron mobilities up to 520 sq cm/V-s (at an electron carrier concentration of 5 x 10 to the 16th/cu cm) were measured. Finally, a number of parameters believed to be important in the growth process were investigated, and some discussion is given of their possible effects on the film characteristics.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hu, Y; Rottmann, J; Myronakis, M
2016-06-15
Purpose: The purpose of this study was to quantify the improvement in tumor tracking, with and without fiducial markers, afforded by employing a multi-layer (MLI) electronic portal imaging device (EPID) over the current state-of-the-art, single-layer, digital megavolt imager (DMI) architecture. Methods: An ideal observer signal-to-noise ratio (d’) approach was used to quantify the ability of an MLI EPID and a current, state-of-the-art DMI EPID to track lung tumors from the treatment beam’s-eye-view. Using each detector modulation transfer function (MTF) and noise power spectrum (NPS) as inputs, a detection task was employed with object functions describing simple three-dimensional Cartesian shapes (spheresmore » and cylinders). Marker-less tumor tracking algorithms often use texture discrimination to differentiate benign and malignant tissue. The performance of such algorithms is simulated by employing a discrimination task for the ideal observer, which measures the ability of a system to differentiate two image quantities. These were defined as the measured textures for benign and malignant lung tissue. Results: The NNPS of the MLI ∼25% of that of the DMI at the expense of decreased MTF at intermediate frequencies (0.25≤« less
Band-to-Band Tunneling-Dominated Thermo-Enhanced Field Electron Emission from p-Si/ZnO Nanoemitters.
Huang, Zhizhen; Huang, Yifeng; Xu, Ningsheng; Chen, Jun; She, Juncong; Deng, Shaozhi
2018-06-13
Thermo-enhancement is an effective way to achieve high performance field electron emitters, and enables the individually tuning on the emission current by temperature and the electron energy by voltage. The field emission current from metal or n-doped semiconductor emitter at a relatively lower temperature (i.e., < 1000 K) is less temperature sensitive due to the weak dependence of free electron density on temperature, while that from p-doped semiconductor emitter is restricted by its limited free electron density. Here, we developed full array of uniform individual p-Si/ZnO nanoemitters and demonstrated the strong thermo-enhanced field emission. The mechanism of forming uniform nanoemitters with well Si/ZnO mechanical joint in the nanotemplates was elucidated. No current saturation was observed in the thermo-enhanced field emission measurements. The emission current density showed about ten-time enhancement (from 1.31 to 12.11 mA/cm 2 at 60.6 MV/m) by increasing the temperature from 323 to 623 K. The distinctive performance did not agree with the interband excitation mechanism but well-fit to the band-to-band tunneling model. The strong thermo-enhancement was proposed to be benefit from the increase of band-to-band tunneling probability at the surface portion of the p-Si/ZnO nanojunction. This work provides promising cathode for portable X-ray tubes/panel, ionization vacuum gauges and low energy electron beam lithography, in where electron-dose control at a fixed energy is needed.
The Electronic View Box: a software tool for radiation therapy treatment verification.
Bosch, W R; Low, D A; Gerber, R L; Michalski, J M; Graham, M V; Perez, C A; Harms, W B; Purdy, J A
1995-01-01
We have developed a software tool for interactively verifying treatment plan implementation. The Electronic View Box (EVB) tool copies the paradigm of current practice but does so electronically. A portal image (online portal image or digitized port film) is displayed side by side with a prescription image (digitized simulator film or digitally reconstructed radiograph). The user can measure distances between features in prescription and portal images and "write" on the display, either to approve the image or to indicate required corrective actions. The EVB tool also provides several features not available in conventional verification practice using a light box. The EVB tool has been written in ANSI C using the X window system. The tool makes use of the Virtual Machine Platform and Foundation Library specifications of the NCI-sponsored Radiation Therapy Planning Tools Collaborative Working Group for portability into an arbitrary treatment planning system that conforms to these specifications. The present EVB tool is based on an earlier Verification Image Review tool, but with a substantial redesign of the user interface. A graphical user interface prototyping system was used in iteratively refining the tool layout to allow rapid modifications of the interface in response to user comments. Features of the EVB tool include 1) hierarchical selection of digital portal images based on physician name, patient name, and field identifier; 2) side-by-side presentation of prescription and portal images at equal magnification and orientation, and with independent grayscale controls; 3) "trace" facility for outlining anatomical structures; 4) "ruler" facility for measuring distances; 5) zoomed display of corresponding regions in both images; 6) image contrast enhancement; and 7) communication of portal image evaluation results (approval, block modification, repeat image acquisition, etc.). The EVB tool facilitates the rapid comparison of prescription and portal images and
Therapeutic approaches for portal biliopathy: A systematic review
Franceschet, Irene; Zanetto, Alberto; Ferrarese, Alberto; Burra, Patrizia; Senzolo, Marco
2016-01-01
Portal biliopathy (PB) is defined as the presence of biliary abnormalities in patients with non-cirrhotic/non-neoplastic extrahepatic portal vein obstruction (EHPVO) and portal cavernoma (PC). The pathogenesis of PB is due to ab extrinseco compression of bile ducts by PC and/or to ischemic damage secondary to an altered biliary vascularization in EHPVO and PC. Although asymptomatic biliary abnormalities can be frequently seen by magnetic resonance cholangiopancreatography in patients with PC (77%-100%), only a part of these (5%-38%) are symptomatic. Clinical presentation includes jaundice, cholangitis, cholecystitis, abdominal pain, and cholelithiasis. In this subset of patients is required a specific treatment. Different therapeutic approaches aimed to diminish portal hypertension and treat biliary strictures are available. In order to decompress PC, surgical porto-systemic shunt or transjugular intrahepatic porto-systemic shunt can be performed, and treatment on the biliary stenosis includes endoscopic (Endoscopic retrograde cholangiopancreatography with endoscopic sphincterotomy, balloon dilation, stone extraction, stent placement) and surgical (bilioenteric anastomosis, cholecystectomy) approaches. Definitive treatment of PB often requires multiple and combined interventions both on vascular and biliary system. Liver transplantation can be considered in patients with secondary biliary cirrhosis, recurrent cholangitis or unsuccessful control of portal hypertension. PMID:28018098
Electron irradiation response on Ge and Al-doped SiO 2 optical fibres
NASA Astrophysics Data System (ADS)
Yaakob, N. H.; Wagiran, H.; Hossain, I.; Ramli, A. T.; Bradley, D. A.; Hashim, S.; Ali, H.
2011-05-01
This paper describes the thermoluminescence response, sensitivity, stability and reproducibility of SiO 2 optical fibres with various electron energies and doses. The TL materials that comprise Al- and Ge-doped silica fibres were used in this experiment. The TL results are compared with those of the commercially available TLD-100. The doped SiO 2 optical fibres and TLD-100 are placed in a solid phantom and irradiated with 6, 9 and 12 MeV electron beams at doses ranging from 0.2 to 4.0 Gy using the LINAC at Hospital Sultan Ismail, Johor Bahru, Malaysia. It was found that the commercially available Al- and Ge-doped optical fibres have a linear dose-TL signal relationship. The intensity of TL response of Ge-doped fibre is markedly greater than that of the Al-doped fibre.
Abrupt GaP/Si hetero-interface using bistepped Si buffer
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.
We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer.more » Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.« less
Electronic structure of clathrates Bax@AlySi46-y ; thermoelectric devices
NASA Astrophysics Data System (ADS)
Eguchi, Haruki; Nagano, Takatoshi; Takenaka, Hiroyuki; Tsumuraya, Kazuo
2002-03-01
Clathrates have received much attention as a candidate of high performance thermoelectric devices. This is because they have a) low thermal conductivity due to rattle effect of the alkali or heavy alkali-earth metals such as Ba atoms in the cages of clusters of the clathrates, and b) adjustablity of the Fermi levels through replacement of frame Si atoms with acceptor Al atoms and addition of the cage atoms as donors. We present the dispersion curves with LDA and GGA approximations for the exchange correlation of electrons using the planewave based pseudopotential methods and predict the electronic properties of the clathrates.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yang, T. C.-J., E-mail: terry.yang@unsw.edu.au; Wu, L.; Lin, Z.
2014-08-04
Solid-state nucleation of Si nanocrystals in a SiO{sub 2} bilayered matrix was observed at temperatures as low as 450 °C. This was achieved by aberration corrected high-resolution transmission electron microscopy (HRTEM) with real-time in-situ heating up to 600 °C. This technique is a valuable characterization tool especially with the recent interest in Si nanostructures for light emitting devices, non-volatile memories, and third-generation photovoltaics which all typically require a heating step in their fabrication. The control of size, shape, and distribution of the Si nanocrystals are critical for these applications. This experimental study involves in-situ observation of the nucleation of Si nanocrystals inmore » a SiO{sub 2} bilayered matrix fabricated through radio frequency co-sputtering. The results show that the shapes of Si nanocrystals in amorphous SiO{sub 2} bilayered matrices are irregular and not spherical, in contrast to many claims in the literature. Furthermore, the Si nanocrystals are well confined within their layers by the amorphous SiO{sub 2}. This study demonstrates the potential of in-situ HRTEM as a tool to observe the real time nucleation of Si nanocrystals in a SiO{sub 2} bilayered matrix. Furthermore, ideas for improvements on this in-situ heating HRTEM technique are discussed.« less
Establishment of a reversible model of prehepatic portal hypertension in rats
Zhao, Xin; Dou, Jian; Gao, Qing-Jun
2016-01-01
The aim of the present study was to improve upon the traditional model of pre-hepatic portal hypertension in rats, and simulate the anhepatic phase of orthotopic liver transplantation without veno-venous bypass. A reversible model of portal hypertension was induced by portal vein ligation, with a label ring ligated along the portal vein. A total of 135 male Wistar rats were divided into three groups: i) Normal control (NC) group; ii) portal hypertensive control (PHTC) group; and iii) reperfusion (R) group. In the R group, rats with portal hypertension underwent simultaneous clamping of the portal triad and retrohepatic vena cava for 1 h, followed by removal of the clamps to enable blood reperfusion. Portal venography and portal vein pressure were recorded during the surgery. Arterial oxygen pressure (PaO2), and alanine aminotransferase (ALT), aspartate aminotransferase (AST) and total bilirubin (TBil) levels were determined, and pathological changes of the liver were investigated by immunohistochemical staining. The results demonstrated that, 3 weeks after portal vein ligation, the vein area and the free portal pressures in the PHTC group were significantly increased compared with those in the NC group. The serum ALT and AST levels in the R group at different time points were significantly elevated compared with those in the PHTC group, and reached their maximal levels at 24 h after reperfusion. Furthermore, the PaO2 at 24 h after reperfusion was significantly decreased. In conclusion, the reversible model of pre-hepatic portal hypertension in rats was successfully established using the introduction of a label ring. This model may be useful for basic research focusing on the anhepatic phase of orthotopic liver transplantation without veno-venous bypass. PMID:27446299
NASA Astrophysics Data System (ADS)
Choi, W. H.; Koh, H.; Rotenberg, E.; Yeom, H. W.
2007-02-01
Dense Pb overlayers on Si(111) are important as the wetting layer for anomalous Pb island growth as well as for their own complex “devil’s-staircase” phases. The electronic structures of dense Pb overlayers on Si(111) were investigated in detail by angle-resolved photoemission. Among the series of ordered phases found recently above one monolayer, the low-coverage 7×3 and the high-coverage 14×3 phases are studied; they are well ordered and form reproducibly in large areas. The band dispersions and Fermi surfaces of the two-dimensional (2D) electronic states of these overlayers are mapped out. A number of metallic surface-state bands are identified for both phases with complex Fermi contours. The basic features of the observed Fermi contours can be explained by overlapping 2D free-electron-like Fermi circles. This analysis reveals that the 2D electrons near the Fermi level of the 7×3 and 14×3 phases are mainly governed by strong 1×1 and 3×3 potentials, respectively. The origins of the 2D electronic states and their apparent Fermi surface shapes are discussed based on recent structure models.
4. West portal of Tunnel 5, view to the northwest ...
4. West portal of Tunnel 5, view to the northwest from within the mudshed, 135mm lens with electronic flash fill. - Southern Pacific Railroad Natron Cutoff, Tunnel 5, Milepost 545.2, McCredie Springs, Lane County, OR
Korngiebel, Diane M; West, Kathleen M; Burke, Wylie
2018-04-01
Test results for genetic conditions, such as Lynch Syndrome (LS), have traditionally been returned by genetic counselors or other providers who can explain results implications and provide psychosocial support. Returning genetic results through an Electronic Health Record's patient portal may increase the efficiency of returning results and could activate patient follow-up; however, stakeholder input is necessary to determine acceptability and appropriate implementation for LS. Twenty interviews were conducted with clinicians from six specialties involved in LS screening that represent a range of settings. Data were analyzed using directed content analysis and thematic analysis across content categories. Participants felt that patient portals could supplement personal calls, but the potential sensitive nature of LS screening results indicated the need for caution. Others felt that LS results could be returned through portals if there were clear explanations of the result, reputable additional information available within the portal, urging follow up confirmatory testing, and a referral to a genetics specialist. Patient portals were seen as helpful for prompting patient follow-up and providing resources to notify at-risk family members. There is potential for patient portals to return LS screening and other genetic results, however we raise several issues to resolve before implementation is warranted.
NASA Astrophysics Data System (ADS)
Jałochowski, M.; Kwapiński, T.; Łukasik, P.; Nita, P.; Kopciuszyński, M.
2016-07-01
Structural and electron transport properties of multiple Pb atomic chains fabricated on the Si(5 5 3)-Au surface are investigated using scanning tunneling spectroscopy, reflection high electron energy diffraction, angular resolved photoemission electron spectroscopy and in situ electrical resistance. The study shows that Pb atomic chains growth modulates the electron band structure of pristine Si(5 5 3)-Au surface and hence changes its sheet resistivity. Strong correlation between chains morphology, electron band structure and electron transport properties is found. To explain experimental findings a theoretical tight-binding model of multiple atomic chains interacting on effective substrate is proposed.
Coughlin, Steven S; Heboyan, Vahé; Young, Lufei; De Leo, Gianluca; Wilkins, Thad
2018-05-01
There has been increasing interest in the use of web portals by patients with type 2 diabetes mellitus (T2DM). Studies of web portal use by patients with pre-diabetes have not been reported. To plan studies of web portal use by adult clinic patients seen for pre-diabetes and T2DM at an academic medical center, we examined characteristics of those who had or had not registered for a web portal. Electronic records were reviewed to identify web portal registration by patients treated for pre-diabetes or T2DM by age, sex, race and ethnicity. A total of 866 patients with pre-diabetes and 2,376 patients with T2DM were seen in a family medicine outpatient clinic. About 41.5% of patients with pre-diabetes and 34.7% of those with T2DM had registered for the web portal. In logistic regression analysis, web portal registration among patients with T2DM was significantly associated with age 41-45 years, and with Hispanic ethnicity. Similar results were obtained for pre-diabetes except that the positive association with age 41-45 years and inverse association with Hispanic ethnicity were not statistically significant. Among patients with pre-diabetes or T2DM, Black men and Black women were less likely to have registered than their white counterparts. Patients who were aged 18-25 and >65 years were less likely to have registered for the web portal than those 26-65 years. Additional research is needed to identify portal design features that improve health outcomes for patients with pre-diabetes and T2DM and interventions that will increase use of patient portals by pre-diabetic and diabetic patients, especially among Black patients and older patients.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kim, Myungji; Kim, Hong Koo, E-mail: hkk@pitt.edu
2015-09-14
We report photodetection properties of a graphene/oxide/silicon capacitor structure with a nanoscale vacuum channel. The photogenerated two-dimensional electron gas (2DEG) inversion charges at SiO{sub 2}/Si interface are extracted out to air and transported along the void channel at low bias voltage (<5 V). A monolayer graphene, placed on top of SiO{sub 2} and suspended on the void channel, is utilized as a photon-transparent counter-electrode to the 2DEG layer and a collector electrode for the out-of-plane transported electrons, respectively. The photocurrent extracted through a void channel reveals high responsivity (1.0 A/W at 633 nm) as measured in a broad spectral range (325–1064 nm), especially demonstratingmore » a UV-enhanced performance (0.43 A/W responsivity and 384% internal quantum efficiency at 325 nm). The mechanisms underlying photocarrier generation, emission, and transport in a suspended-graphene/SiO{sub 2}/Si structure are proposed.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Carnevale, Santino D.; Deitz, Julia I.; Carlin, John A.
Electron channeling contrast imaging (ECCI) is used to characterize misfit dislocations in heteroepitaxial layers of GaP grown on Si(100) substrates. Electron channeling patterns serve as a guide to tilt and rotate sample orientation so that imaging can occur under specific diffraction conditions. This leads to the selective contrast of misfit dislocations depending on imaging conditions, confirmed by dynamical simulations, similar to using standard invisibility criteria in transmission electron microscopy (TEM). The onset and evolution of misfit dislocations in GaP films with varying thicknesses (30 to 250 nm) are studied. This application simultaneously reveals interesting information about misfit dislocations in GaP/Si layersmore » and demonstrates a specific measurement for which ECCI is preferable versus traditional plan-view TEM.« less
NASA Astrophysics Data System (ADS)
Miller, C. J.; Gasson, D.; Fuentes, E.
2007-10-01
The NOAO NVO Portal is a web application for one-stop discovery, analysis, and access to VO-compliant imaging data and services. The current release allows for GUI-based discovery of nearly a half million images from archives such as the NOAO Science Archive, the Hubble Space Telescope WFPC2 and ACS instruments, XMM-Newton, Chandra, and ESO's INT Wide-Field Survey, among others. The NOAO Portal allows users to view image metadata, footprint wire-frames, FITS image previews, and provides one-click access to science quality imaging data throughout the entire sky via the Firefox web browser (i.e., no applet or code to download). Users can stage images from multiple archives at the NOAO NVO Portal for quick and easy bulk downloads. The NOAO NVO Portal also provides simplified and direct access to VO analysis services, such as the WESIX catalog generation service. We highlight the features of the NOAO NVO Portal (http://nvo.noao.edu).
Congenital absence of the portal vein in a cat
Holloway, Andrew; Groot, Louise; van der Schaaf, Klaartje
2018-01-01
Case summary A 9-month-old female neutered domestic shorthair cat presented with a history of episodic ptyalism, lethargy and abnormal behaviour. The clinical signs together with elevated pre- and post-prandial bile acid concentrations were consistent with hepatic encephalopathy (HE). In the absence of a portosystemic shunt (PSS) on abdominal ultrasound, medical management of HE was established with a protein-restricted diet and lactulose and the neurological signs resolved. Following an episode of acute vomiting and haemorrhagic diarrhoea at 19 months of age abdominal ultrasonography was repeated. The portal vein could not be demonstrated ultrasonographically; instead, portal vein tributaries were tortuous and communicated with the caudal vena cava (CdVC) at the level of the left kidney. CT angiography (CTA) confirmed the absence of the portal vein. CTA demonstrated the tortuous terminations of the portal tributaries, and several systemic veins, draining into the CdVC via a large-diameter paracaval vessel at the level of the left kidney. Gastrointestinal signs were stabilised and medical management for HE of a protein-restricted diet and lactulose was re-established. Relevance and novel information Congenital absence of the portal vein has not been described previously in the cat and should be considered in cats presenting with signs suggestive of a PSS and HE. The portal vein in the cat can be demonstrated using ultrasound, but complex congenital vascular malformations of the portal or systemic abdominal veins should be characterised using CTA and further distinguished from other vascular anomalies that may present with similar ultrasonographic features. PMID:29372068
DOE Office of Scientific and Technical Information (OSTI.GOV)
Schoeters, Bob, E-mail: bob.schoeters@uantwerpen.be; IMEC, Kapeldreef 75, B-3001 Leuven; Leenaerts, Ortwin, E-mail: ortwin.leenaerts@uantwerpen.be
We perform first-principles calculations to investigate the preferred positions of B and P dopants, both neutral and in their preferred charge state, in Si and Si/SiO{sub 2} core-shell nanowires (NWs). In order to understand the observed trends in the formation energy, we isolate the different effects that determine these formation energies. By making the distinction between the unrelaxed and the relaxed formation energy, we separate the impact of the relaxation from that of the chemical environment. The unrelaxed formation energies are determined by three effects: (i) the effect of strain caused by size mismatch between the dopant and the hostmore » atoms, (ii) the local position of the band edges, and (iii) a screening effect. In the case of the SiNW (Si/SiO{sub 2} NW), these effects result in an increase of the formation energy away from the center (interface). The effect of relaxation depends on the relative size mismatch between the dopant and host atoms. A large size mismatch causes substantial relaxation that reduces the formation energy considerably, with the relaxation being more pronounced towards the edge of the wires. These effects explain the surface segregation of the B dopants in a SiNW, since the atomic relaxation induces a continuous drop of the formation energy towards the edge. However, for the P dopants, the formation energy starts to rise when moving from the center but drops to a minimum just next to the surface, indicating a different type of behavior. It also explains that the preferential location for B dopants in Si/SiO{sub 2} core-shell NWs is inside the oxide shell just next to the interface, whereas the P dopants prefer the positions next to the interface inside the Si core, which is in agreement with recent experiments. These preferred locations have an important impact on the electronic properties of these core-shell NWs. Our simulations indicate the possibility of hole gas formation when B segregates into the oxide shell.« less
Han, Dongdong; Tang, Rui; Wang, Liang; Li, Ang; Huang, Xin; Shen, Shan; Dong, Jiahong
2017-06-01
Portal vein thrombosis is a complication after liver transplantation and cavernous transformation of the portal vein (CTPV) is a result of portal vein thrombosis, with symptoms of portal hypertension revealed by an enhanced CT scan. Meso-Rex bypass is an artificial shunt connecting the left portal vein to the superior mesenteric vein and is mainly used for idiopathic cavernomas. This technique is also used for post-transplant portal vein thrombosis in pediatric patients thereby bypassing obstructed sites of the extrahepatic portal vein. Here we report about an adult patient who was treated by connecting the cystic part of the portal vein to the splenic vein instead of the superior mesenteric vein. An adult male patient with post-liver transplantation portal vein cavernous transformation suffered from hypersplenism and elevated hepatic enzymes. The last follow up revealed irregular and obvious hypersplenism, and splenomegaly had occurred, while an enhanced CT scan revealed serious esophagogastric varices and CTPV in addition to occluded right and common PV trunks. The patient was treated by connecting the cystic part of the portal vein to the splenic vein instead of the superior mesenteric vein. After the operation, a satisfactory velocity was confirmed 1 month postoperatively and the shunt still remained patent at the 6-month postoperation follow-up. A Meso-Rex bypass intervention connecting the left portal vein to the splenic vein instead of the superior mesenteric vein after liver transplantation in an adult patient with right and common portal vein occlusions has been successfully performed as an alternative approach.
Portal hypertension: a review of portosystemic collateral pathways and endovascular interventions.
Pillai, A K; Andring, B; Patel, A; Trimmer, C; Kalva, S P
2015-10-01
The portal vein is formed at the confluence of the splenic and superior mesenteric vein behind the head of the pancreas. Normal blood pressure within the portal system varies between 5 and 10 mmHg. Portal hypertension is defined when the gradient between the portal and systemic venous blood pressure exceeds 5 mmHg. The most common cause of portal hypertension is cirrhosis. In cirrhosis, portal hypertension develops due to extensive fibrosis within the liver parenchyma causing increased vascular resistance. In addition, the inability of the liver to metabolise certain vasodilators leads to hyperdynamic splanchnic circulation resulting in increased portal blood flow. Decompression of the portal pressure is achieved by formation of portosystemic collaterals. In this review, we will discuss the pathophysiology, anatomy, and imaging findings of spontaneous portosystemic collaterals and clinical manifestations of portal hypertension with emphasis on the role of interventional radiology in the management of complications related to portal hypertension. Copyright © 2015 The Royal College of Radiologists. Published by Elsevier Ltd. All rights reserved.
Graphene-Si heterogeneous nanotechnology
NASA Astrophysics Data System (ADS)
Akinwande, Deji; Tao, Li
2013-05-01
It is widely envisioned that graphene, an atomic sheet of carbon that has generated very broad interest has the largest prospects for flexible smart systems and for integrated graphene-silicon (G-Si) heterogeneous very large-scale integrated (VLSI) nanoelectronics. In this work, we focus on the latter and elucidate the research progress that has been achieved for integration of graphene with Si-CMOS including: wafer-scale graphene growth by chemical vapor deposition on Cu/SiO2/Si substrates, wafer-scale graphene transfer that afforded the fabrication of over 10,000 devices, wafer-scalable mitigation strategies to restore graphene's device characteristics via fluoropolymer interaction, and demonstrations of graphene integrated with commercial Si- CMOS chips for hybrid nanoelectronics and sensors. Metrology at the wafer-scale has led to the development of custom Raman processing software (GRISP) now available on the nanohub portal. The metrology reveals that graphene grown on 4-in substrates have monolayer quality comparable to exfoliated flakes. At room temperature, the high-performance passivated graphene devices on SiO2/Si can afford average mobilities 3000cm2/V-s and gate modulation that exceeds an order of magnitude. The latest growth research has yielded graphene with high mobilities greater than 10,000cm2/V-s on oxidized silicon. Further progress requires track compatible graphene-Si integration via wafer bonding in order to translate graphene research from basic to applied research in commercial R and D laboratories to ultimately yield a viable nanotechnology.
Wall shear stress in portal vein of cirrhotic patients with portal hypertension.
Wei, Wei; Pu, Yan-Song; Wang, Xin-Kai; Jiang, An; Zhou, Rui; Li, Yu; Zhang, Qiu-Juan; Wei, Ya-Juan; Chen, Bin; Li, Zong-Fang
2017-05-14
To investigate wall shear stress (WSS) magnitude and distribution in cirrhotic patients with portal hypertension using computational fluid dynamics. Idealized portal vein (PV) system models were reconstructed with different angles of the PV-splenic vein (SV) and superior mesenteric vein (SMV)-SV. Patient-specific models were created according to enhanced computed tomography images. WSS was simulated by using a finite-element analyzer, regarding the blood as a Newtonian fluid and the vessel as a rigid wall. Analysis was carried out to compare the WSS in the portal hypertension group with that in healthy controls. For the idealized models, WSS in the portal hypertension group (0-10 dyn/cm 2 ) was significantly lower than that in the healthy controls (10-20 dyn/cm 2 ), and low WSS area (0-1 dyn/cm 2 ) only occurred in the left wall of the PV in the portal hypertension group. Different angles of PV-SV and SMV-SV had different effects on the magnitude and distribution of WSS, and low WSS area often occurred in smaller PV-SV angle and larger SMV-SV angle. In the patient-specific models, WSS in the cirrhotic patients with portal hypertension (10.13 ± 1.34 dyn/cm 2 ) was also significantly lower than that in the healthy controls ( P < 0.05). Low WSS area often occurred in the junction area of SV and SMV into the PV, in the area of the division of PV into left and right PV, and in the outer wall of the curving SV in the control group. In the cirrhotic patients with portal hypertension, the low WSS area extended to wider levels and the magnitude of WSS reached lower levels, thereby being more prone to disturbed flow occurrence. Cirrhotic patients with portal hypertension show dramatic hemodynamic changes with lower WSS and greater potential for disturbed flow, representing a possible causative factor of PV thrombosis.
Wall shear stress in portal vein of cirrhotic patients with portal hypertension
Wei, Wei; Pu, Yan-Song; Wang, Xin-Kai; Jiang, An; Zhou, Rui; Li, Yu; Zhang, Qiu-Juan; Wei, Ya-Juan; Chen, Bin; Li, Zong-Fang
2017-01-01
AIM To investigate wall shear stress (WSS) magnitude and distribution in cirrhotic patients with portal hypertension using computational fluid dynamics. METHODS Idealized portal vein (PV) system models were reconstructed with different angles of the PV-splenic vein (SV) and superior mesenteric vein (SMV)-SV. Patient-specific models were created according to enhanced computed tomography images. WSS was simulated by using a finite-element analyzer, regarding the blood as a Newtonian fluid and the vessel as a rigid wall. Analysis was carried out to compare the WSS in the portal hypertension group with that in healthy controls. RESULTS For the idealized models, WSS in the portal hypertension group (0-10 dyn/cm2) was significantly lower than that in the healthy controls (10-20 dyn/cm2), and low WSS area (0-1 dyn/cm2) only occurred in the left wall of the PV in the portal hypertension group. Different angles of PV-SV and SMV-SV had different effects on the magnitude and distribution of WSS, and low WSS area often occurred in smaller PV-SV angle and larger SMV-SV angle. In the patient-specific models, WSS in the cirrhotic patients with portal hypertension (10.13 ± 1.34 dyn/cm2) was also significantly lower than that in the healthy controls (P < 0.05). Low WSS area often occurred in the junction area of SV and SMV into the PV, in the area of the division of PV into left and right PV, and in the outer wall of the curving SV in the control group. In the cirrhotic patients with portal hypertension, the low WSS area extended to wider levels and the magnitude of WSS reached lower levels, thereby being more prone to disturbed flow occurrence. CONCLUSION Cirrhotic patients with portal hypertension show dramatic hemodynamic changes with lower WSS and greater potential for disturbed flow, representing a possible causative factor of PV thrombosis. PMID:28566887
NASA Astrophysics Data System (ADS)
Robertson, Luke D.; Kane, B. E.
Quantum point contacts (QPCs) realized in materials with anisotropic electron mass, such as Si, may exhibit valley filter phenomena leading to extreme sensitivity to single donor occupancy, and thus are of interest to measurement schemes for donor-based quantum information processing. To this end, we have developed ambipolar devices on a H-Si(111):Si(100)/SiO2 flip-chip assembly which utilize in-plane, degenerately doped n+ (P) and p+ (B) contacts to probe transport in a 2D electron system (2DES). In addition to providing electrostatic isolation of carriers, these p-type contacts can be used as lateral depletion gates to modulate the 2DES conductance, and if extended to the nanoscale can lead to 1D confinement and quantized conductance of the 2DES. In this talk, I will describe our efforts to use a Ga focused-ion beam for direct-write implant lithography to pattern QPCs and Ga nanowires on H-Si(111) surfaces. I will present low temperature (4.2K) conductance data collected on 30nm Ga nanowires to demonstrate their effectiveness as lateral depletion gates, and discuss on going measurements to confine and modulate the conductance of the 2DES using Ga QPCs.
A two-dimensional matrix correction for off-axis portal dose prediction errors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bailey, Daniel W.; Department of Radiation Medicine, Roswell Park Cancer Institute, Buffalo, New York 14263; Kumaraswamy, Lalith
2013-05-15
Purpose: This study presents a follow-up to a modified calibration procedure for portal dosimetry published by Bailey et al. ['An effective correction algorithm for off-axis portal dosimetry errors,' Med. Phys. 36, 4089-4094 (2009)]. A commercial portal dose prediction system exhibits disagreement of up to 15% (calibrated units) between measured and predicted images as off-axis distance increases. The previous modified calibration procedure accounts for these off-axis effects in most regions of the detecting surface, but is limited by the simplistic assumption of radial symmetry. Methods: We find that a two-dimensional (2D) matrix correction, applied to each calibrated image, accounts for off-axismore » prediction errors in all regions of the detecting surface, including those still problematic after the radial correction is performed. The correction matrix is calculated by quantitative comparison of predicted and measured images that span the entire detecting surface. The correction matrix was verified for dose-linearity, and its effectiveness was verified on a number of test fields. The 2D correction was employed to retrospectively examine 22 off-axis, asymmetric electronic-compensation breast fields, five intensity-modulated brain fields (moderate-high modulation) manipulated for far off-axis delivery, and 29 intensity-modulated clinical fields of varying complexity in the central portion of the detecting surface. Results: Employing the matrix correction to the off-axis test fields and clinical fields, predicted vs measured portal dose agreement improves by up to 15%, producing up to 10% better agreement than the radial correction in some areas of the detecting surface. Gamma evaluation analyses (3 mm, 3% global, 10% dose threshold) of predicted vs measured portal dose images demonstrate pass rate improvement of up to 75% with the matrix correction, producing pass rates that are up to 30% higher than those resulting from the radial correction technique alone
Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun
2017-08-08
The structural, mechanical, elastic anisotropic, and electronic properties of Pbca -XN (X = C, Si, Ge) are investigated in this work using the Perdew-Burke-Ernzerhof (PBE) functional, Perdew-Burke-Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA-PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca -CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca -XN (X = C, Si, Ge) show that Pbca -SiN and Pbca -GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca -XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson's ratio, bulk modulus, shear modulus, Young's modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca -CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young's modulus than the (100) plane.
Comparative performance evaluation of a new a-Si EPID that exceeds quad high-definition resolution.
McConnell, Kristen A; Alexandrian, Ara; Papanikolaou, Niko; Stathakis, Sotiri
2018-01-01
Electronic portal imaging devices (EPIDs) are an integral part of the radiation oncology workflow for treatment setup verification. Several commercial EPID implementations are currently available, each with varying capabilities. To standardize performance evaluation, Task Group Report 58 (TG-58) and TG-142 outline specific image quality metrics to be measured. A LinaTech Image Viewing System (IVS), with the highest commercially available pixel matrix (2688x2688 pixels), was independently evaluated and compared to an Elekta iViewGT (1024x1024 pixels) and a Varian aSi-1000 (1024x768 pixels) using a PTW EPID QC Phantom. The IVS, iViewGT, and aSi-1000 were each used to acquire 20 images of the PTW QC Phantom. The QC phantom was placed on the couch and aligned at isocenter. The images were exported and analyzed using the epidSoft image quality assurance (QA) software. The reported metrics were signal linearity, isotropy of signal linearity, signal-tonoise ratio (SNR), low contrast resolution, and high-contrast resolution. These values were compared between the three EPID solutions. Computed metrics demonstrated comparable results between the EPID solutions with the IVS outperforming the aSi-1000 and iViewGT in the low and high-contrast resolution analysis. The performance of three commercial EPID solutions have been quantified, evaluated, and compared using results from the PTW QC Phantom. The IVS outperformed the other panels in low and high-contrast resolution, but to fully realize the benefits of the IVS, the selection of the monitor on which to view the high-resolution images is important to prevent down sampling and visual of resolution.
Patients' Experiences with and Attitudes towards a Diabetes Patient Web Portal.
Ronda, Maaike C M; Dijkhorst-Oei, Lioe-Ting; Rutten, Guy E H M
2015-01-01
A diabetes patient web portal allows patients to access their personal health record and may improve diabetes outcomes; however, patients' adoption is slow. We aimed to get insight into patients' experiences with a web portal to understand how the portal is being used, how patients perceive the content of the portal and to assess whether redesign of the portal might be needed. A survey among 1500 patients with type 1 and type 2 diabetes with a login to a patient portal. 62 primary care practices and one outpatient hospital clinic, using a combined patient portal. We compared patients who requested a login but never used it or once ('early quitters') with patients who used it at least two times ('persistent users'). 632 patients (42.1%) returned the questionnaire. Their mean age was 59.7 years, 63.1% was male and 81.8% had type 2 diabetes. 413 (65.3%) people were persistent users and 34.7% early quitters. In the multivariable analysis, insulin use (OR2.07; 95%CI[1.18-3.62]), experiencing more frequently hyperglycemic episodes (OR1.30;95%CI[1.14-1.49]) and better diabetes knowledge (OR1.02, 95%CI[1.01-1.03]) do increase the odds of being a persistent user. Persistent users perceived the usefulness of the patient portal significantly more favorable. However, they also more decisively declared that the patient portal is not helpful in supporting life style changes. Early quitters felt significantly more items not applicable in their situation compared to persistent users. Both persistent users (69.8%) and early quitters (58.8%) would prefer a reminder function for scheduled visits. About 60% of both groups wanted information about medication and side-effects in their portal. The diabetes patient web portal might be improved significantly by taking into account the patients' experiences and attitudes. We propose creating separate portals for patients on insulin or not.
Patients’ Experiences with and Attitudes towards a Diabetes Patient Web Portal
Ronda, Maaike C. M.; Dijkhorst-Oei, Lioe-Ting; Rutten, Guy E. H. M.
2015-01-01
Objective A diabetes patient web portal allows patients to access their personal health record and may improve diabetes outcomes; however, patients’ adoption is slow. We aimed to get insight into patients’ experiences with a web portal to understand how the portal is being used, how patients perceive the content of the portal and to assess whether redesign of the portal might be needed. Materials and Methods A survey among 1500 patients with type 1 and type 2 diabetes with a login to a patient portal. Setting: 62 primary care practices and one outpatient hospital clinic, using a combined patient portal. We compared patients who requested a login but never used it or once (‘early quitters’) with patients who used it at least two times (‘persistent users’). Results 632 patients (42.1%) returned the questionnaire. Their mean age was 59.7 years, 63.1% was male and 81.8% had type 2 diabetes. 413 (65.3%) people were persistent users and 34.7% early quitters. In the multivariable analysis, insulin use (OR2.07; 95%CI[1.18–3.62]), experiencing more frequently hyperglycemic episodes (OR1.30;95%CI[1.14–1.49]) and better diabetes knowledge (OR1.02, 95%CI[1.01–1.03]) do increase the odds of being a persistent user. Persistent users perceived the usefulness of the patient portal significantly more favorable. However, they also more decisively declared that the patient portal is not helpful in supporting life style changes. Early quitters felt significantly more items not applicable in their situation compared to persistent users. Both persistent users (69.8%) and early quitters (58.8%) would prefer a reminder function for scheduled visits. About 60% of both groups wanted information about medication and side-effects in their portal. Conclusions The diabetes patient web portal might be improved significantly by taking into account the patients’ experiences and attitudes. We propose creating separate portals for patients on insulin or not. PMID:26086272
User Requirements Based Development of a Web Portal for Chronic Patients.
Kopanitsa, Georgy
2017-01-01
In the current study, we tried to identify practices that help overcoming data entering and operational barriers, and involve patients and doctors in the development process to improve the acceptance of Web portals for chronic patients. This paper presents a follow up project implementing a Web portal for chronic patients considering previously studied barriers and opportunities. The following methods were applied to facilitate the acceptance of the portal: 1) a joint use case definition and discussion session before starting the development; 2) involvement of the users in prototyping the portal; 3) training of doctors and patients together before the implementation. During the first week of the portal's operation we have measured the number of data transactions and the number of active users to compare it with previous experience. The first weeks of operating the portal, we could observe an active contribution of doctors and patients, who submitted vital signs data and recommendations to the portal.
User Needs of Digital Service Web Portals: A Case Study
ERIC Educational Resources Information Center
Heo, Misook; Song, Jung-Sook; Seol, Moon-Won
2013-01-01
The authors examined the needs of digital information service web portal users. More specifically, the needs of Korean cultural portal users were examined as a case study. The conceptual framework of a web-based portal is that it is a complex, web-based service application with characteristics of information systems and service agents. In…
Itakura, Masaru; Kuwano, Noriyuki; Sato, Kaoru; Tachibana, Shigeaki
2010-08-01
Image contrasts of Si-based semiconducting materials have been investigated by using the latest scanning electron microscope with various detectors under a range of experimental conditions. Under a very low accelerating voltage (500 V), we obtained a good image contrast between crystalline SiGe whiskers and the amorphous matrix using an in-lens secondary electron (SE) detector, while the conventional topographic SE image and the compositional backscattered electron (BSE) image gave no distinct contrast. By using an angular-selective BSE (AsB) detector for wide-angle scattered BSE, on the other hand, the crystal grains in amorphous matrix can be clearly visualized as 'channelling contrast'. The image contrast is very similar to that of their transmission electron microscope image. The in-lens SE (true SE falling dots SE1) and the AsB (channelling) contrasts are quite useful to distinguish crystalline parts from amorphous ones.
Precipitation Sequence of a SiC Particle Reinforced Al-Mg-Si Alloy Composite
NASA Astrophysics Data System (ADS)
Shen, Rujuan; Wang, Yihan; Guo, Baisong; Song, Min
2016-11-01
In this study, the precipitation sequence of a 5 vol.% SiC particles reinforced Al-1.12 wt.%Mg-0.77 wt.%Si alloy composite fabricated by traditional powder metallurgy method was investigated by transmission electron microscopy and hardness measurements. The results indicated that the addition of SiC reinforcements not only suppresses the initial aging stage but also influences the subsequent precipitates. The precipitation sequence of the composite aged at 175 °C can be described as: Guinier-Preston (G.P.) zone → β″ → β' → B', which was confirmed by high-resolution transmission electron microscopy. This work might provide the guidance for the design and fabrication of hardenable automobile body sheet by Al-based composites with enhanced mechanical properties.
A New Ordered Si/SiO2 phase: Infrared Spectroscopy Analysis and Modeling
NASA Astrophysics Data System (ADS)
Bradley, J.; Herbots, N.; Shaw, J.; Atluri, V.; Queeney, K. T.; Chabal, Y. J.
2003-10-01
A new ordered Si/SiO2 phase is grown by conventional oxidation on ordered, OH-terminated (1x1)Si(100) surfaces formed at room temperature in ambient using a wet chemical cleaning method [1, 2] combined with conventional oxidation. Si atoms within 1-2.5 nm thick SiO2 are found to be in registry with respect to Si atoms in the Si(100). The degree of ordering is characterized by combining ion channeling with nuclear resonance analysis, as well as Reflective High Energy Electron Diffraction (RHEED), and High Resolution Transmission Electron Microscopy (HRTRM) and is found to be confined to a 2nm region in the SiO2[1]. Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS) and Elastic Recoil Deflection (ERD) were used to profile silicon, oxygen, carbon, and hydrogen coverage within the ordered interphase. Most recently, infrared spectroscopy [2] was employed to investigate the bonding at the ordered Si/SiO2 interface and compare the suboxides region to conventional thermal oxides. Infrared spectroscopy shows that the TO red-shift due to SiOx cross-bonding at the Si/SiO2 interface is 50 % smaller and occurs more abruptly than in conventional thermal oxides. This indicates a more homogeneous bonding environment between Si and SiO2, which is consistent with the presence of an ordered phase. Using these results, we are modeling the structure of the 2 nm interphase with 3DSTRING [3]. This Monte Carlo Simulation enables us to compare the channeling spectra with the experimental data for the possible phase configuration in ordered SiOx on Si. [1] N. Herbots, V. Atluri, J. D. Bradley, J. Xiang, S. Banerjee, Q.Hurst, US Patent #6,613,677, Granted 9/2/2003 [2] N. Herbots, J. M. Shaw, Q. B. Hurst, M. P. Grams, R. J. Culbertson, D. J. Smith, V. Atluri, P. Zimmerman, and K. T. Queeney, Mat. Sci. Eng. B B87, 303-316 (2001). [3] K. T. Queeney, N. Herbots, Justin, M. Shaw, V. Atluri, Y. J. Chabal (to be published)
Double-atomic layer of Tl on Si(111): Atomic arrangement and electronic properties
NASA Astrophysics Data System (ADS)
Mihalyuk, Alexey N.; Bondarenko, Leonid V.; Tupchaya, Alexandra Y.; Gruznev, Dimitry V.; Chou, Jyh-Pin; Hsing, Cheng-Rong; Wei, Ching-Ming; Zotov, Andrey V.; Saranin, Alexander A.
2018-02-01
Metastable double-atomic layer of Tl on Si(111) has recently been found to display interesting electric properties, namely superconductivity below 0.96 K and magnetic-field-induced transition into an insulating phase intermediated by a quantum metal state. In the present work, using a set of experimental techniques, including low-energy electron diffraction, scanning tunneling microscopy, angle-resolved photoelectron spectroscopy, in a combination with density-functional-theory calculations, we have characterized atomic and electronic properties of the Tl double layer on Si(111). The double Tl layer has been concluded to contain ∼ 2.4 monolayer of Tl. A top Tl layer has a '1 × 1' basic structure and displays 6 × 6 moiré pattern which originates from various residence sites of Tl atoms. Upon cooling below ∼ 140 K, the 6 × 6 moiré pattern changes to that having a 6√{ 3} × 6√{ 3} periodicity. However, the experimentally determined electron band dispersions show a 1 × 1 periodicity. The calculated band structure unfolded into the 1 × 1 surface Brillouin zone reproduces well the main features of the photoelectron spectra.
Nazi, Kim M; Hibbard, Judith H; Houston, Thomas K
2017-01-01
Background As electronic health records and computerized workflows expand, there are unprecedented opportunities to digitally connect with patients using secure portals. To realize the value of patient portals, initial reach across populations will need to be demonstrated, as well as sustained usage over time. Objective The study aim was to identify patient factors associated with short-term and long-term portal usage after patients registered to access all portal functions. Methods We prospectively followed a cohort of patients at a large Department of Veterans Affairs (VA) health care facility who recently completed identity proofing to use the VA patient portal. Information collected at baseline encompassed patient factors potentially associated with portal usage, including: demographics, Internet access and use, health literacy, patient activation, and self-reported health conditions. The primary outcome was the frequency of portal log-ins during 6-month and 18-month time intervals after study enrollment. Results A total of 270 study participants were followed prospectively. Almost all participants (260/268, 97.0%) reported going online, typically at home (248/268, 92.5%). At 6 months, 84.1% (227/270) of participants had visited the portal, with some variation in usage across demographic and health-related subgroups. There were no significant differences in portal log-ins by age, gender, education, marital status, race/ethnicity, distance to a VA facility, or patient activation measure. Significantly higher portal usage was seen among participants using high-speed broadband at home, greater self-reported ability using the Internet, and routinely going online. By 18 months, 91% participants had logged in to the portal, and no significant associations were found between usage and demographics, health status, or patient activation. When examining portal activity between 6 and 18 months, patients who were infrequent or high portal users remained in those categories
Khoroshaev, V A; Vorozheĭkin, V M; Baĭbekov, I M
1991-04-01
Diaphragm and small intestine peritoneum morphology was studied in experimental portal hypertension in rats with the help of luminescent, transmission and scanning electron microscopy techniques. Structural organizations of these peritoneum portions and performance function were different: fluid transudation realized through the small intestine peritoneum and resorption occurred via diaphragm peritoneum. Morphological signs allowed to judge about the increasing of fluid transudation in abdominal cavity and diaphragmatic resorption in early period of portal hypertension. Morphological alterations appeared in peritoneum resorption sites (pumping diaphragmatic hatchs) according to progress of portal hypertension that indicated decompensation process of peritoneal fluid absorption and led to ascites.
Electrical detection of spin transport in Si two-dimensional electron gas systems
NASA Astrophysics Data System (ADS)
Chang, Li-Te; Fischer, Inga Anita; Tang, Jianshi; Wang, Chiu-Yen; Yu, Guoqiang; Fan, Yabin; Murata, Koichi; Nie, Tianxiao; Oehme, Michael; Schulze, Jörg; Wang, Kang L.
2016-09-01
Spin transport in a semiconductor-based two-dimensional electron gas (2DEG) system has been attractive in spintronics for more than ten years. The inherent advantages of high-mobility channel and enhanced spin-orbital interaction promise a long spin diffusion length and efficient spin manipulation, which are essential for the application of spintronics devices. However, the difficulty of making high-quality ferromagnetic (FM) contacts to the buried 2DEG channel in the heterostructure systems limits the potential developments in functional devices. In this paper, we experimentally demonstrate electrical detection of spin transport in a high-mobility 2DEG system using FM Mn-germanosilicide (Mn(Si0.7Ge0.3)x) end contacts, which is the first report of spin injection and detection in a 2DEG confined in a Si/SiGe modulation doped quantum well structure (MODQW). The extracted spin diffusion length and lifetime are l sf = 4.5 μm and {τ }{{s}}=16 {{ns}} at 1.9 K respectively. Our results provide a promising approach for spin injection into 2DEG system in the Si-based MODQW, which may lead to innovative spintronic applications such as spin-based transistor, logic, and memory devices.
First-principles study of electronic properties of Si doped FeSe{sub 0.9} alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kumar, Sandeep, E-mail: sandeep@phy.iitb.ac.in; Singh, Prabhakar P.
2016-05-23
We have performed first-principles study of electronic and superconducting properties of FeSe{sub 0.9-x}Si{sub x} (x = 0.0, 0.05) alloys using Korringa-Kohn-Rostoker Atomic Sphere Approximation within the coherent potential approximation (KKR-ASA-CPA). In our calculations, we used the local density approximation (LDA) for the exchange correlation potential. Our calculations show that these alloys are nonmagnetic in nature. We found that the substitution of Si at Se site into FeSe{sub 0.9} made subtle affects in the electronic structure with respect to the parent FeSe. The results have been analyzed in terms of changes in the density of states (DOS), band structures, Fermi surfacesmore » and the superconducting transition temperature of FeSe{sub 0.9} and FeSe{sub 0.85}Si{sub 0.05} alloys.« less
Magnetism and electronic structure of CoFeCrX (X = Si, Ge) Heusler alloys
NASA Astrophysics Data System (ADS)
Jin, Y.; Kharel, P.; Lukashev, P.; Valloppilly, S.; Staten, B.; Herran, J.; Tutic, I.; Mitrakumar, M.; Bhusal, B.; O'Connell, A.; Yang, K.; Huh, Y.; Skomski, R.; Sellmyer, D. J.
2016-08-01
The structural, electronic, and magnetic properties of CoFeCrX (X = Si, Ge) Heusler alloys have been investigated. Experimentally, the alloys were synthesized in the cubic L21 structure with small disorder. The cubic phase of CoFeCrSi was found to be highly stable against heat treatment, but CoFeCrGe disintegrated into other new compounds when the temperature reached 402 °C (675 K). Although the first-principle calculation predicted the possibility of tetragonal phase in CoFeCrGe, the tetragonal phase could not be stabilized experimentally. Both CoFeCrSi and CoFeCrGe compounds showed ferrimagnetic spin order at room temperature and have Curie temperatures (TC) significantly above room temperature. The measured TC for CoFeCrSi is 790 K but that of CoFeCrGe could not be measured due to its dissociation into new compounds at 675 K. The saturation magnetizations of CoFeCrSi and CoFeCrGe are 2.82 μB/f.u. and 2.78 μB/f.u., respectively, which are close to the theoretically predicted value of 3 μB/f.u. for their half-metallic phases. The calculated band gaps for CoFeCrSi and CoFeCrGe are, respectively, 1 eV and 0.5 eV. These materials have potential for spintronic device applications, as they exhibit half-metallic electronic structures with large band gaps, and Curie temperatures significantly above room temperature.
1. West portal of Tunnel 34, contextual view to northeast ...
1. West portal of Tunnel 34, contextual view to northeast from inside east end of Tunnel 33 (Cape Horn Tunnel), 135mm lens with electronic flash fill. - Central Pacific Transcontinental Railroad, Tunnel No. 34, Milepost 145.4, Colfax, Placer County, CA
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yaddanapudi, S; Cai, B; Sun, B
2015-06-15
Purpose: Electronic portal imaging devices (EPIDs) have proven to be useful for measuring several parameters of interest in linear accelerator (linac) quality assurance (QA). The purpose of this project was to evaluate the feasibility of using EPIDs for determining linac photon beam energies. Methods: Two non-clinical Varian TrueBeam linacs (Varian Medical Systems, Palo Alto, CA) with 6MV and 10MV photon beams were used to perform the measurements. The linacs were equipped with an amorphous silicon based EPIDs (aSi1000) that were used for the measurements. We compared the use of flatness versus percent depth dose (PDD) for predicting changes in linacmore » photon beam energy. PDD was measured in 1D water tank (Sun Nuclear Corporation, Melbourne FL) and the profiles were measured using 2D ion-chamber array (IC-Profiler, Sun Nuclear) and the EPID. Energy changes were accomplished by varying the bending magnet current (BMC). The evaluated energies conformed with the AAPM TG142 tolerance of ±1% change in PDD. Results: BMC changes correlating with a ±1% change in PDD corresponded with a change in flatness of ∼1% to 2% from baseline values on the EPID. IC Profiler flatness values had the same correlation. We observed a similar trend for the 10MV beam energy changes. Our measurements indicated a strong correlation between changes in linac photon beam energy and changes in flatness. For all machines and energies, beam energy changes produced change in the uniformity (AAPM TG-142), varying from ∼1% to 2.5%. Conclusions: EPID image analysis of beam profiles can be used to determine linac photon beam energy changes. Flatness-based metrics or uniformity as defined by AAPM TG-142 were found to be more sensitive to linac photon beam energy changes than PDD. Research funding provided by Varian Medical Systems. Dr. Sasa Mutic receives compensation for providing patient safety training services from Varian Medical Systems, the sponsor of this study.« less
Footing the bill: patient portals, part I.
Lawrence, Daphne
2009-05-01
Tie financial portal strategy into overall portal strategy. Savings from patient portals for finance come in the areas of call center volumes, bill pay, scheduling, and increased volume. Financial functions on the patient portal should be balanced with clinical functions. Improve the revenue cycle process before going to a portal.
2015-01-01
patient portal but instead analyzed features of a portal such as secure messaging, as well as disease management and monitoring. The ability of patients to be able to view their health information electronically meets the intent of Meaningful Use, Stage 2 requirements, but the ability to transmit to a third party was not found in the review. PMID:25669240
Kruse, Clemens Scott; Bolton, Katy; Freriks, Greg
2015-02-10
The Health Information Technology for Economic and Clinical Health (HITECH) Act imposes pressure on health care organizations to qualify for "Meaningful Use". It is assumed that portals should increase patient participation in medical decisions, but whether or not the use of portals improves outcomes remains to be seen. The purpose of this systemic review is to outline and summarize study results on the effect of patient portals on quality, or chronic-condition outcomes as defined by the Agency for Healthcare Research and Quality, and its implications to Meaningful Use since the beginning of 2011. This review updates and builds on the work by Ammenwerth, Schnell-Inderst, and Hoerbst. We performed a systematic literature search in PubMed, CINAHL, and Google Scholar. We identified any data-driven study, quantitative or qualitative, that examined a relationship between patient portals, or patient portal features, and outcomes. We also wanted to relate the findings back to Meaningful Use criteria. Over 4000 articles were screened, and 27 were analyzed and summarized for this systematic review. We identified 26 studies and 1 review, and we summarized their findings and applicability to our research question. Very few studies associated use of the patient portal, or its features, to improved outcomes; 37% (10/27) of papers reported improvements in medication adherence, disease awareness, self-management of disease, a decrease of office visits, an increase in preventative medicine, and an increase in extended office visits, at the patient's request for additional information. The results also show an increase in quality in terms of patient satisfaction and customer retention, but there are weak results on medical outcomes. The results of this review demonstrate that more health care organizations today offer features of a patient portal than in the review published in 2011. Articles reviewed rarely analyzed a full patient portal but instead analyzed features of a portal
Developing Interoperable Air Quality Community Portals
NASA Astrophysics Data System (ADS)
Falke, S. R.; Husar, R. B.; Yang, C. P.; Robinson, E. M.; Fialkowski, W. E.
2009-04-01
Web portals are intended to provide consolidated discovery, filtering and aggregation of content from multiple, distributed web sources targeted at particular user communities. This paper presents a standards-based information architectural approach to developing portals aimed at air quality community collaboration in data access and analysis. An important characteristic of the approach is to advance beyond the present stand-alone design of most portals to achieve interoperability with other portals and information sources. We show how using metadata standards, web services, RSS feeds and other Web 2.0 technologies, such as Yahoo! Pipes and del.icio.us, helps increase interoperability among portals. The approach is illustrated within the context of the GEOSS Architecture Implementation Pilot where an air quality community portal is being developed to provide a user interface between the portals and clearinghouse of the GEOSS Common Infrastructure and the air quality community catalog of metadata and data services.
2. West portal of Tunnel 35, view to east, 135mm ...
2. West portal of Tunnel 35, view to east, 135mm lens with electronic flash fill. Note the notched wingwalls that support steel posts of entrance snowshed; these would have originally held timber posts of the original timber snowsheds, miles of which once enclosed and protected the railroad from the ravages of Sierra winters. Note also that these tunnels, built in the 1920s, have dispensed with any use of stone masonry, and instead have all-concrete portals. - Central Pacific Transcontinental Railroad, Tunnel No. 35, Milepost 176.62, Yuba Pass, Nevada County, CA
2. West portal of Tunnel 39, view to east, 135mm ...
2. West portal of Tunnel 39, view to east, 135mm lens with electronic flash fill. Note the notched wingwalls that support steel posts of entrance snowshed; these would have originally held timber posts of the original timber snowsheds, miles of which once enclosed and protected the railroad from the ravages of Sierra winters. Note also that these tunnels, built in the 1920s, have dispensed with any use of stone masonry, and instead have all-concrete portals. - Central Pacific Transcontinental Railroad, Tunnel No. 39, Milepost 180.95, Cisco, Placer County, CA
[Selective portal-systemic shunts for bleeding portal hypertension].
Orozco, H; Mercado, M A; Takahashi, T; García-Tsao, G; Guevara, L; Hernandez-Ortiz, J; Tielve, M
1990-07-01
At the beginning of the seventies, we began to perform regularly selective shunts for the treatment of portal hypertension. In a 15 year period, 177 patients (155 with liver cirrhosis) were operated with three kinds of selective shunts: 128 with a Warren shunt, 29 with an end to end renosplenic shunt and 20 with a splenocaval shunt. 167 cases were operated in an elective fashion. The 15 years global operative mortality, was 14.4%. Operative mortality of the Child A patients, was 11.6%. Survival for the Child A group was 74.6% at 1 year, 68.2% at 5 years and 64.6% at 15 years. Incapacitating encephalopathy was observed in 6.9%, rebleeding 6.2% and shunt thrombosis in 6.2%. Portal vein alterations in the postoperative period were observed: in 13.3% a reduction in diameter ocurred and in 20.5%, thrombosis was recorded. It is concluded that when feasible, the selective shunts are the treatment of choice for portal hypertension in those patients with good liver function.
NASA Astrophysics Data System (ADS)
Feng, Liang; Ping, Chen; De-Gang, Zhao; De-Sheng, Jiang; Zhi-Juan, Zhao; Zong-Shun, Liu; Jian-Jun, Zhu; Jing, Yang; Wei, Liu; Xiao-Guang, He; Xiao-Jing, Li; Xiang, Li; Shuang-Tao, Liu; Hui, Yang; Li-Qun, Zhang; Jian-Ping, Liu; Yuan-Tao, Zhang; Guo-Tong, Du
2016-05-01
We have investigated the electron affinity of Si-doped AlN films (N Si = 1.0 × 1018-1.0 × 1019 cm-3) with thicknesses of 50, 200, and 400 nm, synthesized by metalorganic chemical vapor deposition (MOCVD) under low pressure on the n-type (001)6H-SiC substrates. The positive and small electron affinity of AlN films was observed through the ultraviolet photoelectron spectroscopy (UPS) analysis, where an increase in electron affinity appears with the thickness of AlN films increasing, i.e., 0.36 eV for the 50-nm-thick one, 0.58 eV for the 200-nm-thick one, and 0.97 eV for the 400-nm-thick one. Accompanying the x-ray photoelectron spectroscopy (XPS) analysis on the surface contaminations, it suggests that the difference of electron affinity between our three samples may result from the discrepancy of surface impurity contaminations. Project supported by the National Natural Science Foundation of China (Grant Nos. 61574135, 61574134, 61474142, 61474110, 61377020, 61376089, 61223005, and 61321063), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).
Deposition of InP on Si Substrates for Monolithic Integration of Advanced Electronics
1988-05-01
radiation resistance of InP has been demonstrated (in terms of solar cell experiments) to be quite superior to that of either GaAs or Si.( 1 , 2) In fact... photovoltaic p/n junction devices irradiated by I MeV electrons have been shown to almost totallv recover their electrical performance by annealing at...in the literature.(l5 2 2) The NTT group has succeeded in growing InP films directly on Si substrates and in fabricating solar cells (approximately 3
Spatial structure and electronic spectrum of TiSi{/n -} clusters ( n = 6-18)
NASA Astrophysics Data System (ADS)
Borshch, N. A.; Pereslavtseva, N. S.; Kurganskii, S. I.
2014-10-01
Results from optimizing the spatial structure and calculated electronic spectra of anion clusters TiSi{/n -} ( n = 6-18) are presented. Calculations are performed within the density functional theory. Spatial structures of clusters detected experimentally are established by comparing the calculated and experimental data. It is shown that prismatic and fullerene-like structures are the ones most energetically favorable for clusters TiSi{/n -}. It is concluded that these structures are basic when building clusters with close numbers of silicon atoms.
A Monte Carlo model of hot electron trapping and detrapping in SiO2
NASA Astrophysics Data System (ADS)
Kamocsai, R. L.; Porod, W.
1991-02-01
High-field stressing and oxide degradation of SiO2 are studied using a microscopic model of electron heating and charge trapping and detrapping. Hot electrons lead to a charge buildup in the oxide according to the dynamic trapping-detrapping model by Nissan-Cohen and co-workers [Y. Nissan-Cohen, J. Shappir, D. Frohman-Bentchkowsky, J. Appl. Phys. 58, 2252 (1985)]. Detrapping events are modeled as trap-to-band impact ionization processes initiated by high energy conduction electrons. The detailed electronic distribution function obtained from Monte Carlo transport simulations is utilized for the determination of the detrapping rates. We apply our microscopic model to the calculation of the flat-band voltage shift in silicon dioxide as a function of the electric field, and we show that our model is able to reproduce the experimental results. We also compare these results to the predictions of the empirical trapping-detrapping model which assumes a heuristic detrapping cross section. Our microscopic theory accounts for the nonlocal nature of impact ionization which leads to a dark space close to the injecting cathode, which is unaccounted for in the empirical model.
Ni3Si(Al)/a-SiOx core shell nanoparticles: characterization, shell formation, and stability
NASA Astrophysics Data System (ADS)
Pigozzi, G.; Mukherji, D.; Gilles, R.; Barbier, B.; Kostorz, G.
2006-08-01
We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni3Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni3Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiOx). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.
Silicide phases formation in Co/c-Si and Co/a-Si systems during thermal annealing
NASA Astrophysics Data System (ADS)
Novaković, M.; Popović, M.; Zhang, K.; Lieb, K. P.; Bibić, N.
2014-03-01
The effect of the interface in cobalt-silicon bilayers on the silicide phase formation and microstructure has been investigated. Thin cobalt films were deposited by electron beam evaporation to a thickness of 50 nm on crystalline silicon (c-Si) or silicon with pre-amorphized surface (a-Si). After deposition one set of samples was annealed for 2 h at 200, 300, 400, 500, 600 and 700 °C. Another set of samples was irradiated with 400 keV Xe+ ions and then annealed at the same temperatures. Phase transitions were investigated with Rutherford backscattering spectroscopy, X-ray diffraction and cross-sectional transmission electron microscopy. No silicide formation was observed up to 400 °C, for both non-irradiated and ion-irradiated samples. When increasing the annealing temperature, the non-irradiated and irradiated Co/c-Si samples showed a similar behaviour: at 500 °C, CoSi appeared as the dominant silicide, followed by the formation of CoSi2 at 600 and 700 °C. In the case of non-irradiated Co/a-Si samples, no silicide formation occurred up to 700 °C, while irradiated samples with pre-amorphized substrate (Co/a-Si) showed a phase sequence similar to that in the Co/c-Si system. The observed phase transitions are found to be consistent with predictions of the effective heat of formation model.
High Mobility Transport Layer Structures for Rhombohedral Si/Ge/SiGe Devices
NASA Technical Reports Server (NTRS)
Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Kim, Hyun-Jung (Inventor); Lee, Kunik (Inventor)
2017-01-01
An electronic device includes a trigonal crystal substrate defining a (0001) C-plane. The substrate may comprise Sapphire or other suitable material. A plurality of rhombohedrally aligned SiGe (111)-oriented crystals are disposed on the (0001) C-plane of the crystal substrate. A first region of material is disposed on the rhombohedrally aligned SiGe layer. The first region comprises an intrinsic or doped Si, Ge, or SiGe layer. The first region can be layered between two secondary regions comprising n+doped SiGe or n+doped Ge, whereby the first region collects electrons from the two secondary regions.
ERIC Educational Resources Information Center
Schaffhauser, Dian
2011-01-01
Successful web portals help users stay informed, in touch, and up to speed. They are also a telling window into the efficiency of one's institution. To develop a cutting-edge portal takes planning, communication, and research. In this article, the author presents and discusses 10 keys to portal success: (1) make critical info visible; (2) make the…
Fabrication of nanometer single crystal metallic CoSi2 structures on Si
NASA Technical Reports Server (NTRS)
Nieh, Kai-Wei (Inventor); Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor)
1991-01-01
Amorphous Co:Si (1:2 ratio) films are electron gun-evaporated on clean Si(111), such as in a molecular beam epitaxy system. These layers are then crystallized selectively with a focused electron beam to form very small crystalline Co/Si2 regions in an amorphous matrix. Finally, the amorphous regions are etched away selectively using plasma or chemical techniques.
NASA Astrophysics Data System (ADS)
Dzifčáková, Elena; Dudík, Jaroslav
2018-03-01
Context. Transition region (TR) spectra typically show the Si IV 1402.8 Å line to be enhanced by a factor of 5 or more compared to the neighboring O IV 1401.2 Å, contrary to predictions of ionization equilibrium models and the Maxwellian distribution of particle energies. Non-equilibrium effects in TR spectra are therefore expected. Aims: To investigate the combination of non-equilibrium ionization and high-energy particles, we apply the model of the periodic electron beam, represented by a κ-distribution that recurs at periods of several seconds, to plasma at chromospheric temperatures of 104 K. This simple model can approximate a burst of energy release involving accelerated particles. Methods: Instantaneous time-dependent charge states of silicon and oxygen were calculated and used to synthesize the instantaneous and period-averaged spectra of Si IV and O IV. Results: The electron beam drives the plasma out of equilibrium. At electron densities of Ne = 1010 cm-3, the plasma is out of ionization equilibrium at all times in all cases we considered, while for a higher density of Ne = 1011 cm-3, ionization equilibrium can be reached toward the end of each period, depending on the conditions. In turn, the character of the period-averaged synthetic spectra also depends on the properties of the beam. While the case of κ = 2 results in spectra with strong or even dominant O IV, higher values of κ can approximate a range of observed TR spectra. Spectra similar to typically observed spectra, with the Si IV 1402.8 Å line about a factor 5 higher than O IV 1401.2 Å, are obtained for κ = 3. An even higher value of κ = 5 results in spectra that are exclusively dominated by Si IV, with negligible O IV emission. This is a possible interpretation of the TR spectra of UV (Ellerman) bursts, although an interpretation that requires a density that is 1-3 orders of magnitude lower than for equilibrium estimates. Movies associated to Fig. A.1 are available at http://https://www.aanda.org
Electronic spectrum of jet cooled SiCN
NASA Astrophysics Data System (ADS)
Fukushima, Masaru; Ishiwata, Takashi
2016-09-01
We have generated SiCN in a supersonic free expansion, and measured the laser induced fluorescence (LIF) spectrum. Prior to the experiments, ab initio calculations were carried out to obtain the information necessary for searching for the LIF signals. In addition to the X ˜ 2Π state, the optimized structures of three excited states, 2Δ, 2Σ+, and 2Σ-, have been obtained. Guided by the predictions, the LIF excitation spectrum of SiCN was observed in the UV region. The rotational structure of the 00 0 band with the origin, 29 261.639 cm-1, indicated that the electronic transition is A ˜ 2Δ- X ˜ 2Π. The spin-orbit (SO) constants of the X ˜ 2Π and A ˜ 2Δ states were determined to be 140.824 and 4.944 cm-1, respectively. In the A ˜ 2Δ state, the Fermi resonance between the (0, 20, 0) 2Δ and (0, 00, 1) 2Δ vibronic levels was identified. The molecular constants of the X ˜ 2Π state were determined through the simultaneous analysis of the combination differences derived from the present LIF data with the previously reported rotational transitions. The spectroscopic parameters of the A ˜ 2Δ state were also obtained from the analysis where the constants of the X ˜ 2Π state, derived above, were fixed at those values.
Effects of the c-Si/a-SiO2 interfacial atomic structure on its band alignment: an ab initio study.
Zheng, Fan; Pham, Hieu H; Wang, Lin-Wang
2017-12-13
The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2 ) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containing Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2 , was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV.
Padilla-Sanchez, Victor; Gao, Song; Kim, Hyung Rae; Kihara, Daisuke; Sun, Lei; Rossmann, Michael G; Rao, Venigalla B
2014-03-06
Tailed bacteriophages and herpesviruses consist of a structurally well conserved dodecameric portal at a special 5-fold vertex of the capsid. The portal plays critical roles in head assembly, genome packaging, neck/tail attachment, and genome ejection. Although the structures of portals from phages φ29, SPP1, and P22 have been determined, their mechanistic roles have not been well understood. Structural analysis of phage T4 portal (gp20) has been hampered because of its unusual interaction with the Escherichia coli inner membrane. Here, we predict atomic models for the T4 portal monomer and dodecamer, and we fit the dodecamer into the cryo-electron microscopy density of the phage portal vertex. The core structure, like that from other phages, is cone shaped with the wider end containing the "wing" and "crown" domains inside the phage head. A long "stem" encloses a central channel, and a narrow "stalk" protrudes outside the capsid. A biochemical approach was developed to analyze portal function by incorporating plasmid-expressed portal protein into phage heads and determining the effect of mutations on head assembly, DNA translocation, and virion production. We found that the protruding loops of the stalk domain are involved in assembling the DNA packaging motor. A loop that connects the stalk to the channel might be required for communication between the motor and the portal. The "tunnel" loops that project into the channel are essential for sealing the packaged head. These studies established that the portal is required throughout the DNA packaging process, with different domains participating at different stages of genome packaging. © 2013.
Portal vein thrombosis is a potentially preventable complication in clinical islet transplantation
Kawahara, Toshiyasu; Kin, Tatsuya; Kashkoush, Samy; Gala-Lopez, Boris; Bigam, David L.; Kneteman, Norman M.; Koh, Angela; Senior, Peter A.; Shapiro, A.M. James
2011-01-01
Percutaneous transhepatic portal access avoids surgery, but is rarely associated with bleeding or portal venous thrombosis. We herein report our large, single-center experience of percutaneous islet implantation, and evaluate risk factors of portal vein thrombosis and graft function. Prospective data was collected on 268 intraportal islet transplants (122 subjects). A portal venous Doppler ultrasound was obtained on Days 1 and 7 days posttransplant. Therapeutic heparinization, complete ablation of the portal catheter tract with Avitene paste, and limiting packed cell volume to < 5 ml completely prevented any portal thrombosis in the most recent 101 islet transplant procedures over the past 5 years. In the previous cumulative experience, partial thrombosis did not affect islet function. Standard liver volume correlated negatively (r=−0.257, P<0.001), and packed cell volume correlated positively with portal pressure rise (r=0.463, P<0.001). Overall, partial portal thrombosis occurred after 10 procedures (overall incidence 3.7%, most recent 101 patient incidence 0%). There were no cases of complete thrombosis, and no patient developed sequelae of portal hypertension. In conclusion, portal thrombosis is a preventable complication in clinical islet transplantation, provided therapeutic anticoagulation is maintained, and packed cell volume is limited to <5 ml. PMID:21883914
Linker, Kevin L.; Brusseau, Charles A.
2002-01-01
A portal apparatus for screening persons or objects for the presence of trace amounts of target substances such as explosives, narcotics, radioactive materials, and certain chemical materials. The portal apparatus can have a one-sided exhaust for an exhaust stream, an interior wall configuration with a concave-shape across a horizontal cross-section for each of two facing sides to result in improved airflow and reduced washout relative to a configuration with substantially flat parallel sides; air curtains to reduce washout; ionizing sprays to collect particles bound by static forces, as well as gas jet nozzles to dislodge particles bound by adhesion to the screened person or object. The portal apparatus can be included in a detection system with a preconcentrator and a detector.
NASA Astrophysics Data System (ADS)
Badía-Romano, L.; Rubín, J.; Magén, C.; Bürgler, D. E.; Bartolomé, J.
2014-07-01
The morphology and the quantitative composition of the Fe-Si interface layer forming at each Fe layer of a (Fe/Si)3 multilayer have been determined by means of conversion electron Mössbauer spectroscopy (CEMS) and high-resolution transmission electron microscopy (HRTEM). For the CEMS measurements, each layer was selected by depositing the Mössbauer active 57Fe isotope with 95% enrichment. Samples with Fe layers of nominal thickness dFe = 2.6 nm and Si spacers of dSi = 1.5 nm were prepared by thermal evaporation onto a GaAs(001) substrate with an intermediate Ag(001) buffer layer. HRTEM images showed that Si layers grow amorphous and the epitaxial growth of the Fe is good only for the first deposited layer. The CEMS spectra show that at all Fe/Si and Si/Fe interfaces a paramagnetic c-Fe1-xSi phase is formed, which contains 16% of the nominal Fe deposited in the Fe layer. The bottom Fe layer, which is in contact with the Ag buffer, also contains α-Fe and an Fe1-xSix alloy that cannot be attributed to a single phase. In contrast, the other two layers only comprise an Fe1-xSix alloy with a Si concentration of ≃0.15, but no α-Fe.
NASA Astrophysics Data System (ADS)
Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan
2014-09-01
Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiNx/SiNy multilayers with high on/off ratio of 109. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.
Magnetotransport studies of mobility limiting mechanisms in undoped Si/SiGe heterostructures
NASA Astrophysics Data System (ADS)
Mi, X.; Hazard, T. M.; Payette, C.; Wang, K.; Zajac, D. M.; Cady, J. V.; Petta, J. R.
2015-07-01
We perform detailed magnetotransport studies on two-dimensional electron gases (2DEGs) formed in undoped Si/SiGe heterostructures in order to identify the electron mobility limiting mechanisms. By analyzing data from 26 different heterostructures, we observe a strong correlation between the background oxygen concentration in the Si quantum well and the maximum mobility. The highest-quality wafer supports a 2DEG with mobility μ =160 000 cm 2/Vs at a density n =2.17 ×1011 /cm 2 and exhibits a metal-to-insulator transition at a critical density nc=0.46 ×1011 /cm 2. We extract a valley splitting Δv˜150 μ eV at a magnetic field B =1.8 T. These results provide evidence that undoped Si/SiGe heterostructures are suitable for the fabrication of few-electron quantum dots.
NASA Technical Reports Server (NTRS)
McNab, A. David; woo, Alex (Technical Monitor)
1999-01-01
Portals, an experimental feature of 4.4BSD, extend the file system name space by exporting certain open () requests to a user-space daemon. A portal daemon is mounted into the file name space as if it were a standard file system. When the kernel resolves a pathname and encounters a portal mount point, the remainder of the path is passed to the portal daemon. Depending on the portal "pathname" and the daemon's configuration, some type of open (2) is performed. The resulting file descriptor is passed back to the kernel which eventually returns it to the user, to whom it appears that a "normal" open has occurred. A proxy portalfs file system is responsible for kernel interaction with the daemon. The overall effect is that the portal daemon performs an open (2) on behalf of the kernel, possibly hiding substantial complexity from the calling process. One particularly useful application is implementing a connection service that allows simple scripts to open network sockets. This paper describes the implementation of portals for LINUX 2.0.
NASA Astrophysics Data System (ADS)
Nakamura, N.; Anno, K.; Kono, S.
1991-10-01
A single-domain Si(111)4 × 1-In surface has been studied by μ-probe reflection high-energy electron diffraction (RHEED) to elucidate the symmetry of the 4 × 1 surface. Azimuthal diffraction patterns of In MNN Auger electron have been obtained by a μ-probe Auger electron diffraction (AED) apparatus from the single-domain Si(111)4 × 1-In surface. On the basis of information from scanning tunneling microscopy [J. Microsc. 152 (1988) 727] and under the assumption that the 4 × 1 surface is composed of In-overlayers, the μ-probe AED patterns were kinematically analyzed to reach a concrete model of indium arrangement.
NASA Astrophysics Data System (ADS)
Stesmans, A.
1996-01-01
The passivation with molecular hydrogen in the range 213-234°C of the interfacial Pb0 and Pb1 defects in {(100) Si}/{SiO 2}, thermally grown at low temperature (<750°C), has been analyzed by K-band electron spin resonance. The passivation kinetics are found to be well described by the same defect-H 2 reaction limited model applying to the interfacial Pb defect (∘SiSi 3) in {(111) Si}/{SiO 2} grown at 850°C. However, unlike Pb, that was typified by a single-valued activation energy for passivation Ea = 1.66 eV, both Pb0 and Pb1 are found to exhibit a Gaussian spread σEa ˜ 0.15 eV around their respective meanEa values, deduced as 1.51 and 1.57 ± 0.3 eV. The similar passivation kinetics are in line with assigning the Pb0 and Pb1 defects, like Pb, to an interfacial unpaired sp3 Si hybrid. However, as there is no fundamental difference between Pb0 and Pb1 regarding passivation in H 2, more specfic identification of Pb with either Pb0 or Pb1 , if any, cannot be concluded.
NASA Astrophysics Data System (ADS)
Hasanah, L.; Suhendi, E.; Khairrurijal
2018-05-01
Tunelling current calculation on Si/Si1-xGex/Si heterojunction bipolar transistor was carried out by including the coupling between transversal and longitudinal components of electron motion. The calculation results indicated that the coupling between kinetic energy in parallel and perpendicular to S1-xGex barrier surface affected tunneling current significantly when electron velocity was faster than 1x105 m/s. This analytical tunneling current model was then used to study how the germanium concentration in base to Si/Si1-xGex/Si heterojunction bipolar transistor influenced the tunneling current. It is obtained that tunneling current increased as the germanium concentration given in base decreased.
NASA Astrophysics Data System (ADS)
Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.
2017-08-01
In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.
Stent Recanalization of Chronic Portal Vein Occlusion in a Child
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cwikiel, Wojciech; Solvig, Jan; Schroder, Henrik
2000-07-15
An 8-year-old boy with a 21/2 year history of portal hypertension and repeated bleedings from esophageal varices, was referred for treatment. The 3.5-cm-long occlusion of the portal vein was passed and the channel created was stabilized with a balloon-expandable stent; a portosystemic stent-shunt was also created. The portosystemic shunt closed spontaneously within 1 month, while the recanalized segment of the portal vein remained open. The pressure gradient between the intrahepatic and extrahepatic portal vein branches dropped from 17 mmHg to 0 mmHg. The pressure in the portal vein dropped from 30 mmHg to 17 mmHg and the bleedings stopped. Themore » next dilation of the stent was performed 12 months later due to an increased pressure gradient; the gastroesophageal varices disappeared completely. Further dilation of the stent was planned after 2, 4, and 6 years.« less
Sukumar, Prabakar; Padmanaban, Sriram; Jeevanandam, Prakash; Syam Kumar, S.A.; Nagarajan, Vivekanandan
2011-01-01
Aim In this study, the dosimetric properties of the electronic portal imaging device were examined and the quality assurance testing of Volumetric Modulated Arc Therapy was performed. Background RapidArc involves the variable dose rate, leaf speed and the gantry rotation. The imager was studied for the effects like dose, dose rate, field size, leaf speed and sag during gantry rotation. Materials and methods A Varian RapidArc machine equipped with 120 multileaf collimator and amorphous silicon detector was used for the study. The characteristics that are variable in RapidArc treatment were studied for the portal imager. The accuracy of a dynamic multileaf collimator position at different gantry angles and during gantry rotation was examined using the picket fence test. The control of the dose rate and gantry speed was verified using a test field irradiating seven strips of the same dose with different dose rate and gantry speeds. The control over leaf speed during arc was verified by irradiating four strips of different leaf speeds with the same dose in each strip. To verify the results, the RapidArc test procedure was compared with the X-Omat film and verified for a period of 6 weeks using EPID. Results The effect of gantry rotation on leaf accuracy was minimal. The dose in segments showed good agreement with mean deviation of 0.8% for dose rate control and 1.09% for leaf speed control over different gantry speeds. Conclusion The results provided a precise control of gantry speed, dose rate and leaf speeds during RapidArc delivery and were consistent over 6 weeks. PMID:24376989
NASA Astrophysics Data System (ADS)
Hugenschmidt, C.; Mayer, J.; Schreckenbach, K.
2007-06-01
The surfaces of polycrystalline Cu, Au-coated Cu, Si(1 0 0) and of Si(1 0 0) coated with 1.5 monolayer Cu were investigated with positron annihilation induced Auger-electron spectroscopy (PAES). Since the electron background has been reduced considerably we observed the Cu M 2,3VV-Auger transition on a copper surface within only three hours which is the shortest acquisition time reported so far for PAES. In order to demonstrate PAES' high potential the Auger-yield, the signal-to-background ratio as well as the surface selectivity were compared with accompanying EAES-measurements quantitatively. A more efficient electron energy analyzer for the present PAES setup would lead to an additional efficiency gain of more than two orders of magnitude. The presented measurements were performed at the low-energy positron beam of high intensity NEPOMUC at the research reactor FRM II.
Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations
Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun
2017-01-01
The structural, mechanical, elastic anisotropic, and electronic properties of Pbca-XN (X = C, Si, Ge) are investigated in this work using the Perdew–Burke–Ernzerhof (PBE) functional, Perdew–Burke–Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA–PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca-CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca-XN (X = C, Si, Ge) show that Pbca-SiN and Pbca-GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca-XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson’s ratio, bulk modulus, shear modulus, Young’s modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca-CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young’s modulus than the (100) plane. PMID:28786960
Shoemake, Jocelyn; Nilsen, Marci Lee; Czaja, Sara; Beach, Scott; DeVito Dabbs, Annette
2017-01-01
Background Growing evidence that patient engagement improves health outcomes and reduces health care costs has fueled health providers’ focus on patient portals as the primary access point for personal health information and patient-provider communication. Whereas much attention has been given to identifying characteristics of older adults who do and do not adopt patient portals and necessary adaptions to portal design, little is known about their attitudes and perceptions regarding patient portal use as a tool for engagement in their health care within the context of health literacy, experience navigating Web-based health information, and previous patient portal use. Objective The specific aims of this study were to explore attitudes toward portal adoption and its perceived usefulness as a tool for health care engagement among adults (65 years and older) who have varying levels of health literacy and degrees of prior patient portal use. Methods A phone survey of 100 community dwelling adults gathered sociodemographic, health, and technology related information. Older adults were purposefully selected for 4 follow-up focus groups based on survey responses to health literacy and previous patient portal use. A mixed-method approach was used to integrate phone survey data with thematic analysis of 4 focus groups. Due to variability in attitudes between focus group participants, an individual case analysis was performed and thematic patterns were used as the basis for subgroup formation. Results Differences in health literacy, comfort navigating health information on the Web, and previous portal experience explained some but not all differences related to the 7 themes that emerged in the focus groups analysis. Individual cases who shared attitudes were arranged into 5 subgroups from least to most able and willing to engage in health care via a patient portal. The subgroups’ overall portal adoption attitudes were: (1) Don’t want to feel pushed into anything, (2
Irizarry, Taya; Shoemake, Jocelyn; Nilsen, Marci Lee; Czaja, Sara; Beach, Scott; DeVito Dabbs, Annette
2017-03-30
Growing evidence that patient engagement improves health outcomes and reduces health care costs has fueled health providers' focus on patient portals as the primary access point for personal health information and patient-provider communication. Whereas much attention has been given to identifying characteristics of older adults who do and do not adopt patient portals and necessary adaptions to portal design, little is known about their attitudes and perceptions regarding patient portal use as a tool for engagement in their health care within the context of health literacy, experience navigating Web-based health information, and previous patient portal use. The specific aims of this study were to explore attitudes toward portal adoption and its perceived usefulness as a tool for health care engagement among adults (65 years and older) who have varying levels of health literacy and degrees of prior patient portal use. A phone survey of 100 community dwelling adults gathered sociodemographic, health, and technology related information. Older adults were purposefully selected for 4 follow-up focus groups based on survey responses to health literacy and previous patient portal use. A mixed-method approach was used to integrate phone survey data with thematic analysis of 4 focus groups. Due to variability in attitudes between focus group participants, an individual case analysis was performed and thematic patterns were used as the basis for subgroup formation. Differences in health literacy, comfort navigating health information on the Web, and previous portal experience explained some but not all differences related to the 7 themes that emerged in the focus groups analysis. Individual cases who shared attitudes were arranged into 5 subgroups from least to most able and willing to engage in health care via a patient portal. The subgroups' overall portal adoption attitudes were: (1) Don't want to feel pushed into anything, (2) Will only adopt if required, (3) Somebody
Imaging diagnosis of portal hypertension.
Conangla-Planes, M; Serres, X; Persiva, O; Augustín, S
2018-02-19
Portal hypertension is a clinical entity defined by a hydrostatic pressure greater than 5mm Hg in the portal territory, being clinically significant when it is greater than or equal to 10mm Hg. Starting from this threshold, complications can develop, such as the bleeding of esophageal varices, the appearance of ascites, or hepatic encephalopathy. Imaging techniques play an important role as a noninvasive method for determining whether portal hypertension is present. This article analyzes various imaging findings that can suggest the presence of portal hypertension and can help to define its etiology, severity, and possible complications. Copyright © 2018. Publicado por Elsevier España, S.L.U.
Elastic collisions of low-energy electrons with SiY4 (Y = Cl, Br, I) molecules
NASA Astrophysics Data System (ADS)
Bettega, M. H. F.
2011-11-01
We employed the Schwinger multichannel method to compute elastic integral, differential, and momentum transfer cross sections for low-energy electron collisions with SiY4 (Y = Cl, Br, I) molecules. The calculations were carried out in the static-exchange and static-exchange plus polarization approximations for energies up to 10 eV. The elastic integral cross section for SiCl4 and SiBr4, computed in the static-exchange plus polarization approximation, shows two shape resonances belonging to the T2 and E symmetries of the Td group, and for SiI4 shows one shape resonance belonging to the E symmetry of the Td group. The present results agree well in shape with experimental total cross sections. The positions of the resonances observed in the calculated integral cross sections are also in agreement with the experimental positions. We have found the presence of a virtual state for SiCl4 and a Ramsauer-Townsend minimum for SiI4 at 0.5 eV. The present results show that the proper inclusion of polarization effects is crucial in order to correctly describe the resonance spectra of these molecules and also to identify a Ramsauer-Townsend minimum for SiI4 and a virtual state for SiCl4.
Al and Si Alloying Effect on Solder Joint Reliability in Sn-0.5Cu for Automotive Electronics
NASA Astrophysics Data System (ADS)
Hong, Won Sik; Oh, Chulmin; Kim, Mi-Song; Lee, Young Woo; Kim, Hui Joong; Hong, Sung Jae; Moon, Jeong Tak
2016-12-01
To suppress the bonding strength degradation of solder joints in automotive electronics, we proposed a mid-temperature quaternary Pb-free Sn-0.5Cu solder alloy with minor Pd, Al, Si and Ge alloying elements. We manufactured powders and solder pastes of Sn-0.5Cu-(0.01,0.03)Al-0.005Si-(0.006-0.007)Ge alloys ( T m = 230°C), and vehicle electronic control units used for a flame-retardant-4 printed circuit board with an organic solderability preservative finish were assembled by a reflow soldering process. To investigate the degradation properties of solder joints used in engine compartments, thermal cycling tests were conducted from -40°C to 125°C (10 min dwell) for 1500 cycles. We also measured the shear strength of the solder joints in various components and observed the microstructural evolution of the solder joints. Based on these results, intermetallic compound (IMC) growth at the solder joints was suppressed by minor Pd, Al and Si additions to the Sn-0.5Cu alloy. After 1500 thermal cycles, IMC layers thicknesses for 100 parts per million (ppm) and 300 ppm Al alloy additions were 6.7 μm and 10 μm, compared to the as-reflowed bonding thicknesses of 6 μm and 7 μm, respectively. Furthermore, shear strength degradation rates for 100 ppm and 300 ppm Al(Si) alloy additions were at least 19.5%-26.2%. The cause of the improvement in thermal cycling reliability was analyzed using the (Al,Cu)-Sn, Si-Sn and Al-Sn phases dispersed around the Cu6Sn5 intermetallic at the solder matrix and bonding interfaces. From these results, we propose the possibility of a mid-temperature Sn-0.5Cu(Pd)-Al(Si)-Ge Pb-free solder for automotive engine compartment electronics.
NASA Astrophysics Data System (ADS)
Matin, M.; Mondal, Rajib; Barman, N.; Thamizhavel, A.; Dhar, S. K.
2018-05-01
Here, we report an extremely large positive magnetoresistance (XMR) in a single-crystal sample of MoSi2, approaching almost 107% at 2 K in a 14-T magnetic field without appreciable saturation. Hall resistivity data reveal an uncompensated nature of MoSi2 with an electron-hole compensation level sufficient enough to expect strong saturation of magnetoresistance in the high-field regime. Magnetotransport and the complementary de Haas-van Alphen (dHvA) oscillations results, however, suggest that strong Zeeman effect causes a magnetic field-induced modulation of the Fermi pockets and drives the system towards perfect electron-hole compensation condition in the high-field regime. Thus, the nonsaturating XMR of this semimetal arises under the unconventional situation of Zeeman effect-driven electron-hole compensation, whereas its huge magnitude is decided solely by the ultralarge value of the carrier mobility. Intrinsic ultralarge carrier mobility, strong suppression of backward scattering of the charge carriers, and nontrivial Berry phase in dHvA oscillations attest to the topological character of MoSi2. Therefore, this semimetal represents another material hosting combination of topological and conventional electronic phases.
Controlled electron doping into metallic atomic wires: Si(111)4×1-In
NASA Astrophysics Data System (ADS)
Morikawa, Harumo; Hwang, C. C.; Yeom, Han Woong
2010-02-01
We demonstrate the controllable electron doping into metallic atomic wires, indium wires self-assembled on the Si(111) surface, which feature one-dimensional (1D) band structure and temperature-driven metal-insulator transition. The electron filling of 1D metallic bands is systematically increased by alkali-metal adsorption, which, in turn, tunes the macroscopic property, that is, suppresses the metal-insulator transition. On the other hand, the dopant atoms induce a local lattice distortion without a band-gap opening, leading to a microscopic phase separation on the surface. The distinct bifunctional, electronic and structural, roles of dopants in different length scales are thus disclosed.
Wen, C; Wan, W; Li, F H; Tang, D
2015-04-01
The [110] cross-sectional samples of 3C-SiC/Si (001) were observed with a spherical aberration-corrected 300 kV high-resolution transmission electron microscope. Two images taken not close to the Scherzer focus condition and not representing the projected structures intuitively were utilized for performing the deconvolution. The principle and procedure of image deconvolution and atomic sort recognition are summarized. The defect structure restoration together with the recognition of Si and C atoms from the experimental images has been illustrated. The structure maps of an intrinsic stacking fault in the area of SiC, and of Lomer and 60° shuffle dislocations at the interface have been obtained at atomic level. Copyright © 2015 Elsevier Ltd. All rights reserved.
Effects of the c-Si/a-SiO 2 interfacial atomic structure on its band alignment: an ab initio study
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zheng, Fan; Pham, Hieu H.; Wang, Lin-Wang
The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here in this study, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containingmore » Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2, was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV« less
Effects of the c-Si/a-SiO 2 interfacial atomic structure on its band alignment: an ab initio study
Zheng, Fan; Pham, Hieu H.; Wang, Lin-Wang
2017-11-13
The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here in this study, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containingmore » Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2, was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV« less
Woods, Susan S; Forsberg, Christopher W; Schwartz, Erin C; Nazi, Kim M; Hibbard, Judith H; Houston, Thomas K; Gerrity, Martha
2017-10-17
As electronic health records and computerized workflows expand, there are unprecedented opportunities to digitally connect with patients using secure portals. To realize the value of patient portals, initial reach across populations will need to be demonstrated, as well as sustained usage over time. The study aim was to identify patient factors associated with short-term and long-term portal usage after patients registered to access all portal functions. We prospectively followed a cohort of patients at a large Department of Veterans Affairs (VA) health care facility who recently completed identity proofing to use the VA patient portal. Information collected at baseline encompassed patient factors potentially associated with portal usage, including: demographics, Internet access and use, health literacy, patient activation, and self-reported health conditions. The primary outcome was the frequency of portal log-ins during 6-month and 18-month time intervals after study enrollment. A total of 270 study participants were followed prospectively. Almost all participants (260/268, 97.0%) reported going online, typically at home (248/268, 92.5%). At 6 months, 84.1% (227/270) of participants had visited the portal, with some variation in usage across demographic and health-related subgroups. There were no significant differences in portal log-ins by age, gender, education, marital status, race/ethnicity, distance to a VA facility, or patient activation measure. Significantly higher portal usage was seen among participants using high-speed broadband at home, greater self-reported ability using the Internet, and routinely going online. By 18 months, 91% participants had logged in to the portal, and no significant associations were found between usage and demographics, health status, or patient activation. When examining portal activity between 6 and 18 months, patients who were infrequent or high portal users remained in those categories, respectively. Short-term and long
Quantitative vs. subjective portal verification using digital portal images.
Bissett, R; Leszczynski, K; Loose, S; Boyko, S; Dunscombe, P
1996-01-15
Off-line, computer-aided prescription (simulator) and treatment (portal) image registration using chamfer matching has been implemented on PC based viewing station. The purposes of this study were (a) to evaluate the performance of interactive anatomy and field edge extraction and subsequent registration, and (b) to compare observer's perceptions of field accuracy with measured discrepancies following anatomical registration. Prescription-treatment image pairs for 48 different patients were examined in this study. Digital prescription images were produced with the aid of a television camera and a digital frame grabber, while the treatment images were obtained directly from an on-line portal imaging system. To facilitate perception of low contrast anatomical detail, on-line portal images were enhanced with selective adaptive histogram equalization prior to extraction of anatomical edges. Following interactive extraction of anatomical and field border information by an experienced observer, the identified anatomy was registered using chamfer matching. The degree of conformity between the prescription and treatment fields was quantified using several parameters, which included relative prescription field coverage and overcoverage, as well as the translational and rotational displacements as measured by chamfer matching applied to the boundaries of the two fields. These quantitative measures were compared with subjective evaluations made by four radiation oncologists. All the images in this series that included a range of the most commonly seen treatment sites were registered and the conformity parameters were found. The mean treatment/prescription field coverage and overcoverage were approximately 95 and 7%, respectively before registration. The mean translational displacement in the transverse and cranio-caudal directions were 2.9 and 3.4 mm, respectively. The mean rotational displacement was approximately 2 degrees. For all four oncologists, the portals classified
NASA Astrophysics Data System (ADS)
Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.
2014-10-01
Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 <= x = O/Si <=1) system with a combination of complementary x-ray and electron spectroscopies. The different surface sensitivities of the employed techniques help to reduce the impact of surface oxides on the spectral interpretation. For all samples, we find the valence band maximum to be located at a similar energy with respect to the Fermi energy. However, for x > 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor
Encouraging Patient Portal Use in the Patient-Centered Medical Home: Three Stakeholder Perspectives
2016-01-01
Background Health care organizations are increasingly offering patients access to their electronic medical record and the ability to communicate with their providers through Web-based patient portals, thus playing a prominent role within the patient-centered medical home (PCMH). However, despite enthusiasm, adoption remains low. Objective We examined factors in the PCMH context that may affect efforts to improve enrollment in a patient portal. Methods Using a sociotechnical approach, we conducted qualitative, semistructured interviews with patients and providers from 3 primary care clinics and with national leaders from across a large integrated health care system. Results We gathered perspectives and analyzed data from 4 patient focus groups and one-on-one interviews with 1 provider from each of 3 primary care clinics and 10 program leaders. We found that leaders were focused on marketing in primary care, whereas patients and providers were often already aware of the portal. In contrast, both patients and providers cited administrative and logistical barriers impeding enrollment. Further, although leadership saw the PCMH as the logical place to focus enrollment efforts, providers and patients were more circumspect and expressed concern about how the patient portal would affect their practice and experience of care. Further, some providers expressed ambivalence about patients using the portal. Despite absence of consensus on how and where to encourage portal adoption, there was wide agreement that promoting enrollment was a worthwhile goal. Conclusions Patients, clinicians, and national leaders agreed that efforts were needed to increase enrollment in the patient portal. Opinions diverged regarding the suitability of the PCMH and, specifically, the primary care clinic for promoting patient portal enrollment. Policymakers should consider diverse stakeholder perspectives in advance of interventions to increase technology adoption. PMID:27876686
Ni(3)Si(Al)/a-SiO(x) core-shell nanoparticles: characterization, shell formation, and stability.
Pigozzi, G; Mukherji, D; Gilles, R; Barbier, B; Kostorz, G
2006-08-28
We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni(3)Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni(3)Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiO(x)). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.
NASA Astrophysics Data System (ADS)
Wang, Xi-Feng; Jia, Wen-Zhu; Song, Yuan-Hong; Zhang, Ying-Ying; Dai, Zhong-Ling; Wang, You-Nian
2017-11-01
Pulsed-discharge plasmas offer great advantages in deposition of silicon-based films due to the fact that they can suppress cluster agglomeration, moderate the energy of bombarding ions, and prolong the species' diffusion time on the substrate. In this work, a one-dimensional fluid/Monte-Carlo hybrid model is applied to study pulse modulated radio-frequency (RF) plasmas sustained in capacitively coupled Ar and SiH4/Ar discharges. First, the electron energy distributions in pulsed Ar and SiH4/Ar plasmas have been investigated and compared under identical discharge-circuit conditions. The electron energy distribution function (EEDF) in Ar discharge exhibits a familiar bi-Maxwellian shape during the power-on phase of the pulse, while a more complex (resembling a multi-Maxwellian) distribution with extra inflection points at lower energies is observed in the case of the SiH4/Ar mixture. These features become more prominent with the increasing fraction of SiH4 in the gas mixture. The difference in the shape of the EEDF (which is pronounced inside the plasma but not in the RF sheath where electron heating occurs) is mainly attributed to the electron-impact excitations of SiH4. During the power-off phase of the pulse, the EEDFs in both Ar and SiH4/Ar discharges evolve into bi-Maxwellian shapes, with shrinking high energy tails. Furthermore, the parameter of ion species in the case of SiH4/Ar discharge is strongly modulated by pulsing. For positive ions, such as SiH3+ and Si2H4+ , the particle fluxes overshoot at the beginning of the power-on interval. Meanwhile, for negative ions such as SiH2- and SiH3- , density profiles observed between the electrodes are saddle-shaped due to the repulsion by the self-bias electric field as it builds up. During the power-off phase, the wall fluxes of SiH2- and SiH3- gradually increase, leading to a significant decrease in the net surface charge density on the driven electrode. Compared with ions, the density of SiH3 is poorly modulated
CzechGeo/EPOS - Building a national data portal
NASA Astrophysics Data System (ADS)
Zednik, J.; Hejda, P.
2012-04-01
CzechGeo/EPOS is the consortium of seven geoscience institutions in the Czech Republic (Institute of Geophysics AS CR Prague, Institute of Rock Structure and Mechanics AS CR Prague, Institute of Geonics AS CR Ostrava, Institute of Physics of the Earth, Masaryk University Brno, Faculty of Mathematics and Physics, Charles University Prague, Faculty of Science, Charles University Prague, and Research Institute of Geodesy, Cartography and Topography Zdiby). These institutions operate a distributed system of seismic, GPS, magnetic, gravimetric and geodynamic observatories. The operational and personal costs of CzechGeo/EPOS are mostly covered by the Ministry of education, sports and youth within the support of twelve large research infrastructures in the Czech Republic. Web pages of the project www.czechgeo.cz are being built as a data portal which should integrate all the data and services provided by the involved institutions and research infrastructures. Seismic portal offers selected portions of digital data from permanent, local and temporary seismic stations, locations of seismic events in the country and worldwide, daily seismograms from permanent observatories and local seismic network Webnet, seismic bulletins and catalogs, and macroseismic observations on the territory of the Czech Republic. Magnetic portal involves besides real-time magnetograms also recent state of geomagnetic activity and its forecast for the next day. GPS portal will provide preprocessed data from regional GPS stations. Building the national portal is closely related with the development of the Preparatory phase of the EPOS (European Plate Observing System) project.
Riedel, Damien; Bocquet, Marie-Laure; Lesnard, Hervé; Lastapis, Mathieu; Lorente, Nicolas; Sonnet, Philippe; Dujardin, Gérald
2009-06-03
Selective electron-induced reactions of individual biphenyl molecules adsorbed in their weakly chemisorbed configuration on a Si(100) surface are investigated by using the tip of a low-temperature (5 K) scanning tunnelling microscope (STM) as an atomic size source of electrons. Selected types of molecular reactions are produced, depending on the polarity of the surface voltage during STM excitation. At negative surface voltages, the biphenyl molecule diffuses across the surface in its weakly chemisorbed configuration. At positive surface voltages, different types of molecular reactions are activated, which involve the change of adsorption configuration from the weakly chemisorbed to the strongly chemisorbed bistable and quadristable configurations. Calculated reaction pathways of the molecular reactions on the silicon surface, using the nudge elastic band method, provide evidence that the observed selectivity as a function of the surface voltage polarity cannot be ascribed to different activation energies. These results, together with the measured threshold surface voltages and the calculated molecular electronic structures via density functional theory, suggest that the electron-induced molecular reactions are driven by selective electron detachment (oxidation) or attachment (reduction) processes.
Percutaneous Portal Vein Access and Transhepatic Tract Hemostasis
Saad, Wael E. A.; Madoff, David C.
2012-01-01
Percutaneous portal vein interventions require minimally invasive access to the portal venous system. Common approaches to the portal vein include transjugular hepatic vein to portal vein access and direct transhepatic portal vein access. A major concern of the transhepatic route is the risk of postprocedural bleeding, which is increased when patients are anticoagulated or receiving pharmaceutical thrombolytic therapy. Thus percutaneous portal vein access and subsequent closure are important technical parts of percutaneous portal vein procedures. At present, various techniques have been used for either portal access or subsequent transhepatic tract closure and hemostasis. Regardless of the method used, meticulous technique is required to achieve the overall safety and effectiveness of portal venous procedures. This article reviews the various techniques of percutaneous transhepatic portal vein access and the various closure and hemostatic methods used to reduce the risk of postprocedural bleeding. PMID:23729976
Behavior of the Si/SiO2 interface observed by Fowler-Nordheim tunneling
NASA Technical Reports Server (NTRS)
Maserjian, J.; Zamani, N.
1982-01-01
Thin-oxide (40-50 A) metal oxide semiconductor (MOS) structures are shown to exhibit, before large levels of electron tunnel injection, the near-ideal behavior predicted for a uniform trapezoidal barrier with thick-oxide properties. The oscillatory field dependence caused by electron-wave interference at the Si/SiO2 interface suggests an abrupt, one-monolayer barrier transition (approximately 2.5 A) consistent with earlier work. After tunnel injection of 10 to the 17th - 5 x 10 to the 18th electrons/sq cm, the barrier undergoes appreciable degradation, leading to enhanced tunneling conductance. Reproducible behavior is observed among different samples. This effect is found to be consistent with the generation of positive states in the region of the oxide near the Si/SiO2 interface (less than 20 A), where the tunneling electrons emerge into the oxide conduction band.
Stability and Elastic, Electronic, and Thermodynamic Properties of Fe2TiSi1- x Sn x Compounds
NASA Astrophysics Data System (ADS)
Jong, Ju-Yong; Yan, Jihong; Zhu, Jingchuan; Kim, Chol-Jin
2017-10-01
We have systematically studied the structural, phase, and mechanical stability and elastic, electronic, and thermodynamic properties of Fe2TiSi1- x Sn x ( x = 0, 0.25, 0.5, 0.75, 1) compounds using first-principles calculations. The structural and phase stability and elastic properties of Fe2TiSi1- x Sn x ( x = 0, 0.25, 0.5, 0.75, 1) indicated that all of the compounds are thermodynamically and mechanically stable. The shear modulus, bulk modulus, Young's modulus, Poisson's ratio, electronic band structure, density of states, Debye temperature, and Grüneisen parameter of all the substituted compounds were studied. The results show that Sn substitution in Fe2TiSi enhances its stability and mechanical and thermoelectric properties. The Fe2TiSi1- x Sn x compounds have narrow bandgap from 0.144 eV and 0.472 eV for Sn substitution from 0 to 1. The calculated band structure and density of states (DOS) of Fe2TiSi1- x Sn x show that the thermoelectric properties can be improved at substituent concentration x of 0.75. The lattice thermal conductivity was significantly decreased in the Sn-substituted compounds, and all the results indicate that Fe2TiSi0.25Sn0.75 could be a new candidate high-performance thermoelectric material.
Pregnancy with Portal Hypertension
Aggarwal, Neelam; Negi, Neha; Aggarwal, Aakash; Bodh, Vijay; Dhiman, Radha K.
2014-01-01
Even though pregnancy is rare with cirrhosis and advanced liver disease, but it may co-exist in the setting of non-cirrhotic portal hypertension as liver function is preserved but whenever encountered together is a complex clinical dilemma. Pregnancy in a patient with portal hypertension presents a special challenge to the obstetrician as so-called physiological hemodynamic changes associated with pregnancy, needed for meeting demands of the growing fetus, worsen the portal hypertension thereby putting mother at risk of potentially life-threatening complications like variceal hemorrhage. Risks of variceal bleed and hepatic decompensation increase many fold during pregnancy. Optimal management revolves round managing the portal hypertension and its complications. Thus management of such cases requires multi-speciality approach involving obstetricians experienced in dealing with high risk cases, hepatologists, anesthetists and neonatologists. With advancement in medical field, pregnancy is not contra-indicated in these women, as was previously believed. This article focuses on the different aspects of pregnancy with portal hypertension with special emphasis on specific cause wise treatment options to decrease the variceal bleed and hepatic decompensation. Based on extensive review of literature, management from pre-conceptional period to postpartum is outlined in order to have optimal maternal and perinatal outcomes. PMID:25755552
NASA Astrophysics Data System (ADS)
Mikaeilzadeh, L.; Pirgholi, M.; Tavana, A.
2018-05-01
Based on the ab-initio non-equilibrium Green's function (NEGF) formalism based on the density functional theory (DFT), we have studied the electron transport in the all-Heusler device Co2CrSi/Cu2CrAl/Co2CrSi. Results show that the calculated transmission spectra is very sensitive to the structural parameters and the interface. Also, we obtain a range for the thickness of the spacer layer for which the MR effect is optimum. Calculations also show a perfect GMR effect in this device.
A Community of Practice: Web Portals and Faculty Development.
ERIC Educational Resources Information Center
Carlson, Patricia A.
2002-01-01
Describes a Web portal constructed by Rose-Hulman Institute of Technology dedicated to improving middle school science, mathematics, and technology instruction. The portal emulates emerging corporate practices of knowledge management and process reinvention through information technology, and offers middle school teachers across Indiana a…
NASA Astrophysics Data System (ADS)
McCurdy, B. M. C.
2013-06-01
An overview is provided of the use of amorphous silicon electronic portal imaging devices (EPIDs) for dosimetric purposes in radiation therapy, focusing on 3D patient dose estimation. EPIDs were originally developed to provide on-treatment radiological imaging to assist with patient setup, but there has also been a natural interest in using them as dosimeters since they use the megavoltage therapy beam to form images. The current generation of clinically available EPID technology, amorphous-silicon (a-Si) flat panel imagers, possess many characteristics that make them much better suited to dosimetric applications than earlier EPID technologies. Features such as linearity with dose/dose rate, high spatial resolution, realtime capability, minimal optical glare, and digital operation combine with the convenience of a compact, retractable detector system directly mounted on the linear accelerator to provide a system that is well-suited to dosimetric applications. This review will discuss clinically available a-Si EPID systems, highlighting dosimetric characteristics and remaining limitations. Methods for using EPIDs in dosimetry applications will be discussed. Dosimetric applications using a-Si EPIDs to estimate three-dimensional dose in the patient during treatment will be overviewed. Clinics throughout the world are implementing increasingly complex treatments such as dynamic intensity modulated radiation therapy and volumetric modulated arc therapy, as well as specialized treatment techniques using large doses per fraction and short treatment courses (ie. hypofractionation and stereotactic radiosurgery). These factors drive the continued strong interest in using EPIDs as dosimeters for patient treatment verification.
Chen, L; Groszmann, R J
1996-10-01
In portal-hypertensive humans, portal blood flow and pressure increase after a meal. These hemodynamic changes may increase variceal rupture risk. The aim of this study was to determine whether blood in the stomach lumen increases splanchnic flow and portal pressure (PP) in portal-hypertensive rats. superior mesenteric artery flow and PP were measured in conscious, unrestrained, fasted partial portal vein-ligated rats with chronically implanted Doppler flow probes or portal vein catheters before and after gavage with heparinized, warmed blood from donor rats, air, standard meal, or empty tube. Percentage of changes in flow and pressure from baseline were significantly greater after gavage with blood (an increase of 22.6% +/- 3.5% and an increase of 16.4% +/- 3.1%, respectively) than empty tube (an increase of 3.4% +/- 0.6% and a decrease of 5.4% +/- 3.5%, respectively) (P < 0.005). Percentage of changes in flow and pressure were slightly but insignificantly greater after gavage with air vs. empty tube (P < 0.005). In portal-hypertensive rats, blood in the stomach lumen significantly increases splanchnic blood flow and PP. Splanchnic hyperemia from absorption of blood's calories probably contributes to these hemodynamic changes. In patients with variceal hemorrhage, blood in the stomach may increase the risk of persistent variceal bleeding or rebleeding.
Hepatic arteriovenous fistulae and portal vein hypoplasia in a Labrador retriever.
Schaeffer, I G; Kirpensteijn, J; Wolvekamp, W T; Van den Ingh, T S; Rothuizen, J
2001-03-01
An 18-month-old male Labrador retriever was referred for investigation of chronic intermittent diarrhoea and vomiting of two months duration. A diagnosis of hepatic arteriovenous fistulae was made. These are extremely rare hepatic vascular anomalies which confer arterial pressure to the portal vein. Liver atrophy, portal vein hypoplasia, portal hypertension and multiple acquired portosystemic collateral vessels are the main complications. Surgical excision is a challenge as resection of large lesions may be associated with significant blood loss. In this dog, persistence of portal vein hypoplasia and extensive collateral pathways following surgery led to a reserved prognosis.
Electron concentration in highly resistive GaN substrates co-doped with Si, C, and Fe
NASA Astrophysics Data System (ADS)
Tokuda, Hirokuni; Suzuki, Kosuke; Asubar, Joel T.; Kuzuhara, Masaaki
2018-07-01
Electron concentration in highly resistive GaN substrates with intentional iron (Fe) dopants as well as unintentionally incorporated silicon (Si) and carbon (C) dopants has been investigated. Si, C, and Fe atomic concentrations were 2 × 1017, 1 × 1016, and 1 × 1019 cm‑3, respectively as measured by secondary ion mass spectroscopy (SIMS). Temperature dependence of current–voltage (I–V) characteristics revealed that the resistivity (ρ) was 3.8 × 109 Ω cm at 300 K and monotonously decreased to 3.1 × 104 Ω cm at 570 K, giving an activation energy of 0.63 eV. Electron concentration (n) was modeled using analytical equation assuming three impurity levels of Si donor, C and Fe acceptors. The n of 5.0 × 107 and 3.1 × 1012 cm‑3 at 300 and 570 K, respectively, with an effective activation energy of 0.60 eV, were derived based on the model. These calculated electron concentration values are in good agreement with the experimental results. In addition, quantitatively analyzed results revealed that around 2 orders of magnitude reduction of n is expected by increasing doping concentration of Fe from 1.0 × 1018 to 1.0 × 1020 cm‑3.
An electrostatic Si e-gun and a high temperature elemental B source for Si heteroepitaxial growth
NASA Astrophysics Data System (ADS)
Scarinci, F.; Casella, A.; Lagomarsino, S.; Fiordelisi, M.; Strappaveccia, P.; Gambacorti, N.; Grimaldi, M. G.; Xue, LiYing
1996-08-01
In this paper we present two kind of sources used in Si MBE growth: a Si source where an electron beam is electrostatically deflected onto a Si rod and a high temperature B source to be used for p-doping. Both sources have been designed and constructed at IESS. The Si source is constituted of a Si rod mounted on a 3/4″ flange with high-voltage connector. A W filament held at high voltage (up to 2000 V) is heated by direct current. Electrons from the filament are electrostatically focused onto the Si rod which is grounded. This mounting allows a minimum heating dispersion and no contamination, because the only hot objects are the Si rod and the W filament which is mounted in such a way that it cannot see the substrate. Growth rates of 10 Å/min on a substrate at 20 cm from the source have been measured. Auger and LEED have shown no contamination. The B source is constituted of a graphite block heated by direct current. A pyrolitic graphite crucible put in the graphite heater contains the elemental B. The cell is water cooled and contains Ta screens to avoid heat dispersion. It has been tested up to a temperature of 1700°C. P-doped Si 1- xGe x layers have been grown and B concentration has been measured by SIMS. A good control and reproducibility has been attained.
Wee, Leonard; Hackett, Sara Lyons; Jones, Andrew; Lim, Tee Sin; Harper, Christopher Stirling
2013-01-01
This study evaluated the agreement of fiducial marker localization between two modalities — an electronic portal imaging device (EPID) and cone‐beam computed tomography (CBCT) — using a low‐dose, half‐rotation scanning protocol. Twenty‐five prostate cancer patients with implanted fiducial markers were enrolled. Before each daily treatment, EPID and half‐rotation CBCT images were acquired. Translational shifts were computed for each modality and two marker‐matching algorithms, seed‐chamfer and grey‐value, were performed for each set of CBCT images. The localization offsets, and systematic and random errors from both modalities were computed. Localization performances for both modalities were compared using Bland‐Altman limits of agreement (LoA) analysis, Deming regression analysis, and Cohen's kappa inter‐rater analysis. The differences in the systematic and random errors between the modalities were within 0.2 mm in all directions. The LoA analysis revealed a 95% agreement limit of the modalities of 2 to 3.5 mm in any given translational direction. Deming regression analysis demonstrated that constant biases existed in the shifts computed by the modalities in the superior–inferior (SI) direction, but no significant proportional biases were identified in any direction. Cohen's kappa analysis showed good agreement between the modalities in prescribing translational corrections of the couch at 3 and 5 mm action levels. Images obtained from EPID and half‐rotation CBCT showed acceptable agreement for registration of fiducial markers. The seed‐chamfer algorithm for tracking of fiducial markers in CBCT datasets yielded better agreement than the grey‐value matching algorithm with EPID‐based registration. PACS numbers: 87.55.km, 87.55.Qr PMID:23835391
4. East portal of Tunnel 22, view to the eastsoutheast, ...
4. East portal of Tunnel 22, view to the east-southeast, 135mm lens with electronic flash fill. Note the depth of water within the tunnel, a sympton of the spring-laden slope above the tunnel that led to its eventual abandonment. - Southern Pacific Railroad Natron Cutoff, Tunnel 23, Milepost 584.5, Westfir, Lane County, OR
Theoretical study of the electronic states of newly detected dications. Case of MgS2+ AND SiN2+
NASA Astrophysics Data System (ADS)
Khairat, Toufik; Salah, Mohammed; Marakchi, Khadija; Komiha, Najia
2017-08-01
The dications MgS2+ and SiN2+, experimentally observed by mass spectroscopy, are theoretically studied here. The potential energy curves of the electronic states of the two dications MgS2+ and SiN2+ are mapped and their spectroscopic parameters determined by analysis of the electronic, vibrational and rotational wave functions obtained by using complete active space self-consistent field (CASSCF) calculations, followed by the internally contracted multi-reference configuration interaction (MRCI)+Q associated with the AV5Z correlation consistent atomic orbitals basis sets. In the following, besides the characterization of the potential energy curves, excitation and dissociation energies, spectroscopic constants and a double-ionization spectra of MgS and SiN are determined using the transition moments values and Franck-Condon factors. The electronic ground states of the two dications appear to be of X3∑-nature for MgS2+ and X4∑- for SiN2+ and shows potential wells of about 1.20 eV and 1.40 eV, respectively. Several excited states of these doubly charged molecules also depicted here are slightly bound. The adiabatic double-ionization energies were deduced, at 21.4 eV and 18.4 eV, respectively, from the potential energy curves of the electronic ground states of the neutral and charged species. The neutral molecules, since involved, are also investigated here. From all these results, the experimental lines of the mass spectra of MgS and SiN could be partly assigned.
Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.
Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y
2015-03-13
The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.
Valence electronic structure of Ni in Ni Si alloys from relative K X-ray intensity studies
NASA Astrophysics Data System (ADS)
Kalayci, Y.; Aydinuraz, A.; Tugluoglu, B.; Mutlu, R. H.
2007-02-01
The Kβ-to-Kα X-ray intensity ratio of Ni in Ni 3Si, Ni 2Si and NiSi has been determined by energy dispersive X-ray fluorescence technique. It is found that the intensity ratio of Ni decreases from pure Ni to Ni 2Si and then increases from Ni 2Si to NiSi, in good agreement with the electronic structure calculations cited in the literature. We have also performed band structure calculations for pure Ni in various atomic configurations by means of linear muffin-tin orbital method and used this data with the normalized theoretical intensity ratios cited in the literature to estimate the 3d-occupation numbers of Ni in Ni-Si alloys. It is emphasized that investigation of alloying effect in terms of X-ray intensity ratios should be carried out for the stoichiometric alloys in order to make reliable and quantitative comparisons between theory and experiment in transition metal alloys.
NASA Astrophysics Data System (ADS)
Seema, K.; Kumar, Ranjan
2014-01-01
The structural, electronic, magnetic and optical properties of Co-based Heusler compounds, Co2CrZ (Z = Si, Ge), are studied using first-principle density functional theory. The calculations are performed within the generalized gradient approximation. Our calculated structural parameters at 0 GPa agree well with previous available results. The calculated magnetic moment agrees well with the Slater-Pauling (SP) rule. We have studied the effect of pressure on the electronic and magnetic properties of Co2CrSi and Co2CrGe. With an increase in applied pressure, a decrease in cell volume is observed. Under application of external pressure, the valence band and conduction band are shifted downward which leads to a modification of electronic structure. There exists an indirect band gap along Γ-X for both the alloys. Co2CrSi and Co2CrGe retain 100% spin polarization up to 60 and 50 GPa, respectively. The local magnetic moments of the Co and Si (Ge) atoms increase with an increase in pressure whereas the local magnetic moment of the Cr atom decreases. In addition, the optical properties such as dielectric function, absorption spectra, optical conductivity and energy loss function of these alloys have also been investigated. To our knowledge this is the first theoretical prediction of the pressure dependence of the structural, electronic, magnetic and optical properties of Co2CrSi and Co2CrGe.
Improving health care proxy documentation using a web-based interview through a patient portal
Crotty, Bradley H; Kowaloff, Hollis B; Safran, Charles; Slack, Warner V
2016-01-01
Objective Health care proxy (HCP) documentation is suboptimal. To improve rates of proxy selection and documentation, we sought to develop and evaluate a web-based interview to guide patients in their selection, and to capture their choices in their electronic health record (EHR). Methods We developed and implemented a HCP interview within the patient portal of a large academic health system. We analyzed the experience, together with demographic and clinical factors, of the first 200 patients who used the portal to complete the interview. We invited users to comment about their experience and analyzed their comments using established qualitative methods. Results From January 20, 2015 to March 13, 2015, 139 of the 200 patients who completed the interview submitted their HCP information for their clinician to review in the EHR. These patients had a median age of 57 years (Inter Quartile Range (IQR) 45–67) and most were healthy. The 99 patients who did not previously have HCP information in their EHR were more likely to complete and then submit their information than the 101 patients who previously had a proxy in their health record (odds ratio 2.4, P = .005). Qualitative analysis identified several ways in which the portal-based interview reminded, encouraged, and facilitated patients to complete their HCP. Conclusions Patients found our online interview convenient and helpful in facilitating selection and documentation of an HCP. Our study demonstrates that a web-based interview to collect and share a patient’s HCP information is both feasible and useful. PMID:26568608
Horvath, Monica; Levy, Janet; L'Engle, Pete; Carlson, Boyd; Ahmad, Asif; Ferranti, Jeffrey
2011-05-26
Internet portal technologies that provide access to portions of electronic health records have the potential to revolutionize patients' involvement in their care. However, relatively few descriptions of the demographic characteristics of portal enrollees or of the effects of portal technology on quality outcomes exist. This study examined data from patients who attended one of seven Duke Medicine clinics and who were offered the option of enrolling in and using the Duke Medicine HealthView portal (HVP). The HVP allows patients to manage details of their appointment scheduling and provides automated email appointment reminders in addition to the telephone and mail reminders that all patients receive. Our objective was to test whether portal enrollment with an email reminder functionality is significantly related to decreases in rates of appointment "no-shows," which are known to impair clinic operational efficiency. Appointment activity during a 1-year period was examined for all patients attending one of seven Duke Medicine clinics. Patients were categorized as portal enrollees or as nonusers either by their status at time of appointment or at the end of the 1-year period. Demographic characteristics and no-show rates among these groups were compared. A binomial logistic regression model was constructed to measure the adjusted impact of HVP enrollment on no-show rates, given confounding factors. To demonstrate the effect of HVP use over time, monthly no-show rates were calculated for patient appointment keeping and contrasted between preportal and postportal deployment periods. Across seven clinics, 58,942 patients, 15.7% (9239/58,942) of whom were portal enrollees, scheduled 198,199 appointments with an overall no-show rate of 9.9% (19,668/198,199). We found that HVP enrollees were significantly more likely to be female, white, and privately insured compared with nonusers. Bivariate no-show rate differences between portal enrollment groups varied widely according
Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si
NASA Technical Reports Server (NTRS)
Manasevit, H. M.; Gergis, I. S.; Jones, A. B.
1982-01-01
Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.
High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation
NASA Technical Reports Server (NTRS)
Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.
2003-01-01
High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.
Pathology of idiopathic non-cirrhotic portal hypertension.
Guido, Maria; Sarcognato, Samantha; Sacchi, Diana; Colloredo, Guido
2018-04-12
Idiopathic non-cirrhotic portal hypertension is an under-recognized vascular liver disease of unknown etiology, characterized by clinical signs of portal hypertension in the absence of cirrhosis. By definition, any disorder known to cause portal hypertension in the absence of cirrhosis and any cause of chronic liver disease must be excluded to make a diagnosis of idiopathic non-cirrhotic portal hypertension. However, the diagnosis is often difficult because the disease resembles cirrhosis and there is no gold standard test. Liver biopsy is an essential tool: it is able to exclude cirrhosis and other causes of portal hypertension and it allows the identification of the characteristic lesions. Nonetheless, the histological diagnosis of idiopathic non-cirrhotic portal hypertension is not always straightforward, in particular by needle biopsy samples, because there is no pathognomonic lesion, but rather a variety of vascular changes which are unevenly distributed, very subtle, and not all necessarily identified in a single specimen. Pathologists should be able to recognize several patterns of injury, involving portal/periportal areas as well as parenchymal structures.The histological features of idiopathic non-cirrhotic portal hypertension are described in this review, focusing on their interpretation in needle biopsy specimens.
NASA Astrophysics Data System (ADS)
Kar, Debjit; Das, Debajyoti
2016-07-01
With the advent of nc-Si solar cells having improved stability, the efficient growth of nc-Si i-layer of the top cell of an efficient all-Si solar cell in the superstrate configuration prefers nc-Si n-layer as its substrate. Accordingly, a wide band gap and high conducting nc-Si alloy material is a basic requirement at the n-layer. Present investigation deals with the development of phosphorous doped n-type nanocrystalline silicon quantum dots embedded in hydrogenated amorphous silicon carbide (nc-Si-QD/a-SiC:H) hetero-structure films, wherein the optical band gap can be widened by the presence of Si-C bonds in the amorphous matrix and the embedded high density tiny nc-Si-QDs could provide high electrical conductivity, particularly in P-doped condition. The nc-Si-QDs simultaneously facilitate further widening of the optical band gap by virtue of the associated quantum confinement effect. A complete investigation has been made on the electrical transport phenomena involving charge transfer by tunneling and thermionic emission prevailing in n-type nc-Si-QD/a-SiC:H thin films. Their correlation with different phases of the specific heterostructure has been carried out for detailed understanding of the material, in order to improve its device applicability. The n-type nc-Si-QD/a-SiC:H films exhibit a thermally activated electrical transport above room temperature and multi-phonon hopping (MPH) below room temperature, involving defects in the amorphous phase and the grain-boundary region. The n-type nc-Si-QD/a-SiC:H films grown at ˜300 °C, demonstrating wide optical gap ˜1.86-1.96 eV and corresponding high electrical conductivity ˜4.5 × 10-1-1.4 × 10-2 S cm-1, deserve to be an effective foundation layer for the top nc-Si sub-cell of all-Si solar cells in n-i-p structure with superstrate configuration.
Jia, Haiping; Stock, Christoph; Kloepsch, Richard; He, Xin; Badillo, Juan Pablo; Fromm, Olga; Vortmann, Britta; Winter, Martin; Placke, Tobias
2015-01-28
In this work, a novel, porous structured NiSi2/Si composite material with a core-shell morphology was successfully prepared using a facile ball-milling method. Furthermore, the chemical vapor deposition (CVD) method is deployed to coat the NiSi2/Si phase with a thin carbon layer to further enhance the surface electronic conductivity and to mechanically stabilize the whole composite structure. The morphology and porosity of the composite material was evaluated by scanning electron microscopy (SEM), transmission electron microscopy (TEM), and nitrogen adsorption measurements (BJH analysis). The as-prepared composite material consists of NiSi2, silicon, and carbon phases, in which the NiSi2 phase is embedded in a silicon matrix having homogeneously distributed pores, while the surface of this composite is coated with a carbon layer. The electrochemical characterization shows that the porous and core-shell structure of the composite anode material can effectively absorb and buffer the immense volume changes of silicon during the lithiation/delithiation process. The obtained NiSi2/Si/carbon composite anode material displays an outstanding electrochemical performance, which gives a stable capacity of 1272 mAh g(-1) for 200 cycles at a charge/discharge rate of 1C and a good rate capability with a reversible capacity of 740 mAh g(-1) at a rate of 5C.
Idiopathic Noncirrhotic Portal Hypertension: An Appraisal
Lee, Hwajeong; Rehman, Aseeb Ur; Fiel, M. Isabel
2016-01-01
Idiopathic noncirrhotic portal hypertension is a poorly defined clinical condition of unknown etiology. Patients present with signs and symptoms of portal hypertension without evidence of cirrhosis. The disease course appears to be indolent and benign with an overall better outcome than cirrhosis, as long as the complications of portal hypertension are properly managed. This condition has been recognized in different parts of the world in diverse ethnic groups with variable risk factors, resulting in numerous terminologies and lack of standardized diagnostic criteria. Therefore, although the diagnosis of idiopathic noncirrhotic portal hypertension requires clinical exclusion of other conditions that can cause portal hypertension and histopathologic confirmation, this entity is under-recognized clinically as well as pathologically. Recent studies have demonstrated that variable histopathologic entities with different terms likely represent a histologic spectrum of a single entity of which obliterative portal venopathy might be an underlying pathogenesis. This perception calls for standardization of the nomenclature and formulation of widely accepted diagnostic criteria, which will facilitate easier recognition of this disorder and will highlight awareness of this entity. PMID:26563701
Molecular cascade Auger decays following Si KL23L23 Auger transitions in SiCl4
NASA Astrophysics Data System (ADS)
Suzuki, I. H.; Bandoh, Y.; Mochizuki, T.; Fukuzawa, H.; Tachibana, T.; Yamada, S.; Takanashi, T.; Ueda, K.; Tamenori, Y.; Nagaoka, S.
2016-08-01
Cascade Si LVV Auger electron spectra at the photoexcitation of the Si 1s electron in a SiCl4 molecule have been measured using an electron spectrometer combined with monochromatized undulator radiation. In the instance of the resonant excitation of the Si 1s electron into the vacant molecular orbital a peak with high yield is observed at about 106 eV, an energy considerably higher than the energies of the normal LVV Auger electron. This peak is presumed to originate from the participator decay from the state with two 2p holes and one excited electron into the state with one 2p hole and one valence hole. Following the normal KL23L23 Auger transition, the cascade spectrum shows several peak structures, e.g. 63 eV, 76 eV and 91 eV. The peak at 91 eV is probably assigned to the second step Auger decay into states having a 2p hole together with two valence holes. These findings are similar to experimental results of SiF4. The former two peaks (63 eV and 76 eV) are ascribed to Auger transitions of Si atomic ions produced through molecular ion dissociation after the first step cascade decays, although the peak heights of atomic ions are lower than those of SiF4.
Zhang, Xindi; Warren, Jim; Corter, Arden; Goodyear-Smith, Felicity
2016-01-01
This paper describes development of a prototype data analytics portal for analysis of accumulated screening results from eCHAT (electronic Case-finding and Help Assessment Tool). eCHAT allows individuals to conduct a self-administered lifestyle and mental health screening assessment, with usage to date chiefly in the context of primary care waiting rooms. The intention is for wide roll-out to primary care clinics, including secondary school based clinics, resulting in the accumulation of population-level data. Data from a field trial of eCHAT with sexual health questions tailored to youth were used to support design of a data analytics portal for population-level data. The design process included user personas and scenarios, screen prototyping and a simulator for generating large-scale data sets. The prototype demonstrates the promise of wide-scale self-administered screening data to support a range of users including practice managers, clinical directors and health policy analysts.
Talonavicular joint arthroscopic portals: A cadaveric study of feasibility and safety.
Xavier, Gabriel; Oliva, Xavier Martin; Rotinen, Mauri; Monzo, Mariano
2016-09-01
The objectives of the study were to evaluate the safety of hypothetical arthroscopic portals from talonavicular joint and to evaluate their reproducibility and enforceability. 19 cadaveric feet were marked and four arthroscopic portals were made (medial, dorsomedial, dorsolateral and lateral). The specimens were dissected in layers and the distances between neurovascular structures and the trocars were measured. Medial and dorsomedial portals were in average 8.3 and 8.7, respectively, to the saphenous vein and nerve. Dorsolateral portal was in average 8.1mm to the deep peroneal nerve and dorsalis pedis artery, and 9.1mm to the medial dorsal cutaneous branch of the superficial peroneal nerve. Lateral portal was in average 12.3mm to the intermediate dorsal cutaneous branch of the superficial peroneal nerve. Tested portals shown to have a good safety margin for the foot neurovascular deep dorsal structures and an acceptable safety margin for the superficial neurovascular structures. Copyright © 2015 European Foot and Ankle Society. Published by Elsevier Ltd. All rights reserved.
Consumers' Patient Portal Preferences and Health Literacy: A Survey Using Crowdsourcing.
Zide, Mary; Caswell, Kaitlyn; Peterson, Ellen; Aberle, Denise R; Bui, Alex At; Arnold, Corey W
2016-06-08
eHealth apps have the potential to meet the information needs of patient populations and improve health literacy rates. However, little work has been done to document perceived usability of portals and health literacy of specific topics. Our aim was to establish a baseline of lung cancer health literacy and perceived portal usability. A survey based on previously validated instruments was used to assess a baseline of patient portal usability and health literacy within the domain of lung cancer. The survey was distributed via Amazon's Mechanical Turk to 500 participants. Our results show differences in preferences and literacy by demographic cohorts, with a trend of chronically ill patients having a more positive reception of patient portals and a higher health literacy rate of lung cancer knowledge (P<.05). This article provides a baseline of usability needs and health literacy that suggests that chronically ill patients have a greater preference for patient portals and higher level of health literacy within the domain of lung cancer.
NASA Astrophysics Data System (ADS)
Chegel, Raad; Behzad, Somayeh
2014-02-01
We investigated the electronic properties of silicon nanotubes (SiNTs) under external transverse electric fields and axial magnetic fields using the tight-binding approximation. It was found that, after switching on the electric and magnetic fields, band modifications such as distortion of degeneracy, change in energy dispersion and subband spacing, and bandgap size reduction occur. The bandgap of silicon gear-like nanotubes (Si g-NTs) decreases linearly with increasing electric field strength, but the bandgap for silicon hexagonal nanotubes (Si h-NTs) first increases and then decreases (metallic) or first remains constant and then decreases (semiconducting). Our results show that the bandgap of Si h-NTs is very sensitive to both electric and magnetic fields, unlike Si g-NTs, which are more sensitive to electric than magnetic fields.
Understanding patient portal use: implications for medication management.
Osborn, Chandra Y; Mayberry, Lindsay Satterwhite; Wallston, Kenneth A; Johnson, Kevin B; Elasy, Tom A
2013-07-03
The Internet can be leveraged to provide disease management support, including medication adherence promotion that, when tailored, can effectively improve adherence to medications. The growing adoption of patient portals represents an opportunity to support medication management and adherence more broadly, but virtually no data exist about the real and potential impact of existing portals on these outcomes. We sought to (1) understand who uses an existing patient portal and reasons for use and nonuse, (2) understand how portal users are using a portal to manage their medications, and (3) explore participants' ideas for improving portal functionality for medication management and adherence support. A total of 75 adults with type 2 diabetes participated in a mixed-methods study involving focus groups, a survey, and a medical chart review. We used quantitative data to identify differences between portal users and nonusers, and to test the relationship between the frequency of portal use and glycemic control among users. We used qualitative methods to understand how and why participants use a portal and their ideas for improving its medication management functionality. Of the enrolled participants, 81% (61/75) attended a focus group and/or completed a survey; portal users were more likely than nonusers to participate in that capacity (Fisher exact test; P=.01). Users were also more likely than nonusers to be Caucasian/white (Fisher exact test; P<.001), have higher incomes (Fisher exact test; P=.005), and be privately insured (Fisher exact test; P<.001). Users also tended to have more education than nonusers (Mann-Whitney U; P=.05), although this relationship was not significant at P<.05. Among users, more frequent use of a portal was associated with better A1C (Spearman rho =-0.30; P=.02). Reasons for nonuse included not knowing about the portal (n=3), not having access to a computer (n=3), or having a family member serve as an online delegate (n=1). Users reported
NewProt - a protein engineering portal.
Schwarte, Andreas; Genz, Maika; Skalden, Lilly; Nobili, Alberto; Vickers, Clare; Melse, Okke; Kuipers, Remko; Joosten, Henk-Jan; Stourac, Jan; Bendl, Jaroslav; Black, Jon; Haase, Peter; Baakman, Coos; Damborsky, Jiri; Bornscheuer, Uwe; Vriend, Gert; Venselaar, Hanka
2017-06-01
The NewProt protein engineering portal is a one-stop-shop for in silico protein engineering. It gives access to a large number of servers that compute a wide variety of protein structure characteristics supporting work on the modification of proteins through the introduction of (multiple) point mutations. The results can be inspected through multiple visualizers. The HOPE software is included to indicate mutations with possible undesired side effects. The Hotspot Wizard software is embedded for the design of mutations that modify a proteins' activity, specificity, or stability. The NewProt portal is freely accessible at http://newprot.cmbi.umcn.nl/ and http://newprot.fluidops.net/. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.
Patient portals and broadband internet inequality.
Perzynski, Adam T; Roach, Mary Joan; Shick, Sarah; Callahan, Bill; Gunzler, Douglas; Cebul, Randall; Kaelber, David C; Huml, Anne; Thornton, John Daryl; Einstadter, Douglas
2017-09-01
Patient portals have shown potential for increasing health care quality and efficiency. Internet access and other factors influencing patient portal use could worsen health disparities. Observational study of adults with 1 or more visits to the outpatient clinics of an urban public health care system from 2012 to 2015. We used mixed effects logistic regression to evaluate the association between broadband internet access and (1) patient portal initiation (whether a patient logged in at least 1 time) and (2) messaging, controlling for demographic and neighborhood characteristics. There were 243 248 adults with 1 or more visits during 2012-2015 and 70 835 (29.1%) initiated portal use. Portal initiation was 34.1% for whites, 23.4% for blacks, and 23.8% for Hispanics, and was lower for Medicaid (26.5%), Medicare (23.4%), and uninsured patients (17.4%) than commercially insured patients (39.3%). In multivariate analysis, both initiation of portal use (odds ratio [OR] = 1.24 per quintile, 95% confidence interval [CI], 1.23-1.24, P < .0001) and sending messages to providers (OR = 1.15, 95%CI, 1.09-1.14, P < .0001) were associated with neighborhood broadband internet access. The majority of adults with outpatient visits to a large urban health care system did not use the patient portal, and initiation of use was lower for racial and ethnic minorities, persons of lower socioeconomic status, and those without neighborhood broadband internet access. These results suggest the emergence of a digital divide in patient portal use. Given the scale of investment in patient portals and other internet-dependent health information technologies, efforts are urgently needed to address this growing inequality. © The Author 2017. Published by Oxford University Press on behalf of the American Medical Informatics Association. All rights reserved. For Permissions, please email: journals.permissions@oup.com
NASA Astrophysics Data System (ADS)
Junige, Marcel; Löffler, Markus; Geidel, Marion; Albert, Matthias; Bartha, Johann W.; Zschech, Ehrenfried; Rellinghaus, Bernd; van Dorp, Willem F.
2017-09-01
Area selectivity is an emerging sub-topic in the field of atomic layer deposition (ALD), which employs opposite nucleation phenomena to distinct heterogeneous starting materials on a surface. In this paper, we intend to grow Ru exclusively on locally pre-defined Pt patterns, while keeping a SiO2 substratum free from any deposition. In a first step, we study in detail the Ru ALD nucleation on SiO2 and clarify the impact of the set-point temperature. An initial incubation period with actually no growth was revealed before a formation of minor, isolated RuO x islands; clearly no continuous Ru layer formed on SiO2. A lower temperature was beneficial in facilitating a longer incubation and consequently a wider window for (inherent) selectivity. In a second step, we write C-rich Pt micro-patterns on SiO2 by focused electron-beam-induced deposition (FEBID), varying the number of FEBID scans at two electron beam acceleration voltages. Subsequently, the localized Pt(C) deposits are pre-cleaned in O2 and overgrown by Ru ALD. Already sub-nanometer-thin Pt(C) patterns, which were supposedly purified into some form of Pt(O x ), acted as very effective activation for the locally restricted, thus area-selective ALD growth of a pure, continuous Ru covering, whereas the SiO2 substratum sufficiently inhibited towards no growth. FEBID at lower electron energy reduced unwanted stray deposition and achieved well-resolved pattern features. We access the nucleation phenomena by utilizing a hybrid metrology approach, which uniquely combines in-situ real-time spectroscopic ellipsometry, in-vacuo x-ray photoelectron spectroscopy, ex-situ high-resolution scanning electron microscopy, and mapping energy-dispersive x-ray spectroscopy.
The Higgs Portal and Cosmology
DOE Office of Scientific and Technical Information (OSTI.GOV)
Assamagan, Ketevi; Chien-Yi Chen; Chou, John Paul
Higgs portal interactions provide a simple mechanism for addressing two open problems in cosmology: dark matter and the baryon asymmetry. In the latter instance, Higgs portal interactions may contain the ingredients for a strong first-order electroweak phase transition as well as new CP-violating interactions as needed for electroweak baryogenesis. These interactions may also allow for a viable dark matter candidate. We survey the opportunities for probing the Higgs portal as it relates to these questions in cosmology at the LHC and possible future colliders.
Electronic structure of O-doped SiGe calculated by DFT + U method
NASA Astrophysics Data System (ADS)
Zhao, Zong-Yan; Yang, Wen; Yang, Pei-Zhi
2016-12-01
To more in depth understand the doping effects of oxygen on SiGe alloys, both the micro-structure and properties of O-doped SiGe (including: bulk, (001) surface, and (110) surface) are calculated by DFT + U method in the present work. The calculated results are as follows. (i) The (110) surface is the main exposing surface of SiGe, in which O impurity prefers to occupy the surface vacancy sites. (ii) For O interstitial doping on SiGe (110) surface, the existences of energy states caused by O doping in the band gap not only enhance the infrared light absorption, but also improve the behaviors of photo-generated carriers. (iii) The finding about decreased surface work function of O-doped SiGe (110) surface can confirm previous experimental observations. (iv) In all cases, O doing mainly induces the electronic structures near the band gap to vary, but is not directly involved in these variations. Therefore, these findings in the present work not only can provide further explanation and analysis for the corresponding underlying mechanism for some of the experimental findings reported in the literature, but also conduce to the development of μc-SiGe-based solar cells in the future. Project supported by the Natural Science Foundation of Yunnan Province, China (Grant No. 2015FB123), the 18th Yunnan Province Young Academic and Technical Leaders Reserve Talent Project, China (Grant No. 2015HB015), and the National Natural Science Foundation of China (Grant No. U1037604).
Idiopathic noncirrhotic portal hypertension: current perspectives.
Riggio, Oliviero; Gioia, Stefania; Pentassuglio, Ilaria; Nicoletti, Valeria; Valente, Michele; d'Amati, Giulia
2016-01-01
The term idiopathic noncirrhotic portal hypertension (INCPH) has been recently proposed to replace terms, such as hepatoportal sclerosis, idiopathic portal hypertension, incomplete septal cirrhosis, and nodular regenerative hyperplasia, used to describe patients with a hepatic presinusoidal cause of portal hypertension of unknown etiology, characterized by features of portal hypertension (esophageal varices, nonmalignant ascites, porto-venous collaterals), splenomegaly, patent portal, and hepatic veins and no clinical and histological signs of cirrhosis. Physicians should learn to look for this condition in a number of clinical settings, including cryptogenic cirrhosis, a disease known to be associated with INCPH, drug administration, and even chronic alterations in liver function tests. Once INCPH is clinically suspected, liver histology becomes mandatory for the correct diagnosis. However, pathologists should be familiar with the histological features of INCPH, especially in cases in which histology is not only requested to exclude liver cirrhosis.
A new rat model of portal hypertension induced by intraportal injection of microspheres
Li, Xiang-Nong; Benjamin, IS; Alexander, B
1998-01-01
AIM: To produce a new rat model of portal hypertension by intraportal injection of microspheres. METHODS: Measured aliquots of single or different-sized microspheres (15, 40, 80μm) were injected into the portal vein to block intrahepatic portal radicals. The resultant changes in arterial,portal,hepatic venous and splenic pulp pressures were monitored. The liver and lungs were excised for histological examination. RESULTS: Portal venous pressure was elevated from basal value of 0.89-1.02 kPa to a steady-state of 1.98-3.19 kPa following the sequential injections of single- or different-sized microspheres, with a markedly lowered mean arterial pressure. However, a small-dose injection of 80 μm microspheres (1.8 × 105) produced a steady-state portal venous pressure of 2.53 × 0.17 kPa, and all rats showed normal arterial pressures. In addition, numerous microspheres were found in the lungs in all experimental groups. CONCLUSION: Portal hypertension can be reproduced in rats by intraportal injection of microspheres at a small dose of 80 μm (1.8 × 105). Intrahepatic portal-systemic shunts probably exist in the normal rat liver. PMID:11819236
Alternatives for joining Si wafers to strain-accommodating Cu for high-power electronics
NASA Astrophysics Data System (ADS)
Faust, Nicholas; Messler, Robert W.; Khatri, Subhash
2001-10-01
Differences in the coefficients of thermal expansion (CTE) between silicon wafers and underlying copper electrodes have led to the use of purely mechanical dry pressure contacts for primary electrical and thermal connections in high-power solid-state electronic devices. These contacts are limited by their ability to dissipate I2R heat from within the device and by their thermal fatigue life. To increase heat dissipation and effectively deal with the CTE mismatch, metallurgical bonding of the silicon to a specially-structured, strain-accommodating copper electrode has been proposed. This study was intended to seek alternative methods for and demonstrate the feasibility of bonding Si to structured Cu in high-power solid-state devices. Three different but fundamentally related fluxless approaches identified and preliminarily assessed were: (1) conventional Sn-Ag eutectic solder; (2) a new, commercially-available active solder based on the Sn-Ag eutectic; and (3) solid-liquid interdiffusion bonding using the Au-In system. Metallurgical joints were made with varying quality levels (according to nonde-structive ultrasonic C-scan mapping, SEM, and electron microprobe) using each approach. Mechanical shear testing resulted in cohesive failure within the Si or the filler alloys. The best approach, in which eutectic Sn-Ag solder in pre-alloyed foil form was employed on Si and Cu substrates metallized (from the substrate outward) with Ti, Ni and Au, exhibited joint thermal conduction 74% better than dry pressure contacts.
Electronic and optical properties of Fe2SiO4 under pressure effect: ab initio study
NASA Astrophysics Data System (ADS)
Xiao, Lingping; Li, Xiaobin; Yang, Xue
2018-05-01
We report first-principles studies the structural, electronic, and optical properties of the Fe2SiO4 fayalite in orthorhombic structure, including pressure dependence of structural parameters, band structures, density of states, and optical constants up to 30 GPa. The calculated results indicate that the linear compressibility along b axis is significantly higher than a and c axes, which is in agreement with earlier work. Meanwhile, the pressure dependence of the electronic band structure, density of states and partial density of states of Fe2SiO4 fayalite up to 30 GPa were presented. Moreover, the evolution of the dielectric function, absorption coefficient (α(ω)), reflectivity (R(ω)), and the real part of the refractive index (n(ω)) at high pressure are also presented.
Portal vein aneurysm: What to know.
Laurenzi, Andrea; Ettorre, Giuseppe Maria; Lionetti, Raffaella; Meniconi, Roberto Luca; Colasanti, Marco; Vennarecci, Giovanni
2015-11-01
Portal vein aneurysm is an unusual vascular dilatation of the portal vein, which was first described by Barzilai and Kleckner in 1956 and since then less than 200 cases have been reported. The aim of this article is to provide an overview of the international literature to better clarify various aspects of this rare nosological entity and provide clear evidence-based summary, when available, of the clinical and surgical management. A systematic literature search of the Pubmed database was performed for all articles related to portal vein aneurysm. All articles published from 1956 to 2014 were examined for a total of 96 reports, including 190 patients. Portal vein aneurysm is defined as a portal vein diameter exceeding 1.9 cm in cirrhotic patients and 1.5 cm in normal livers. It can be congenital or acquired and portal hypertension represents the main cause of the acquired version. Surgical indication is considered in case of rupture, thrombosis or symptomatic aneurysms. Aneurysmectomy and aneurysmorrhaphy are considered in patients with normal liver, while shunt procedures or liver transplantation are the treatment of choice in case of portal hypertension. Being such a rare vascular entity its management should be reserved to high-volume tertiary hepato-biliary centres. Copyright © 2015 Editrice Gastroenterologica Italiana S.r.l. Published by Elsevier Ltd. All rights reserved.
Mirouse, Guillaume; Nourissat, Geoffroy
2016-02-01
Open approach to the posterior shoulder during bone block for posterior shoulder instability is challenging. Anatomical study was performed to identify landmarks of a portal, avoiding soft tissue damage, between the infraspinatus (IS) and teres minor (TM) muscles and distant from the supra-scapular nerve (SSN) for arthroscopic shoulder bone block. Eight fresh-frozen cadaveric shoulder specimens were used. The arthroscope was introduced through the soft point (SP). A guide wire was placed through the SP, in the rotator interval direction. A posterior open dissection exposed the split between the IS and TM. A new guide wire was placed into the split, parallel to the first wire, to locate the new posterior arthroscopic approach. Ten distances were measured to define the safe position. The mean values were: SP to split IS-TM: 2 ± 0.2 (2-2.8); spinal bone to split IS-TM: 5 ± 0.5 (3-6.2); split IS-TM to posterior glenoid 6 o'clock: 1.3 ± 0.3 (0.6-1.6), 9 o'clock: 1.5 ± 0.3 (1-1.9), and 12 o'clock: 2 ± 0.1 (2.1-2.4); SSN to posterior glenoid 6 o'clock: 2.4 ± 0.2 (2.1-2.6), 9 o'clock: 1.7 ± 0.1 (1.5-1.8), and 12 o'clock: 1.5 ± 0.3 (1.2-2.1); and SSN to split IS-TM: 2 ± 0.3 (1.2-2.1). This preliminary anatomical study described a posterior arthroscopic portal located 2 cm under the SP, parallel to the SP portal direction, and finishing between 7 and 8 o'clock at the posterior rim of the glenoid. For arthroscopic shoulder bone block, this portal can avoid muscle and SSN lesions.
NASA Astrophysics Data System (ADS)
Yin, Na; Dai, Ying; Wei, Wei; Huang, Baibiao
2018-04-01
A lot of efforts have been made towards the band gap opening in two-dimensional silicene, the silicon version of graphene. In the present work, the electronic structures of single atom doped (B, N, Al and P) and codoped (B/N and Al/P) silicene monolayers are systematically examined on the base of density functional electronic calculations. Our results demonstrate that single atom doping can realize electron or hole doping in the silicene; while codoping, due to the syergistic effects, results in finite band gap in silicene at the Dirac point without significantly degrading the electronic properties. In addition, the characteristic of band gap shows dependence on the doping concentration. Importantly, we predict a new two-dimensional Dirac structure, the graphene-like Si3C, which also shows linear band dispersion relation around the Fermi level. Our results demonstrates an important perspective to engineer the electronic and optical properties of silicene.
2013-01-01
MnSi~1.7 nanowires (NWs) with a single orientation and a large aspect ratio have been formed on a Si(110) surface with the molecular beam epitaxy method by a delicate control of growth parameters, such as temperature, deposition rate, and deposition time. Scanning tunneling microscopy (STM) was employed to study the influence of these parameters on the growth of NWs. The supply of free Si atoms per unit time during the silicide reaction plays a critical role in the growth kinetics of the NWs. High growth temperature and low deposition rate are favorable for the formation of NWs with a large aspect ratio. The orientation relationship between the NWs and the reconstruction rows of the Si(110) surface suggests that the NWs grow along the 11¯0 direction of the silicon substrate. High-resolution STM and backscattered electron scanning electron microscopy images indicate that the NWs are composed of MnSi~1.7. PMID:23339353
Reichman, Trevor W; Anthony, Tiffany; Testa, Giuliano
2011-02-01
The Rex shunt is a mesenteric vein to left portal vein decompressive shunt used for the treatment of portal vein thrombosis and portal hypertension. Its use has been reported primarily in the pediatric population where portal vein thrombosis occurs with some frequency. The shunt is thought to represent a more physiologic shunt, since it restores hepatopedal blood flow through the liver. This report describes the use of this shunt in an adult who had frequent gastrointestinal bleeding secondary to extrahepatic portal vein thrombosis, which occurred as a complication after a pancreaticoduodenectomy.
Traumatic injury to the portal vein.
Mattox, K L; Espada, R; Beall, A R
1975-01-01
Traumatic injuries to the upper abdominal vasculature pose difficult management problems related to both exposure and associated injuries. Among those injuries that are more difficult to manage are those involving the portal vein. While occurring rarely, portal vein injuries require specific therapeutic considerations. Between January, 1968, and July, 1974, over 2000 patients were treated operatively for abdominal trauma at the Ben Taub General Hospital. Among these patients, 22 had injury to the portal vein. Seventeen portal vein injuries were secondary to gunshot wounds, 3 to stab wounds, and 2 to blunt trauma. Associated injuries to the inferior vena cava, pancreas, liver and bile ducts were common. Three patients had associated abdominal aortic injuries, two with acute aorto-caval fistulae. Nine patients died from from failure to control hemorrhage. Eleven were long-term survivors, including two who required pancreataico-duodenectomy as well as portal venorrhaphy. Late complications were rare. The operative approach to patients with traumatic injuries to multiple organs in the upper abdomen, including the portal vein, requires aggressive management and predetermined sequential methods of repair. In spite of innumerable associated injuries, portal vein injuries can be successfully managed in a significant number of patients using generally available surgical techniques and several adjunctive maneuvers. PMID:1130870
Portal vein thrombosis in paroxysmal nocturnal haemoglobinuria.
Tomizuka, H; Hatake, K; Kitagawa, S; Yamashita, K; Arai, H; Miura, Y
1999-01-01
A 28-year-old man was hospitalized with nausea, vomiting, abdominal pain and low-grade fever. He had a 6-month history of paroxysmal nocturnal haemoglobinuria (PNH), and laboratory data showed anaemia and liver dysfunction. An abdominal ultrasonography showed ascites and portal vein thrombosis. After receiving antithrombotic treatment, the portal vein thrombosis did not extend. Portal vein thrombosis is very rare but should be considered when we encounter liver dysfunction associated with PNH as well as hepatic vein thrombosis. Ultrasonography is very useful in detecting portal vein thrombosis and facilitating early diagnosis. Warfarin is very effective in preventing exacerbation of portal vein thrombosis in PNH.
Ackerman, Sara L; Sarkar, Urmimala; Tieu, Lina; Handley, Margaret A; Schillinger, Dean; Hahn, Kenneth; Hoskote, Mekhala; Gourley, Gato; Lyles, Courtney
2017-09-01
US health care institutions are implementing secure websites (patient portals) to achieve federal Meaningful Use (MU) certification. We sought to understand efforts to implement portals in "safety net" health care systems that provide services for low-income populations. Our rapid ethnography involved visits at 4 California safety net health systems and in-depth interviews at a fifth. Visits included interviews with clinicians and executives ( n = 12), informal focus groups with front-line staff ( n = 35), observations of patient portal sign-up procedures and clinic work, review of marketing materials and portal use data, and a brief survey ( n = 45). Our findings demonstrate that the health systems devoted considerable effort to enlisting staff support for portal adoption and integrating portal-related work into clinic routines. Although all health systems had achieved, or were close to achieving, MU benchmarks, patients faced numerous barriers to portal use and our participants were uncertain how to achieve and sustain "meaningful use" as defined by and for their patients. Health systems' efforts to achieve MU certification united clinic staff under a shared ethos of improved quality of care. However, MU's assumptions about patients' demand for electronic access to health information and ability to make use of it directed clinics' attention to enrollment and message routing rather than to the relevance and usability of a tool that is minimally adaptable to the safety net context. We found a mismatch between MU-based metrics of patient engagement and the priorities and needs of safety net patient populations. © The Author 2017. Published by Oxford University Press on behalf of the American Medical Informatics Association. All rights reserved. For Permissions, please email: journals.permissions@oup.com
NASA Astrophysics Data System (ADS)
Kodigala, Subba Ramaiah
2016-11-01
This article emphasizes verification of Fowler-Nordheim electron tunneling mechanism in the Ni/SiO2/n-4H SiC MOS devices by developing three different kinds of models. The standard semiconductor equations are categorically solved to obtain the change in Fermi energy level of semiconductor with effect of temperature and field that extend support to determine sustainable and accurate tunneling current through the oxide layer. The forward and reverse bias currents with variation of electric field are simulated with help of different models developed by us for MOS devices by applying adequate conditions. The latter is quite different from former in terms of tunneling mechanism in the MOS devices. The variation of barrier height with effect of quantum mechanical, temperature, and fields is considered as effective barrier height for the generation of current-field (J-F) curves under forward and reverse biases but quantum mechanical effect is void in the latter. In addition, the J-F curves are also simulated with variation of carrier concentration in the n-type 4H SiC semiconductor of MOS devices and the relation between them is established.
Idiopathic noncirrhotic portal hypertension: current perspectives
Riggio, Oliviero; Gioia, Stefania; Pentassuglio, Ilaria; Nicoletti, Valeria; Valente, Michele; d’Amati, Giulia
2016-01-01
The term idiopathic noncirrhotic portal hypertension (INCPH) has been recently proposed to replace terms, such as hepatoportal sclerosis, idiopathic portal hypertension, incomplete septal cirrhosis, and nodular regenerative hyperplasia, used to describe patients with a hepatic presinusoidal cause of portal hypertension of unknown etiology, characterized by features of portal hypertension (esophageal varices, nonmalignant ascites, porto-venous collaterals), splenomegaly, patent portal, and hepatic veins and no clinical and histological signs of cirrhosis. Physicians should learn to look for this condition in a number of clinical settings, including cryptogenic cirrhosis, a disease known to be associated with INCPH, drug administration, and even chronic alterations in liver function tests. Once INCPH is clinically suspected, liver histology becomes mandatory for the correct diagnosis. However, pathologists should be familiar with the histological features of INCPH, especially in cases in which histology is not only requested to exclude liver cirrhosis. PMID:27555800
Encouraging Patient Portal Use in the Patient-Centered Medical Home: Three Stakeholder Perspectives.
Fix, Gemmae M; Hogan, Timothy P; Amante, Daniel J; McInnes, D Keith; Nazi, Kim M; Simon, Steven R
2016-11-22
Health care organizations are increasingly offering patients access to their electronic medical record and the ability to communicate with their providers through Web-based patient portals, thus playing a prominent role within the patient-centered medical home (PCMH). However, despite enthusiasm, adoption remains low. We examined factors in the PCMH context that may affect efforts to improve enrollment in a patient portal. Using a sociotechnical approach, we conducted qualitative, semistructured interviews with patients and providers from 3 primary care clinics and with national leaders from across a large integrated health care system. We gathered perspectives and analyzed data from 4 patient focus groups and one-on-one interviews with 1 provider from each of 3 primary care clinics and 10 program leaders. We found that leaders were focused on marketing in primary care, whereas patients and providers were often already aware of the portal. In contrast, both patients and providers cited administrative and logistical barriers impeding enrollment. Further, although leadership saw the PCMH as the logical place to focus enrollment efforts, providers and patients were more circumspect and expressed concern about how the patient portal would affect their practice and experience of care. Further, some providers expressed ambivalence about patients using the portal. Despite absence of consensus on how and where to encourage portal adoption, there was wide agreement that promoting enrollment was a worthwhile goal. Patients, clinicians, and national leaders agreed that efforts were needed to increase enrollment in the patient portal. Opinions diverged regarding the suitability of the PCMH and, specifically, the primary care clinic for promoting patient portal enrollment. Policymakers should consider diverse stakeholder perspectives in advance of interventions to increase technology adoption. ©Gemmae M Fix, Timothy P Hogan, Daniel J Amante, D Keith McInnes, Kim M Nazi, Steven
NASA Astrophysics Data System (ADS)
Ahmad, M.; Ali, G.; Ahmed, Ejaz; Haq, M. A.; Akhter, J. I.
2011-06-01
Electron beam melting is being used to modify the microstructure of the surfaces of materials due to its ability to cause localized melting and supercooling of the melt. This article presents an experimental study on the surface modification of Ni-based superalloy (Inconel 625) reinforced with SiC ceramic particles under electron beam melting. Scanning electron microscopy, energy dispersive spectroscopy and X-ray diffraction techniques have been applied to characterize the resulted microstructure. The results revealed growth of novel structures like wire, rod, tubular, pyramid, bamboo and tweezers type morphologies in the modified surface. In addition to that fibrous like structure was also observed. Formation of thin carbon sheet has been found at the regions of decomposed SiC. Electron beam modified surface of Inconel 625 alloy has been hardened twice as compared to the as-received samples. Surface hardening effect may be attributed to both the formation of the novel structures as well as the introduction of Si and C atom in the lattice of Inconel 625 alloy.
[Predictive value of ultrasonography in portal hypertension].
Moreno, E; Torres, P; Trejo, C; Barra Ostoni, V; Ortega, C; Römer, H
1991-01-01
Portal hypertension is a common pathology in childhood and one of its most common causes is cavernomatosis of the portal vein. This obstruction causes hemodynamic changes which lead to splenomegaly and collateral circulation. Esophageal varices are one of the most important sequelae, which endanger the patient's life because of a bleeding tendency. Ecosonography helps to detect the thickening of the lesser omentum vis a vis the aortic diameter, caused by the collateral circulation. We studied 15 children presenting with portal hypertension resulting from portal vein cavernomatosis; we performed an upper GI endoscopy and abdominal ecosonography. The endoscopy revealed grade II esophageal varices in 20% of cases, the remaining 80% had grade III and grade IV. Ecosonography revealed an increased lesser omentum/aorta ratio in children with portal hypertension, compared to controls (p < 0.001). Our results suggest that the lesser omentum/aorta ratio has diagnostic value in pediatric portal hypertension.
Anomalous Hall conductivity and electronic structures of Si-substituted Mn2CoAl epitaxial films
NASA Astrophysics Data System (ADS)
Arima, K.; Kuroda, F.; Yamada, S.; Fukushima, T.; Oguchi, T.; Hamaya, K.
2018-02-01
We study anomalous Hall conductivity (σAHC) and electronic band structures of Si-substituted Mn2CoAl (Mn2CoAl1 -xSix ). First-principles calculations reveal that the electronic band structure is like a spin-gapless system even after substituting a quaternary element of Si for Al up to x =0.2 in Mn2CoAl1 -xSix . This means that the Si substitution enables the Fermi-level shift without largely changing the electronic structures in Mn2CoAl . By using molecular beam epitaxy techniques, Mn2CoAl1 -xSix epitaxial films can be grown, leading to the systematic control of x (0 ⩽x ⩽0.3 ). In addition to the electrical conductivity, the values of σAHC for the Mn2CoAl1 -xSix films are similar to those in Mn2CoAl films shown in previous reports. We note that a very small σAHC of ˜1.1 S/cm is obtained for x = 0.225, and the sign of σAHC is changed from positive to negative at around x = 0.25. We discuss the origin of the sign reversal of σAHC as a consequence of the Fermi-level shift in Mn2CoAl . Considering the presence of the structural disorder in the Mn2CoAl1 -xSix films, we can conclude that the small value and sign reversal of σAHC are not related to the characteristics of spin-gapless semiconductors.
Anatomical relations of anterior and posterior ankle arthroscopy portals: a cadaveric study.
Oliva, Xavier Martin; Méndez López, José Manuel; Monzo Planella, Mariano; Bravo, Alex; Rodrigues-Pinto, Ricardo
2015-04-01
Ankle arthroscopy is an increasingly used technique. Knowledge of the anatomical structures in relation to its portals is paramount to avoid complications. Twenty cadaveric ankles were analysed to assess the distance between relevant neurovascular structures to the anteromedial, anterolateral, posteromedial, and posterolateral arthroscopy portals. The intermediate dorsal branch of the superficial peroneal nerve was the closest structure to any of the portals (4.8 mm from the anterolateral portal), followed by the posterior tibial nerve (7.3 mm from the posteromedial portal). All structures analysed but one (posterior tibial artery) were, at least in one specimen, <5 mm distant from one of the portals. This study provides information on the anatomical relations of ankle arthroscopy portals and relevant neurovascular structures, confirming previous studies identifying the superficial peroneal nerve as the structure at highest risk of injury, but also highlighting some important variations. Techniques to minimise the injury to these structures are discussed.
Low Temperature Ohmic Contact Formation of Ni2Si on N-type 4H-SiC and 6H-SiC
NASA Technical Reports Server (NTRS)
Elsamadicy, A. M.; Ila, D.; Zimmerman, R.; Muntele, C.; Evelyn, L.; Muntele, I.; Poker, D. B.; Hensley, D.; Hirvonen, J. K.; Demaree, J. D.;
2001-01-01
Nickel Silicide (Ni2Si) is investigated as possible ohmic contact to heavily nitrogen-doped N-type 4H-SiC and 6H-SiC. Nickel Silicide was deposited via electron gun with various thicknesses on both Si and C faces of the SiC substrates. The Ni2Si contacts were formed at room temperature as well as at elevated temperatures (400 to 1000 K). Contact resistivities and I-V characteristics were measured at temperatures between 100 and 700 C. To investigate the electric properties, I-V characteristics were studied and the Transmission Line Method (TLM) was used to determine the specific contact resistance for the samples at each annealing temperature. Both Rutherford Backscattering Spectroscopy (RBS) and Auger Electron Spectroscopy (AES) were used for depth profiling of the Ni2Si, Si, and C. X-ray Photoemission Spectroscopy (XPS) was used to study the chemical structure of the Ni2Si/SiC interface.
Anisotropic selective etching between SiGe and Si
NASA Astrophysics Data System (ADS)
Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim
2018-06-01
In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.
Portal hypertension as the initial manifestation of POEMS syndrome: a case report.
Wu, Lina; Li, Yue; Yao, Fang; Lu, Chongmei; Li, Jian; Zhou, Weixun; Qian, Jiaming
2017-01-01
Portal hypertension has a broad differential diagnosis. POEMS syndrome is an uncommon cause of it. POEMS syndrome is a rare disease involving multiple organs. In differential diagnosis of portal hypertension, POEMS syndrome should be considered especially when other symptoms such as numbness, organomegaly, endocrine alteration and skin changes also present, as it is highlighted by our case. We report a 46-year-old Chinese male, a teacher, presenting with portal hypertension. Electromyography revealed peripheral neuropathy. Immunofixation showed monoclonal immunoglobulin A lambda protein. The diagnosis of POEMS syndrome was established. After treatment of lenalidomide combined with dexamethasone over 2 years, the patient achieved a considerable improvement. This case highlights the manifestation of portal hypertension in POEMS syndrome. Lenalidomide with or without dexamethasone is effective for portal hypertension due to POEMS syndrome, though esophageal and gastric varices seems not reversible so easily.
SiNoPsis: Single Nucleotide Polymorphisms selection and promoter profiling.
Boloc, Daniel; Rodríguez, Natalia; Gassó, Patricia; Abril, Josep F; Bernardo, Miquel; Lafuente, Amalia; Mas, Sergi
2017-09-14
The selection of a Single Nucleotide Polymorphism (SNP) using bibliographic methods can be a very time-consuming task. Moreover, a SNP selected in this way may not be easily visualized in its genomic context by a standard user hoping to correlate it with other valuable information. Here we propose a web form built on top of Circos that can assist SNP-centred screening, based on their location in the genome and the regulatory modules they can disrupt. Its use may allow researchers to prioritize SNPs in genotyping and disease studies. SiNoPsis is bundled as a web portal. It focuses on the different structures involved in the genomic expression of a gene, especially those found in the core promoter upstream region. These structures include transcription factor binding sites (for promoter and enhancer signals), histones, and promoter flanking regions. Additionally, the tool provides eQTL and linkage disequilibrium (LD) properties for a given SNP query, yielding further clues about other indirectly associated SNPs. Possible disruptions of the aforementioned structures affecting gene transcription are reported using multiple resource databases. SiNoPsis has a simple user-friendly interface, which allows single queries by gene symbol, genomic coordinates, Ensembl gene identifiers, RefSeq transcript identifiers and SNPs. It is the only portal providing useful SNP selection based on regulatory modules and LD with functional variants in both textual and graphic modes (by properly defining the arguments and parameters needed to run Circos). SiNoPsis is freely available at https://compgen.bio.ub.edu/SiNoPsis /. © The Author (2017). Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com
Levy, Janet; L'Engle, Pete; Carlson, Boyd; Ahmad, Asif; Ferranti, Jeffrey
2011-01-01
Background Internet portal technologies that provide access to portions of electronic health records have the potential to revolutionize patients’ involvement in their care. However, relatively few descriptions of the demographic characteristics of portal enrollees or of the effects of portal technology on quality outcomes exist. This study examined data from patients who attended one of seven Duke Medicine clinics and who were offered the option of enrolling in and using the Duke Medicine HealthView portal (HVP). The HVP allows patients to manage details of their appointment scheduling and provides automated email appointment reminders in addition to the telephone and mail reminders that all patients receive. Objective Our objective was to test whether portal enrollment with an email reminder functionality is significantly related to decreases in rates of appointment “no-shows,” which are known to impair clinic operational efficiency. Methods Appointment activity during a 1-year period was examined for all patients attending one of seven Duke Medicine clinics. Patients were categorized as portal enrollees or as nonusers either by their status at time of appointment or at the end of the 1-year period. Demographic characteristics and no-show rates among these groups were compared. A binomial logistic regression model was constructed to measure the adjusted impact of HVP enrollment on no-show rates, given confounding factors. To demonstrate the effect of HVP use over time, monthly no-show rates were calculated for patient appointment keeping and contrasted between preportal and postportal deployment periods. Results Across seven clinics, 58,942 patients, 15.7% (9239/58,942) of whom were portal enrollees, scheduled 198,199 appointments with an overall no-show rate of 9.9% (19,668/198,199). We found that HVP enrollees were significantly more likely to be female, white, and privately insured compared with nonusers. Bivariate no-show rate differences between portal
Hepatic Hemodynamics and Portal Flow Modulation: The A2ALL Experience.
Emond, Jean C; Goodrich, Nathan P; Pomposelli, James J; Baker, Talia B; Humar, Abhinav; Grant, David R; Abt, Peter; Friese, Chris E; Fisher, Robert A; Kam, Igal; Sherker, Averell H; Gillespie, Brenda W; Merion, Robert M
2017-10-01
A principal aim of the Adult-to-Adult Living Donor Liver Transplantation Cohort Study was to study hepatic blood flow and effect of portal flow modulation on graft outcomes in the setting of increasing use of smaller and left lobe grafts. Recipients of 274 living donor liver transplant were enrolled in the Adult-to-Adult Living Donor Liver Transplantation Cohort Study, including 233 (85.0%) right lobes, 40 (14.6%) left lobes, and 1 (0.5%) left lateral section. Hepatic hemodynamics were recorded after reperfusion. A total of 57 portal flow modulations were performed on 52 subjects. Modulation lowered portal pressure in 68% of subjects with inconsistent effects on hepatic arterial and portal flow. A higher rate of graft dysfunction was observed in modulated vs. unmodulated subjects (31% vs. 18%; P = 0.03); however, graft survival in modulated subjects was not different from unmodulated subjects at 3 years. These results suggest the need for a study using a prespecified portal flow modulation protocol with defined indications to better define the effects of these interventions.
Climate change portal established
NASA Astrophysics Data System (ADS)
Showstack, Randy
2011-12-01
The World Bank has developed a Climate Change Knowledge Portal as a kind of “onestop shop” for climate-related information, data, and tools. The portal provides access to global, regional, and national data and reports with an aim to providing a resource for learning about climate information and increasing knowledge on climate change—related actions. For more information, see http://sdwebx.worldbank.org/climateportal/.
NASA Astrophysics Data System (ADS)
Nagae, Yuki; Kurosawa, Masashi; Shibayama, Shigehisa; Araidai, Masaaki; Sakashita, Mitsuo; Nakatsuka, Osamu; Shiraishi, Kenji; Zaima, Shigeaki
2016-08-01
We have carried out density functional theory (DFT) calculation for Si1- x Sn x alloy and investigated the effect of the displacement of Si and Sn atoms with strain relaxation on the lattice constant and E- k dispersion. We calculated the formation probabilities for all atomic configurations of Si1- x Sn x according to the Boltzmann distribution. The average lattice constant and E- k dispersion were weighted by the formation probability of each configuration of Si1- x Sn x . We estimated the displacement of Si and Sn atoms from the initial tetrahedral site in the Si1- x Sn x unit cell considering structural relaxation under hydrostatic pressure, and we found that the breaking of the degenerated electronic levels of the valence band edge could be caused by the breaking of the tetrahedral symmetry. We also calculated the E- k dispersion of the Si1- x Sn x alloy by the DFT+U method and found that a Sn content above 50% would be required for the indirect-direct transition.
Improving health care proxy documentation using a web-based interview through a patient portal.
Bajracharya, Adarsha S; Crotty, Bradley H; Kowaloff, Hollis B; Safran, Charles; Slack, Warner V
2016-05-01
Health care proxy (HCP) documentation is suboptimal. To improve rates of proxy selection and documentation, we sought to develop and evaluate a web-based interview to guide patients in their selection, and to capture their choices in their electronic health record (EHR). We developed and implemented a HCP interview within the patient portal of a large academic health system. We analyzed the experience, together with demographic and clinical factors, of the first 200 patients who used the portal to complete the interview. We invited users to comment about their experience and analyzed their comments using established qualitative methods. From January 20, 2015 to March 13, 2015, 139 of the 200 patients who completed the interview submitted their HCP information for their clinician to review in the EHR. These patients had a median age of 57 years (Inter Quartile Range (IQR) 45-67) and most were healthy. The 99 patients who did not previously have HCP information in their EHR were more likely to complete and then submit their information than the 101 patients who previously had a proxy in their health record (odds ratio 2.4, P = .005). Qualitative analysis identified several ways in which the portal-based interview reminded, encouraged, and facilitated patients to complete their HCP. Patients found our online interview convenient and helpful in facilitating selection and documentation of an HCP. Our study demonstrates that a web-based interview to collect and share a patient's HCP information is both feasible and useful. © The Author 2015. Published by Oxford University Press on behalf of the American Medical Informatics Association. All rights reserved. For Permissions, please email: journals.permissions@oup.com.
Auger electron diffraction study of the initial stage of Ge heteroepitaxy on Si(001)
NASA Astrophysics Data System (ADS)
Sasaki, M.; Abukawa, T.; Yeom, H. W.; Yamada, M.; Suzuki, S.; Sato, S.; Kono, S.
1994-12-01
The initial stage of pure and surfactant (Sb)-assisted Ge growth on a Si(001) surface has been studied by Auger electron diffraction (AED) and X-ray photoelectron diffraction (XPD). A single-domain Si(001)2 × 1 substrate was used to avoid the ambiguity arising from the usual double-domain substrate. For the pure Ge growth, 1 monolayer of Ge was deposited onto the room temperature substrate followed by annealing at 350°C-600°C, which appeared to have (1 × 2) periodicity by LEED. Ge LMM AED patterns were measured to find that a substantial amount of Ge atoms diffuse to the bulk Si positions up to the fourth layer at least. For the Sb-assisted Ge growth, a Sb(1 × 2)/Si(001) surface was first prepared and Sb 3d XPD patterns were measured to find that Sb forms dimers on the substrate. 1 ML of Ge was deposited onto the Sb(1 × 2)/Si(001) surface and then the surface was annealed at 600°C. Ge LMM AED and Sb 3d XPD patterns measured for this surface showed that surfactant Sb atoms are indeed present on the first layer forming dimers and that Ge atoms are present mainly on the second layer with a substantial amount of Ge diffused into the third and fourth layers.
Otte-Trojel, Terese; de Bont, Antoinette; van de Klundert, Joris; Rundall, Thomas G
2014-11-21
In 2014, the Centers for Medicare & Medicaid Services in the United States launched the second stage of its Electronic Health Record (EHR) Incentive Program, providing financial incentives to providers to meaningfully use their electronic health records to engage patients online. Patient portals are electronic means to engage patients by enabling secure access to personal medical records, communication with providers, various self-management tools, and administrative functionalities. Outcomes of patient portals have mainly been reported in large integrated health systems. This may now change as the EHR Incentive Program enables and supports the use of patient portals in other types of health systems. In this paper, we focus on Health Information Exchanges (HIE): entities that facilitate data exchange within networks of independent providers. In response to the EHR Incentive Program, some Health Information Exchanges in the United States are developing patient portals and offering them to their network of providers. Such patient portals hold high value for patients, especially in fragmented health system contexts, due to the portals' ability to integrate health information from an array of providers and give patients one access point to this information. Our aim was to report on the early effects of the EHR incentives on patient portal development by HIEs. Specifically, we describe the characteristics of these portals, identify factors affecting adoption by providers during the 2013-2014 time frame, and consider what may be the primary drivers of providers' adoption of patient portals in the future. We identified four HIEs that were developing patient portals as of spring 2014. We collected relevant documents and conducted interviews with six HIE leaders as well as two providers that were implementing the portals in their practices. We performed content analysis on these data to extract information pertinent to our study objectives. Our findings suggest that there
Antonsson, E; Langer, B; Halfpap, I; Gottwald, J; Rühl, E
2017-06-28
In order to gain quantitative information on the surface composition of nanoparticles from X-ray photoelectron spectroscopy, a detailed understanding of photoelectron transport phenomena in these samples is needed. Theoretical results on the elastic and inelastic scattering have been reported, but a rigorous experimental verification is lacking. We report in this work on the photoelectron angular distribution from free SiO 2 nanoparticles (d = 122 ± 9 nm) after ionization by soft X-rays above the Si 2p and O 1s absorption edges, which gives insight into the relative importance of elastic and inelastic scattering channels in the sample particles. The photoelectron angular anisotropy is found to be lower for photoemission from SiO 2 nanoparticles than that expected from the theoretical values for the isolated Si and O atoms in the photoelectron kinetic energy range 20-380 eV. The reduced angular anisotropy is explained by elastic scattering of the outgoing photoelectrons from neighboring atoms, smearing out the atomic distribution. Photoelectron angular distributions yield detailed information on photoelectron elastic scattering processes allowing for a quantification of the number of elastic scattering events the photoelectrons have undergone prior to leaving the sample. The interpretation of the experimental photoelectron angular distributions is complemented by Monte Carlo simulations, which take inelastic and elastic photoelectron scattering into account using theoretical values for the scattering cross sections. The results of the simulations reproduce the experimental photoelectron angular distributions and provide further support for the assignment that elastic and inelastic electron scattering processes need to be considered.
Hyun, Dongho; Cho, Sung Ki; Park, Hong Suk; Shin, Sung Wook; Choo, Sung Wook; Do, Young Soo; Choo, In Wook; Choi, Dong Wook
2017-01-01
Objective The study aimed to describe portal stenting for postoperative portal occlusion with delayed (≥ 3 months) variceal bleeding in the afferent jejunal loop. Materials and Methods Eleven consecutive patients (age range, 2–79 years; eight men and three women) who underwent portal stenting between April 2009 and December 2015 were included in the study. Preoperative medical history and the postoperative clinical course were reviewed. Characteristics of portal occlusion and details of procedures were also investigated. Technical success, treatment efficacy (defined as disappearance of jejunal varix on follow-up CT), and clinical success were analyzed. Primary stent patency rate was plotted using the Kaplan-Meier method. Results All patients underwent hepatobiliary-pancreatic cancer surgery except two children with liver transplantation for biliary atresia. Portal occlusion was caused by benign postoperative change (n = 6) and local tumor recurrence (n = 5). Variceal bleeding occurred at 27 months (4 to 72 months) and portal stenting was performed at 37 months (4 to 121 months), on average, postoperatively. Technical success, treatment efficacy, and clinical success rates were 90.9, 100, and 81.8%, respectively. The primary patency rate of portal stent was 88.9% during the mean follow-up period of 9 months. Neither procedure-related complication nor mortality occurred. Conclusion Interventional portal stenting is an effective treatment for delayed jejunal variceal bleeding due to portal occlusion after hepatobiliary-pancreatic surgery. PMID:28860900
A high density two-dimensional electron gas in an oxide heterostructure on Si (001)
NASA Astrophysics Data System (ADS)
Jin, E. N.; Kornblum, L.; Kumah, D. P.; Zou, K.; Broadbridge, C. C.; Ngai, J. H.; Ahn, C. H.; Walker, F. J.
2014-11-01
We present the growth and characterization of layered heterostructures comprised of LaTiO3 and SrTiO3 epitaxially grown on Si (001). Magnetotransport measurements show that the sheet carrier densities of the heterostructures scale with the number of LaTiO3/SrTiO3 interfaces, consistent with the presence of an interfacial 2-dimensional electron gas (2DEG) at each interface. Sheet carrier densities of 8.9 × 1014 cm-2 per interface are observed. Integration of such high density oxide 2DEGs on silicon provides a bridge between the exceptional properties and functionalities of oxide 2DEGs and microelectronic technologies.
Scanning tunneling microscopy studies of Si donors (Si[sub Ga]) in GaAs
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zheng, J.F.; Liu, X.; Newman, N.
1994-03-07
We report scanning tunneling microscopy (STM) studies of Si substitutional donors (Si[sub Ga]) in GaAs that reveal delocalized and localized electronic features corresponding to Si[sub Ga] in the top few layers of the (110) cleavage surface. The delocalized features appear as protrusions a few nm in size, superimposed on the background lattice. These features are attributed to enhanced tunneling due to the local perturbation of the band bending by the Coulomb potential of subsurface Si[sub Ga]. In contrast, STM images of surface Si[sub Ga] show very localized electronic structures, in good agreement with a recent theoretical prediction [J. Wang [italmore » et] [ital al]., Phys. Rev. B 47, 10 329 (1993)].« less
2013-01-01
Si heterojunction solar cells were fabricated on p-type single-crystal Si (sc-Si) substrates using phosphorus-doped Si nanocrystals (Si-NCs) embedded in SiNx (Si-NCs/SiNx) films as emitters. The Si-NCs were formed by post-annealing of silicon-rich silicon nitride films deposited by electron cyclotron resonance chemical vapor deposition. We investigate the influence of the N/Si ratio in the Si-NCs/SiNx films on their electrical and optical properties, as well as the photovoltaic properties of the fabricated heterojunction devices. Increasing the nitrogen content enhances the optical gap E04 while deteriorating the electrical conductivity of the Si-NCs/SiNx film, leading to an increased short-circuit current density and a decreased fill factor of the heterojunction device. These trends could be interpreted by a bi-phase model which describes the Si-NCs/SiNx film as a mixture of a high-transparency SiNx phase and a low-resistivity Si-NC phase. A preliminary efficiency of 8.6% is achieved for the Si-NCs/sc-Si heterojunction solar cell. PMID:24188725
APTR is a prognostic marker in cirrhotic patients with portal hypertension during TIPS procedure.
Yu, Shanshan; Qi, Yanhua; Jiang, Jue; Wang, Hua; Zhou, Qi
2018-03-01
Portal hypertension is a major cause of mortality and morbidity in cirrhotic patients. In this study, we aimed to analyze the clinical characteristics of Alu-mediated p21 transcriptional regulator (APTR) during transjugular intrahepatic portosystemic shunt (TIPS) procedure. Portal and hepatic venous blood was drawn from 84 patients with liver cirrhosis and portal hypertension before and after TIPS treatment. Then, we detected biochemical, hemodynamic parameters and APTR expression before and after TIPS treatment. Indeed, TIPS treatment could markedly ameliorate the serum blood urea nitrogen (BUN) level and portal vein hemodynamics in cirrhotic patients. We found that portal venous levels of APTR was significantly decreased after TIPS treatment and its aberrant expression levels were positively correlated with Model for End Stage Liver Disease (MELD), portal hepatic venous pressure gradient (PHPG) in patients. Higher APTR expression in portal vein was associated with poor prognosis. APTR level in portal vein was an independent predictors of mortality. Our data indicated that APTR may serve as a novel biomarker for cirrhotic patients with portal hypertension before and after receiving TIPS. Copyright © 2017 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Cherkova, S. G.; Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Kamaev, G. N.; Skuratov, V. A.
2017-08-01
Light-emitting nanoclusters were formed in Si/SiO2 multilayer structures irradiated with 167 MeV Xe ions to the doses of 1011-3 × 1014 cm-2 and annealed in the forming-gas at 500 °C and in nitrogen at 800-1100 °C, 30 min. The thicknesses were ~4 nm or ~7-8 for the Si, and ~10 nm for the SiO2 layers. The structures were studied using photoluminescence (PL), Raman spectroscopy, and the cross-sectional high resolution transmission electron microscopy (HRTEM). As-irradiated samples showed the PL, correlating with the growth of the ion doses. HRTEM found the layers to be partly disintegrated. The thickness of the amorphous Si layer was crucial. For 4 nm thick Si layers the PL was peaking at ~490 nm, and quenched by the annealing. It was ascribed to the structural imperfections. For the thicker Si layers the PL was peaking at ~600 nm and was attributed to the Si-rich nanoclusters in silicon oxide. The annealing increases the PL intensity and shifts the band to ~790 nm, typical of Si nanocrystals. Its intensity was proportional to the dose. Raman spectra confirmed the nanocrystals formation. All the results obtained evidence the material melting in the tracks for 10-11-10-10 s providing thereby fast diffusivities of the atoms. The thicker Si layers provide more excess Si to create the nanoclusters via a molten state diffusion.
Gries, Katharina I; Werner, Katharina; Beyer, Andreas; Stolz, Wolfgang; Volz, Kerstin
2016-02-01
Melt-back etching is an effect that can occur for gallium (Ga) containing III/V semiconductors grown on Si. Since this effect influences interfaces between the two compounds and therefore the physical characteristics of the material composition, it is desirable to understand its driving forces. Therefore, we investigated Ga grown on Si (001) via metal organic chemical vapor deposition using trimethyl Ga as a precursor. As a result of the melt-back etching, Ga-containing droplets formed on the Si surface which reach into the Si wafer. The shape of these structures was analyzed by plan view investigation and cross sectional tomography in a (scanning) transmission electron microscope. For plan view preparation a focused ion beam was used to avoid damage to the Ga-containing structures, which are sensitive to the chemicals normally used during conventional plan view preparation. Combining the results of both investigation methods confirms that the Ga-containing structure within the Si exhibits a pyramid shape with facets along the Si {111} lattice planes.
Kojima, Seiichiro; Watanabe, Norihito; Koizumi, Jun; Kokubu, Shigehiro; Murashima, Naoya; Matsutani, Shoichi; Obara, Katsutoshi
2018-03-01
To investigate the current status of portal vein thrombosis (PVT) in Japan, the Clinical Research Committee of the Japan Society of Portal Hypertension undertook a questionnaire survey. A questionnaire survey of 539 cases of PVT over the previous 10 years was carried out at institutions affiliated with the Board of Trustees of the Japan Society of Portal Hypertension. The most frequent underlying etiology of PVT was liver cirrhosis in 75.3% of patients. Other causes included inflammatory diseases of the hepatobiliary system and the pancreas, malignant tumors, and hematologic diseases. The most frequent site was the main trunk of the portal vein (MPV) in 70.5%, and complete obstruction of the MPV was present in 11.5%. Among the medications for PVT, danaparoid was given to 45.8%, warfarin to 26.2%, heparin to 17.3%, and anti-thrombin III to 16.9%. Observation of the course was practiced in 22.4%. Factors contributing to therapeutic efficacy were implementation of various medications, thrombi localized to either the right or left portal vein only, non-complete obstruction of the MPV and Child-Pugh class A liver function. A survival analysis showed that the prognosis was favorable with PVT disappearance regardless of treatment. The questionnaire survey showed the current status of PVT in Japan. Any appropriate medication should be given to a patient with PVT when PVT is recognized. It is necessary to compile a large amount of information and reach a consensus on safe and highly effective management of PVT. © 2017 The Japan Society of Hepatology.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dharmaraj, P.; Jeganathan, K., E-mail: kjeganathan@yahoo.com; Parthiban, S.
We report selective area growth of large area homogeneous Bernal stacked bilayer epitaxial graphene (BLEG) on 4H-SiC (0001) substrate by electron-beam irradiation. Sublimation of Si occurs by energetic electron irradiations on SiC surface via breaking of Si–C bonds in the localized region, which allows the selective growth of graphene. Raman measurements ensure the formation of homogeneous BLEG with weak compressive strain of −0.08%. The carrier mobility of large area BLEG is ∼5100 cm{sup 2} V{sup −1} s{sup −1} with a sheet carrier density of 2.2 × 10{sup 13} cm{sup −2}. Current-voltage measurements reveal that BLEG on 4H-SiC forms a Schottky junction with an operation at mAmore » level. Our study reveals that the barrier height at the Schottky junction is low (∼0.58 eV) due to the Fermi-level pinning above the Dirac point.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jiang, Xiaofan; Ma, Zhongyuan, E-mail: zyma@nju.edu.cn; Yang, Huafeng
2014-09-28
Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN{sub x}/SiN{sub y} multilayers with high on/off ratio of 10{sup 9}. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos,more » we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.« less
Eizayaga, Francisco; Scorticati, Camila; Prestifilippo, Juan P; Romay, Salvador; Fernandez, Maria A; Castro, José L; Lemberg, Abraham; Perazzo, Juan C
2006-01-01
AIM: To study the blood-brain barrier integrity in prehepatic portal hypertensive rats induced by partial portal vein ligation, at 14 and 40 d after ligation when portal pressure is spontaneously normalized. METHODS: Adult male Wistar rats were divided into four groups: Group I: Sham14d , sham operated; Group II: PH14d , portal vein stenosis; (both groups were used 14 days after surgery); Group III: Sham40d, Sham operated and Group IV: PH40d Portal vein stenosis (Groups II and IV used 40 d after surgery). Plasma ammonia, plasma and cerebrospinal fluid protein and liver enzymes concentrations were determined. Trypan and Evans blue dyes, systemically injected, were investigated in hippocampus to study blood-brain barrier integrity. Portal pressure was periodically recorded. RESULTS: Forty days after stricture, portal pressure was normalized, plasma ammonia was moderately high, and both dyes were absent in central nervous system parenchyma. All other parameters were reestablished. When portal pressure was normalized and ammonia level was lowered, but not normal, the altered integrity of blood-brain barrier becomes reestablished. CONCLUSION: The impairment of blood-brain barrier and subsequent normalization could be a mechanism involved in hepatic encephalopathy reversibility. Hemodynamic changes and ammonia could trigger blood-brain barrier alterations and its reestablishment. PMID:16552803
Li, Ying; Mei, Lihong; Qiang, Jinwei; Ju, Shuai; Zhao, Shuhui
2016-12-01
Portal-systemic encephalopathy (PSE) is classified as type B hepatic encephalopathy. Portal-systemic shunting rather than liver dysfunction is the main cause of PSE in chronic hepatic schistosomiasis japonicum (HSJ) patients. Owing to lack of detectable evidence of intrinsic liver disease, chronic HSJ patients with PSE are frequently clinically undetected or misdiagnosed, especially chronic HSJ patients with covert PSE (subclinical encephalopathy). In this study, we investigated whether magnetic resonance spectroscopy (MRS) could be a useful tool for diagnosing PSE in chronic HSJ patients. Magnetic resonance (MR) T1-weighted imaging, diffusion-weighted imaging, and MRS were performed in 41 chronic HSJ patients with suspected PSE and in 21 age-matched controls. The T1 signal intensity index (T1SI) and apparent diffusion coefficient (ADC) value were obtained in the Globus pallidus. Liver function was also investigated via serum ammonia and liver function tests. Higher T1SI and ADC values, increased lactate and glutamine levels, and decreased myo-inositol were found in the bilateral Globus pallidus in chronic HSJ patients with PSE. No significantly abnormal serum ammonia or liver function tests were observed in chronic HSJ patients with PSE. On the basis of these findings, we propose a diagnostic procedure for PSE in chronic HSJ patients. This study reveals that MRS can be useful for diagnosing PSE in chronic HSJ patients.
Design and Development of a Chemistry Subject Portal at Panjab University Library, India
ERIC Educational Resources Information Center
Singh, Neeraj Kumar; Mahajan, Preeti
2010-01-01
Purpose: This paper aims to give a brief description of some chemistry portals available worldwide, followed by a description of the chemistry portal developed for Panjab University Library, Chandigarh (India). Design/methodology/approach: A well-structured chemistry portal was designed for chemistry and its allied departments at Panjab University…
TEM-EELS Investigation of Boron and Phosphorus Passivated 4H-SiC/SiO2 Interface Structures
NASA Astrophysics Data System (ADS)
Klingshirn, Christopher; Taillon, Joshua; Liu, Gang; Dhar, Sarit; Feldman, Leonard; Zheleva, Tsvetanka; Lelis, Aivars; Salamanca-Riba, Lourdes
A high density of electronic defects at the SiC/SiO2 interface adversely affects SiC-based metal oxide semiconductor devices. Various treatments are known to improve device performance. Annealing in a nitric oxide (NO) environment, for example, passivates electronic defects at the interface and raises the carrier mobility in the active region to 35-40 cm2/Vs, but the effect saturates after about 60 minutes of annealing. Passivation with phosphorus or boron improves upon NO by a factor of 2, increasing the mobility to over 90 cm2/Vs.2 We investigate the chemical and structural effects of these treatments on the SiC/SiO2 transition layer using high-resolution transmission electron microscopy (HRTEM) and high angle annular dark field (HAADF). Electron energy loss spectroscopy Spectrum Imaging (EELS SI) collected across the transition region allow identification of the width, composition and types of bonding at the transition layer. Advanced machine learning techniques applied to the EELS data reveal intermediate bonding states within this region. Supported by ARL under Grant No. W911NF1420110.
Building Portals for Higher Education.
ERIC Educational Resources Information Center
Pickett, Richard A.; Hamre, William B.
2002-01-01
Presents the elements, components, and processes involved in setting and maintaining Web portals. Uses Santa Barbara City College as a case study of a portal implementation with the purpose of supporting knowledge management; underscores the role played and benefits gained by institutional research and the college as a result. (EV)
Portal vein aneurysm in thalassaemia.
Das, Simi; Dey, Mousam; Kumar, Vinay; Lal, Hira
2017-08-11
Arterial aneurysms are more common than visceral venous aneurysms. Portal vein aneurysms being the most common type of visceral venous aneurysms. Here, we present an 18-year-old young woman with thalassaemia major, who presented with headache, palpitation, shortness of breath and a recent increase in blood transfusion rate. On clinical examination, she had hepatosplenomegaly. Ultrasonography revealed hepatosplenomegaly with fusiform dilatation of extrahepatic portal vein, which was confirmed to be portal vein aneurysm on contrast enhanced CT. Though portal vein aneurysms were previously thought to be rare, recently they are increasingly diagnosed with the use of cross-sectional imaging. Recognition of this finding can help to avoid potential confusion with other periportal cystic masses of different aetiologies, especially on sonography. © BMJ Publishing Group Ltd (unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.
NASA Astrophysics Data System (ADS)
Hembree, Robert H.; Vazhappilly, Tijo; Micha, David A.
2017-12-01
The conductivity of holes and electrons photoexcited in Si slabs is affected by the slab thickness and by adsorbates. The mobilities of those charged carriers depend on how many layers compose the slab, and this has important scientific and technical consequences for the understanding of photovoltaic materials. A previously developed general computational procedure combining density matrix and electronic band structure treatments has been applied to extensive calculations of mobilities of photoexcited electrons and holes at Si(111) nanostructured surfaces with varying slab thickness and for varying photon energies, to investigate the expected change in mobility magnitudes as the slab thickness is increased. Results have been obtained with and without adsorbed silver clusters for comparison of their optical and photovoltaic properties. Band states were generated using a modified ab initio density functional treatment with the PBE exchange and correlation density functionals and with periodic boundary conditions for large atomic supercells. An energy gap correction was applied to the unoccupied orbital energies of each band structure by running more accurate HSE hybrid functional calculations for a Si(111) slab. Photoexcited state populations for slabs with 6, 8, 10, and 12 layers were generated using a steady state reduced density matrix including dissipative effects due to energy exchange with excitons and phonons in the medium. Mobilities have been calculated from the derivatives of voltage-driven electronic energies with respect to electronic momentum, for each energy band and for the average over bands. Results show two clear trends: (a) adding Ag increases the hole photomobilities and (b) decreasing the slab thickness increases hole photomobilities. The increased hole populations in 6- and 8-layer systems and the large increase in hole mobility for these thinner slabs can be interpreted as a quantum confinement effect of hole orbitals. As the slab thickness
Benzene Adsorption on C24, Si@C24, Si-Doped C24, and C20 Fullerenes
NASA Astrophysics Data System (ADS)
Baei, Mohammad T.
2017-12-01
The absorption feasibility of benzene molecule in the C24, Si@C24, Si-doped C24, and C20 fullerenes has been studied based on calculated electronic properties of these fullerenes using Density functional Theory (DFT). It is found that energy of benzene adsorption on C24, Si@C24, and Si-doped C24 fullerenes were in range of -2.93 and -51.19 kJ/mol with little changes in their electronic structure. The results demonstrated that the C24, Si@C24, and Si-doped C24 fullerenes cannot be employed as a chemical adsorbent or sensor for benzene. Silicon doping cannot significantly modify both the electronic properties and benzene adsorption energy of C24 fullerene. On the other hand, C20 fullerene exhibits a high sensitivity, so that the energy gap of the fullerene is changed almost 89.19% after the adsorption process. We concluded that the C20 fullerene can be employed as a reliable material for benzene detection.
The Portal to the Universe an IYA2009 Cornerstone Project
NASA Astrophysics Data System (ADS)
Lindberg Christensen, Lars; Gay, P.; IYA2009 TPTTU Cornerstone Task Group
2008-05-01
The science of astronomy is extremely fast moving, and delivers new results on a daily basis, often in the form of spectacular news, images of forms and shapes not seen anywhere else, enhanced by illustrations and animations. Public astronomy communication has to develop apace with the other players in the mass market for electronic information such as the gaming and entertainment industries. The problem today is not so much the availability of excellent astronomy multimedia resources for use in education, outreach and the like, but rather finding and accessing these materials. The Portal to the Universe (TPTTU) seeks to fix this problem. The Portal to the Universe (TPTTU) is an IYA2009 Cornerstone project that will feature a comprehensive directory of observatories, facilities, astronomical societies, amateur astronomy societies, space artists, science communication universities, as well as news-, image-, event- and video- aggregators and Web 2.0 collaborative tools for astronomy multimedia community interaction. The Portal will enable innovative access to, and vastly multiply the use of, astronomy multimedia resources - including news, images, videos, events, podcasts, vodcasts etc. as a selective aggregator with a non-painful editorial mechanism in place. This talk will discuss the plans for the TPTTU content as well as the technology and editorial choices behind the scenes.
Ranade, Manisha K; Lynch, Bart D; Li, Jonathan G; Dempsey, James F
2006-01-01
We have developed an electronic portal imaging device (EPID) employing a fast scintillator and a high-speed camera. The device is designed to accurately and independently characterize the fluence delivered by a linear accelerator during intensity modulated radiation therapy (IMRT) with either step-and-shoot or dynamic multileaf collimator (MLC) delivery. Our aim is to accurately obtain the beam shape and fluence of all segments delivered during IMRT, in order to study the nature of discrepancies between the plan and the delivered doses. A commercial high-speed camera was combined with a terbium-doped gadolinium-oxy-sulfide (Gd2O2S:Tb) scintillator to form an EPID for the unaliased capture of two-dimensional fluence distributions of each beam in an IMRT delivery. The high speed EPID was synchronized to the accelerator pulse-forming network and gated to capture every possible pulse emitted from the accelerator, with an approximate frame rate of 360 frames-per-second (fps). A 62-segment beam from a head-and-neck IMRT treatment plan requiring 68 s to deliver was recorded with our high speed EPID producing approximately 6 Gbytes of imaging data. The EPID data were compared with the MLC instruction files and the MLC controller log files. The frames were binned to provide a frame rate of 72 fps with a signal-to-noise ratio that was sufficient to resolve leaf positions and segment fluence. The fractional fluence from the log files and EPID data agreed well. An ambiguity in the motion of the MLC during beam on was resolved. The log files reported leaf motions at the end of 33 of the 42 segments, while the EPID observed leaf motions in only 7 of the 42 segments. The static IMRT segment shapes observed by the high speed EPID were in good agreement with the shapes reported in the log files. The leaf motions observed during beam-on for step-and-shoot delivery were not temporally resolved by the log files.
Pathophysiology of Portal Hypertension and Its Clinical Links
Seo, Yeon Seok; Shah, Vijay H
2011-01-01
Portal hypertension is a major cause of morbidity and mortality in patients with liver cirrhosis. Intrahepatic vascular resistance due to architectural distortion and intrahepatic vasoconstriction, increased portal blood flow due to splanchnic vasodilatation, and development of collateral circulation have been considered as major factors for the development of portal hypertension. Recently, sinusoidal remodeling and angiogenesis have been focused as potential etiologic factors and various researchers have tried to improve portal hypertension by modulating these new targets. This article reviews potential new treatments in the context of portal hypertension pathophysiology concepts. PMID:25755320
NASA Astrophysics Data System (ADS)
Woo, S. Y.; Hosseini Vajargah, S.; Ghanad-Tavakoli, S.; Kleiman, R. N.; Botton, G. A.
2012-10-01
Unambiguous identification of anti-phase boundaries (APBs) in heteroepitaxial films of GaSb grown on Si has been so far elusive. In this work, we present conventional transmission electron microscopy (TEM) diffraction contrast imaging using superlattice reflections, in conjunction with convergent beam electron diffraction analysis, to determine a change in polarity across APBs in order to confirm the presence of anti-phase disorder. In-depth analysis of anti-phase disorder is further supported with atomic resolution high-angle annular dark-field scanning transmission electron microscopy. The nature of APBs in GaSb is further elucidated by a comparison to previous results for GaAs epilayers grown on Si.
Mechanism for Si-Si Bond Rupture in Single Molecule Junctions.
Li, Haixing; Kim, Nathaniel T; Su, Timothy A; Steigerwald, Michael L; Nuckolls, Colin; Darancet, Pierre; Leighton, James L; Venkataraman, Latha
2016-12-14
The stability of chemical bonds can be studied experimentally by rupturing single molecule junctions under applied voltage. Here, we compare voltage-induced bond rupture in two Si-Si backbones: one has no alternate conductive pathway whereas the other contains an additional naphthyl pathway in parallel to the Si-Si bond. We show that in contrast to the first system, the second can conduct through the naphthyl group when the Si-Si bond is ruptured using an applied voltage. We investigate this voltage induced Si-Si bond rupture by ab initio density functional theory calculations and molecular dynamics simulations that ultimately demonstrate that the excitation of molecular vibrational modes by tunneling electrons leads to homolytic Si-Si bond rupture.