Sample records for ablation inductively-coupled plasma

  1. Low pressure laser ablation coupled to inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Fliegel, Daniel; Günther, Detlef

    2006-07-01

    The particle size distribution in laser ablation inductively coupled plasma mass spectrometry is known to be a critical parameter for complete vaporization of particles. Any strategy to reduce the particle size distribution of laser generated aerosols has the potential to increase the ion signal intensity and to reduce fractionation effects. Due to the fact that vapor generation, nucleation, condensation, and agglomeration take place within an extremely short period of time, ablation under atmospheric pressure might not allow influencing these processes while under reduced pressure condition the cooling of the aerosol and therefore the condensation is expected to be slower. In this study, a low pressure laser ablation cell for the generation of laser aerosols was coupled to an ICP-MS. In contrast to the previously developed trapped ablation mode, the newly designed cell allows the adjustment of the pressure in the ablation cell between 20 and 1400 mbar prior to the ablation. Ablation experiments carried out using this configuration showed a dependence of the aerosol properties (size distribution and particle structure) on the ablation cell pressure. The intensity ratio U/Th measured as a figure of merit for complete vaporization within the ICP indicated a change in the aerosol structure at approximately 500 mbar toward smaller particle size. A significant difference between low pressure and at ambient pressure ablated aerosol was observed. The intensity ratios (U/Th) of the ablated sample moves closer to the bulk composition at lower pressures at the expense of sensitivity. Therefore the decrease in the ICP-MS signal intensity in the low pressure cell can be attributed to vapor deposition within the ablation cell walls. Moreover, scanning electron microscope images of aerosols collected on filters after the low pressure ablation cell suggest the possibility of a slower cooling velocity of the aerosol, which was observed in the condensed material on the surface of

  2. Minimally-invasive Laser Ablation Inductively Coupled Plasma Mass Spectrometry analysis of model ancient copper alloys

    NASA Astrophysics Data System (ADS)

    Walaszek, Damian; Senn, Marianne; Wichser, Adrian; Faller, Markus; Wagner, Barbara; Bulska, Ewa; Ulrich, Andrea

    2014-09-01

    This work describes an evaluation of a strategy for multi-elemental analysis of typical ancient bronzes (copper, lead bronze and tin bronze) by means of laser ablation inductively coupled plasma mass spectrometry (LA-ICPMS).The samples originating from archeological experiments on ancient metal smelting processes using direct reduction in a ‘bloomery’ furnace as well as historical casting techniques were investigated with the use of the previously proposed analytical procedure, including metallurgical observation and preliminary visual estimation of the homogeneity of the samples. The results of LA-ICPMS analysis were compared to the results of bulk composition obtained by X-ray fluorescence spectrometry (XRF) and by inductively coupled plasma mass spectrometry (ICPMS) after acid digestion. These results were coherent for most of the elements confirming the usefulness of the proposed analytical procedure, however the reliability of the quantitative information about the content of the most heterogeneously distributed elements was also discussed in more detail.

  3. Spark ablation-inductively coupled plasma spectrometry for analysis of geologic materials

    USGS Publications Warehouse

    Golightly, D.W.; Montaser, A.; Smith, B.L.; Dorrzapf, A.F.

    1989-01-01

    Spark ablation-inductively coupled plasma (SA-ICP) spectrometry is applied to the measurement of hafnium-zirconium ratios in zircons and to the determination of cerium, cobalt, iron, lead, nickel and phosphorus in ferromanganese nodules. Six operating parameters used for the high-voltage spark and argon-ICP combination are established by sequential simplex optimization of both signal-to-background ratio and signal-to-noise ratio. The time-dependences of the atomic emission signals of analytes and matrix elements ablated from a finely pulverized sample embedded in a pressed disk of copper demonstrate selective sampling by the spark. Concentration ratios of hafnium to zirconium in zircons are measured with a precision of 4% (relative standard deviation, RSD). For ferromanganese nodules, spectral measurements based on intensity ratios of analyte line to the Mn(II) 257.610 nm line provide precisions of analysis in the range from 7 to 14% RSD. The accuracy of analysis depends on use of standard additions of the reference material USGS Nod P-1, and an independent measurement of the Mn concentration. ?? 1989.

  4. Profiling of patterned metal layers by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS)

    NASA Astrophysics Data System (ADS)

    Bi, Melody; Ruiz, Antonio M.; Gornushkin, Igor; Smith, Ben W.; Winefordner, James D.

    2000-02-01

    Laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) was used for profiling patterned thin metal layers on a polymer/silicon substrate. The parameters of the laser and ICP-MS operating conditions have been studied and optimized for this purpose. A new laser ablation chamber was designed and built to achieve the best spatial resolution. The results of the profiling by LA-ICP-MS were compared to those obtained from a laser ablation optical emission spectrometry (LA-OES) instrument, which measured the emission of the plasma at the sample surface, and thus, eliminated the time delay caused by the sample transport into the ICP-MS system. Emission spectra gave better spatial resolution than mass spectra. However, LA-ICP-MS provided much better sensitivity and was able to profile thin metal layers (on the order of a few nanometers) on the silicon surface. A lateral spatial resolution of 45 μm was achieved.

  5. 2D elemental mapping of sections of human kidney stones using laser ablation inductively-coupled plasma-mass spectrometry: Possibilities and limitations

    NASA Astrophysics Data System (ADS)

    Vašinová Galiová, Michaela; Čopjaková, Renata; Škoda, Radek; Štěpánková, Kateřina; Vaňková, Michaela; Kuta, Jan; Prokeš, Lubomír; Kynický, Jindřich; Kanický, Viktor

    2014-10-01

    A 213 nm Nd:YAG-based laser ablation (LA) system coupled to quadrupole-based inductively coupled plasma-mass spectrometer and an ArF* excimer-based LA-system coupled to a double-focusing sector field inductively coupled plasma-mass spectrometer were employed to study the spatial distribution of various elements in kidney stones (uroliths). Sections of the surfaces of uroliths were ablated according to line patterns to investigate the elemental profiles for the different urolith growth zones. This exploratory study was mainly focused on the distinguishing of the main constituents of urinary calculus fragments by means of LA-ICP-mass spectrometry. Changes in the ablation rate for oxalate and phosphate phases related to matrix density and hardness are discussed. Elemental association was investigated on the basis of 2D mapping. The possibility of using NIST SRM 1486 Bone Meal as an external standard for calibration was tested. It is shown that LA-ICP-MS is helpful for determination of the mineralogical composition and size of all phases within the analyzed surface area, for tracing down elemental associations and for documenting the elemental content of urinary stones. LA-ICP-MS results (elemental contents and maps) are compared to those obtained with electron microprobe analysis and solution analysis ICP-MS.

  6. Laser ablation inductively coupled plasma mass spectrometry measurement of isotope ratios in depleted uranium contaminated soils.

    PubMed

    Seltzer, Michael D

    2003-09-01

    Laser ablation of pressed soil pellets was examined as a means of direct sample introduction to enable inductively coupled plasma mass spectrometry (ICP-MS) screening of soils for residual depleted uranium (DU) contamination. Differentiation between depleted uranium, an anthropogenic contaminant, and naturally occurring uranium was accomplished on the basis of measured 235U/238U isotope ratios. The amount of sample preparation required for laser ablation is considerably less than that typically required for aqueous sample introduction. The amount of hazardous laboratory waste generated is diminished accordingly. During the present investigation, 235U/238U isotope ratios measured for field samples were in good agreement with those derived from gamma spectrometry measurements. However, substantial compensation was required to mitigate the effects of impaired pulse counting attributed to sample inhomogeneity and sporadic introduction of uranium analyte into the plasma.

  7. Mobile inductively coupled plasma system

    DOEpatents

    D`Silva, A.P.; Jaselskis, E.J.

    1999-03-30

    A system is described for sampling and analyzing a material located at a hazardous site. A laser located remotely from the hazardous site is connected to an optical fiber, which directs laser radiation proximate the material at the hazardous site. The laser radiation abates a sample of the material. An inductively coupled plasma is located remotely from the material. An aerosol transport system carries the ablated particles to a plasma, where they are dissociated, atomized and excited to provide characteristic optical reduction of the elemental constituents of the sample. An optical spectrometer is located remotely from the site. A second optical fiber is connected to the optical spectrometer at one end and the plasma source at the other end to carry the optical radiation from the plasma source to the spectrometer. 10 figs.

  8. Mobile inductively coupled plasma system

    DOEpatents

    D'Silva, Arthur P.; Jaselskis, Edward J.

    1999-03-30

    A system for sampling and analyzing a material located at a hazardous site. A laser located remote from the hazardous site is connected to an optical fiber, which directs laser radiation proximate the material at the hazardous site. The laser radiation abates a sample of the material. An inductively coupled plasma is located remotely from the material. An aerosol transport system carries the ablated particles to a plasma, where they are dissociated, atomized and excited to provide characteristic optical reduction of the elemental constituents of the sample. An optical spectrometer is located remotely from the site. A second optical fiber is connected to the optical spectrometer at one end and the plasma source at the other end to carry the optical radiation from the plasma source to the spectrometer.

  9. Determination of elemental content off rocks by laser ablation inductively coupled plasma mass spectrometry

    USGS Publications Warehouse

    Lichte, F.E.

    1995-01-01

    A new method of analysis for rocks and soils is presented using laser ablation inductively coupled plasma mass spectrometry. It is based on a lithium borate fusion and the free-running mode of a Nd/YAG laser. An Ar/N2 sample gas improves sensitivity 7 ?? for most elements. Sixty-three elements are characterized for the fusion, and 49 elements can be quantified. Internal standards and isotopic spikes ensure accurate results. Limits of detection are 0.01 ??g/g for many trace elements. Accuracy approaches 5% for all elements. A new quality assurance procedure is presented that uses fundamental parameters to test relative response factors for the calibration.

  10. Considerations on data acquisition in laser ablation-inductively coupled plasma-mass spectrometry with low-dispersion interfaces

    NASA Astrophysics Data System (ADS)

    Van Malderen, Stijn J. M.; van Elteren, Johannes T.; Šelih, Vid S.; Vanhaecke, Frank

    2018-02-01

    This work describes the aliasing effects induced by undersampling the high-frequency signal patterns generated by a laser ablation-inductively coupled plasma-mass spectrometer equipped with a low-dispersion ablation cell and sequential mass analyzer. By characterizing the width of the signal peak generated from a single shot on the sample, critical experimental parameters, such as the laser repetition rate and detector cycle timings for the individual nuclides can be matched so as to avoid these imaging artifacts (spectral skew) induced by an insufficient sampling rate. By increasing the laser repetition rate by a factor 2-3, masses at the end of the mass scan can be sampled at higher sensitivity. Furthermore, the dwell times can be redistributed over the nuclides of interest based on the signal-to-noise ratio to increase the image contrast.

  11. Solution-based calibration strategy for laser ablation-inductively coupled plasma-mass spectrometry using desolvating nebulizer system

    NASA Astrophysics Data System (ADS)

    Zhang, Guoxia; Li, Qing; Zhu, Yan; Wang, Zheng

    2018-07-01

    An additional quantification strategy using a desolvating nebulizer system (DNS) for solution-based calibration was developed. For quantitative analysis, laser ablation (LA) and DNS-generated aerosols were coupled using a "Y" connector and introduced into the inductively coupled plasma (ICP). These aerosols were also observed by scanning electron microscopy following collection on a silicon chip. Internal standards (108Ag, 64Cu, 89Y) were used to correct for the different aerosol transport efficiencies between the DNS and LA. The correlation coefficients of the calibration curves for all elements ranged from 0.9986 to 0.9999. Standard reference materials (NIST 610-616 and GBW08407-08411) were used to demonstrate the accuracy and precision of the method. The results were in good agreement with certified values, and the relative standard deviation (RSD) of most elements was <3%. The limits of detection (LODs) for 50Cr, 55Mn, 59Co, 60Ni, 66Zn, 89Y, 110Cd, 139La, 140Ce, 146Nd, 147Sm, 157Gd, 163Dy, 166Er, and 208Pb were 23, 3, 3, 19, 31, 4, 12, 0.4, 0.9, 0.1, 0.2, 2, 0.3, 0.4, and 21 ng/g, respectively, which were significantly better than those obtained by other methods. Further, this approach was applied for the analysis of multiple elements in biological tissues, and the results were in good agreement with those obtained using solution-based inductively coupled plasma-mass spectrometry (ICP-MS).

  12. Elemental analysis of glass by laser ablation inductively coupled plasma optical emission spectrometry (LA-ICP-OES).

    PubMed

    Schenk, Emily R; Almirall, José R

    2012-04-10

    The elemental analysis of glass evidence has been established as a powerful discrimination tool for forensic analysts. Laser ablation inductively coupled plasma optical emission spectrometry (LA-ICP-OES) has been compared to laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and energy dispersive micro X-ray fluorescence spectroscopy (μXRF/EDS) as competing instrumentation for the elemental analysis of glass. The development of a method for the forensic analysis of glass coupling laser ablation to ICP-OES is presented for the first time. LA-ICP-OES has demonstrated comparable analytical performance to LA-ICP-MS based on the use of the element menu, Al (Al I 396.15 nm), Ba (Ba II 455.40 nm), Ca (Ca II 315.88 nm), Fe (Fe II 238.20 nm), Li (Li I 670.78 nm), Mg (Mg I 285.21 nm), Sr (Sr II 407.77 nm), Ti (Ti II 368.51 nm), and Zr (Zr II 343.82 nm). The relevant figures of merit, such as precision, accuracy and sensitivity, are presented and compared to LA-ICP-MS. A set of 41 glass samples was used to assess the discrimination power of the LA-ICP-OES method in comparison to other elemental analysis techniques. This sample set consisted of several vehicle glass samples that originated from the same source (inside and outside windshield panes) and several glass samples that originated from different vehicles. Different match criteria were used and compared to determine the potential for Type I and Type II errors. It was determined that broader match criteria is more applicable to the forensic comparison of glass analysis because it can reduce the affect that micro-heterogeneity inherent in the glass fragments and a less than ideal sampling strategy can have on the interpretation of the results. Based on the test set reported here, a plus or minus four standard deviation (± 4s) match criterion yielded the lowest possibility of Type I and Type II errors. The developed LA-ICP-OES method has been shown to perform similarly to LA-ICP-MS in the

  13. The effect of ultrafast laser wavelength on ablation properties and implications on sample introduction in inductively coupled plasma mass spectrometry

    PubMed Central

    LaHaye, N. L.; Harilal, S. S.; Diwakar, P. K.; Hassanein, A.; Kulkarni, P.

    2015-01-01

    We investigated the role of femtosecond (fs) laser wavelength on laser ablation (LA) and its relation to laser generated aerosol counts and particle distribution, inductively coupled plasma-mass spectrometry (ICP-MS) signal intensity, detection limits, and elemental fractionation. Four different NIST standard reference materials (610, 613, 615, and 616) were ablated using 400 nm and 800 nm fs laser pulses to study the effect of wavelength on laser ablation rate, accuracy, precision, and fractionation. Our results show that the detection limits are lower for 400 nm laser excitation than 800 nm laser excitation at lower laser energies but approximately equal at higher energies. Ablation threshold was also found to be lower for 400 nm than 800 nm laser excitation. Particle size distributions are very similar for 400 nm and 800 nm wavelengths; however, they differ significantly in counts at similar laser fluence levels. This study concludes that 400 nm LA is more beneficial for sample introduction in ICP-MS, particularly when lower laser energies are to be used for ablation. PMID:26640294

  14. Comparison of laser ablation and dried solution aerosol as sampling systems in inductively coupled plasma mass spectrometry.

    PubMed

    Coedo, A G; Padilla, I; Dorado, M T

    2004-12-01

    This paper describes a study designed to determine the possibility of using a dried aerosol solution for calibration in laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS). The relative sensitivities of tested materials mobilized by laser ablation and by aqueous nebulization were established, and the experimentally determined relative sensitivity factors (RSFs) were used in conjunction with aqueous calibration for the analysis of solid steel samples. To such a purpose a set of CRM carbon steel samples (SS-451/1 to SS-460/1) were sampled into an ICP-MS instrument by solution nebulization using a microconcentric nebulizer with membrane desolvating (D-MCN) and by laser ablation (LA). Both systems were applied with the same ICP-MS operating parameters and the analyte signals were compared. The RSF (desolvated aerosol response/ablated solid response) values were close to 1 for the analytes Cr, Ni, Co, V, and W, about 1.3 for Mo, and 1.7 for As, P, and Mn. Complementary tests were carried out using CRM SS-455/1 as a solid standard for one-point calibration, applying LAMTRACE software for data reduction and quantification. The analytical results are in good agreement with the certified values in all cases, showing that the applicability of dried aerosol solutions is a good alternative calibration system for laser ablation sampling.

  15. Unambiguous characterization of gunshot residue particles using scanning laser ablation and inductively coupled plasma-mass spectrometry.

    PubMed

    Abrego, Zuriñe; Ugarte, Ana; Unceta, Nora; Fernández-Isla, Alberto; Goicolea, M Aranzazu; Barrio, Ramón J

    2012-03-06

    A new method based on scanning laser ablation and inductively coupled plasma-mass spectrometry (LA-ICPMS) for the detection and identification of gunshot residue (GSR) particles from firearms discharges has been developed. Tape lifts were used to collect inorganic residues from skin surfaces. The laser ablation pattern and ICPMS conditions were optimized for the detection of metals present in GSR, such as (121)Sb, (137)Ba, and (208)Pb. Other isotopes ((27)Al, (29)Si, (31)P, (33)S, (35)Cl, (39)K, (44)Ca, (57)Fe, (60)Ni, (63)Cu, (66)Zn, and (118)Sn) were monitored during the ICPMS analyses to obtain additional information to possibly classify the GSR particles as either characteristic of GSR or consistent with GSR. In experiments with real samples, different firearms, calibers, and ammunitions were used. The performed method evaluation confirms that the developed methodology can be used as an alternative to the standard scanning electron microscopy-energy dispersive spectroscopy (SEM-EDS) technique, with the significant advantage of drastically reducing the analysis time to less than 66 min.

  16. Laser ablation inductively coupled plasma mass spectrometry for direct isotope ratio measurements on solid samples

    NASA Astrophysics Data System (ADS)

    Pickhardt, Carola; Dietze, Hans-Joachim; Becker, J. Sabine

    2005-04-01

    Isotope ratio measurements have been increasingly used in quite different application fields, e.g., for the investigation of isotope variation in nature, in geoscience (geochemistry and geochronology), in cosmochemistry and planetary science, in environmental science, e.g., in environmental monitoring, or by the application of the isotope dilution technique for quantification purposes using stable or radioactive high-enriched isotope tracers. Due to its high sensitivity, laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) is today a challenging mass spectrometric technique for the direct determination of precise and accurate isotope ratios in solid samples. In comparison to laser ablation quadrupole ICP-MS (LA-ICP-QMS), laser ablation coupled to a double-focusing sector field ICP-MS (LA-ICP-SFMS) with single ion detection offers a significant improvement of sensitivity at low mass resolution, whereby isotope ratios can be measured with a precision to 0.1% relative standard deviation (R.S.D.). In LA-ICP-SFMS, many disturbing isobaric interferences of analyte and molecular ions can be separated at the required mass resolution (e.g., 40Ar16O+ and 56Fe+ for iron isotope ratio measurements). The precision on isotope ratio measurements was improved by one order of magnitude via the simultaneous detection of mass-separated ion currents of isotopes using multiple ion collectors in LA-ICP-MS (LA-MC-ICP-MS). The paper discusses the state of the art, the challenges and limits in isotope ratio measurements by LA-ICP-MS using different instrumentations at the trace and ultratrace level in different fields of application as in environmental and biological research, geochemistry and geochronology with respect to their precision and accuracy.

  17. Characterization of a 50kW Inductively Coupled Plasma Torch for Testing of Ablative Thermal Protection Materials

    NASA Technical Reports Server (NTRS)

    Greene, Benton R.; Clemens, Noel T.; Varghese, Philip L.; Bouslog, Stanley A.; Del Papa, Steven V.

    2017-01-01

    With the development of new manned spaceflight capabilities including NASA's Orion capsule and the Space-X Dragon capsule, there is a renewed importance of understanding the dynamics of ablative thermal protection systems. To this end, a new inductively coupled plasma torch facility is being developed at UT-Austin. The torch operates on argon and/or air at plasma powers up to 50 kW. In the present configuration the flow issues from a low-speed subsonic nozzle and the hot plume is characterized using slug calorimetry and emission spectroscopy. Preliminary measurements using emission spectroscopy have indicated that the torch is capable of producing an air plasma with a temperature between 6,000 K and 8,000 K depending on the power and flow settings and an argon plasma with a temperature of approximately 12,000 K. The operation envelope was measured, and heat flux measured for every point within the envelope using both a slug calorimeter and a Gardon gauge heat flux sensor. The torch was found to induce a stagnation point heat flux of between 90 and 225 W/sq cm.

  18. Mapping of lead, magnesium and copper accumulation in plant tissues by laser-induced breakdown spectroscopy and laser-ablation inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Kaiser, J.; Galiová, M.; Novotný, K.; Červenka, R.; Reale, L.; Novotný, J.; Liška, M.; Samek, O.; Kanický, V.; Hrdlička, A.; Stejskal, K.; Adam, V.; Kizek, R.

    2009-01-01

    Laser-Induced Breakdown Spectroscopy (LIBS) and Laser Ablation Inductively Coupled Plasma Mass Spectrometry (LA-ICP-MS) were utilized for mapping the accumulation of Pb, Mg and Cu with a resolution up to 200 μm in a up to cm × cm area of sunflower ( Helianthus annuus L.) leaves. The results obtained by LIBS and LA-ICP-MS are compared with the outcomes from Atomic Absorption Spectrometry (AAS) and Thin-Layer Chromatography (TLC). It is shown that laser-ablation based analytical methods can substitute or supplement these techniques mainly in the cases when a fast multi-elemental mapping of a large sample area is needed.

  19. Determination of tin isotope ratios in cassiterite by femtosecond laser ablation multicollector inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Schulze, Marie; Ziegerick, Marco; Horn, Ingo; Weyer, Stefan; Vogt, Carla

    2017-04-01

    In comparison to isotope analysis of dissolved samples femtosecond laser ablation multicollector inductively coupled plasma mass spectrometry (fs-LA-MC-ICP-MS) enables precise isotope ratio analyses consuming much less sample material and with a minimum effort in sample preparation. This is especially important for the investigation of valuable historical objects for which visual traces of sampling are unwanted. The present study provides a basis for tin isotope ratio measurements using LA-MC-ICP-MS technique. For this, in house isotope standards had to be defined. Investigations on interferences and matrix effects illustrate that beside Sb only high Te contents (with values above those to be expected in cassiterite) result in a significant shift of the measured tin isotope ratios. This effect can partly be corrected for using natural isotope abundances. However, a natural isotope fractionation of Te cannot be excluded. Tin beads reduced from cassiterite were analysed by laser ablation and after dissolution. It was shown that tin isotope ratios can be determined accurately by using fs-LA-MC-ICP-MS. Furthermore the homogeneity of tin isotope ratios in cassiterite was proven.

  20. In situ calibration of inductively coupled plasma-atomic emission and mass spectroscopy

    DOEpatents

    Braymen, Steven D.

    1996-06-11

    A method and apparatus for in situ addition calibration of an inductively coupled plasma atomic emission spectrometer or mass spectrometer using a precision gas metering valve to introduce a volatile calibration gas of an element of interest directly into an aerosol particle stream. The present situ calibration technique is suitable for various remote, on-site sampling systems such as laser ablation or nebulization.

  1. Closed inductively coupled plasma cell

    DOEpatents

    Manning, Thomas J.; Palmer, Byron A.; Hof, Douglas E.

    1990-01-01

    A closed inductively coupled plasma cell generates a relatively high power, low noise plasma for use in spectroscopic studies. A variety of gases can be selected to form the plasma to minimize spectroscopic interference and to provide a electron density and temperature range for the sample to be analyzed. Grounded conductors are placed at the tube ends and axially displaced from the inductive coil, whereby the resulting electromagnetic field acts to elongate the plasma in the tube. Sample materials can be injected in the plasma to be excited for spectroscopy.

  2. Closed inductively coupled plasma cell

    DOEpatents

    Manning, T.J.; Palmer, B.A.; Hof, D.E.

    1990-11-06

    A closed inductively coupled plasma cell generates a relatively high power, low noise plasma for use in spectroscopic studies is disclosed. A variety of gases can be selected to form the plasma to minimize spectroscopic interference and to provide a electron density and temperature range for the sample to be analyzed. Grounded conductors are placed at the tube ends and axially displaced from the inductive coil, whereby the resulting electromagnetic field acts to elongate the plasma in the tube. Sample materials can be injected in the plasma to be excited for spectroscopy. 1 fig.

  3. In situ calibration of inductively coupled plasma-atomic emission and mass spectroscopy

    DOEpatents

    Braymen, S.D.

    1996-06-11

    A method and apparatus are disclosed for in situ addition calibration of an inductively coupled plasma atomic emission spectrometer or mass spectrometer using a precision gas metering valve to introduce a volatile calibration gas of an element of interest directly into an aerosol particle stream. The present in situ calibration technique is suitable for various remote, on-site sampling systems such as laser ablation or nebulization. 5 figs.

  4. Imaging of Selenium by Laser Ablation Inductively Coupled Plasma Mass Spectrometry (LA-ICP-MS) in 2-D Electrophoresis Gels and Biological Tissues.

    PubMed

    Cruz, Elisa Castañeda Santa; Susanne Becker, J; Sabine Becker, J; Sussulini, Alessandra

    2018-01-01

    Selenium and selenoproteins are important components of living organisms that play a role in different biological processes. Laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) is a powerful analytical technique that has been employed to obtain distribution maps of selenium in biological tissues in a direct manner, as well as in selenoproteins, previously separated by their molecular masses and isoelectric points using two-dimensional polyacrylamide gel electrophoresis (2-D PAGE). In this chapter, we present the protocols to perform LA-ICP-MS imaging experiments, allowing the distribution visualization and determination of selenium and/or selenoproteins in biological systems.

  5. Applications of inductively coupled plasma mass spectrometry and laser ablation inductively coupled plasma mass spectrometry in materials science

    NASA Astrophysics Data System (ADS)

    Becker, Johanna Sabine

    2002-12-01

    Inductively coupled plasma mass spectrometry (ICP-MS) and laser ablation ICP-MS (LA-ICP-MS) have been applied as the most important inorganic mass spectrometric techniques having multielemental capability for the characterization of solid samples in materials science. ICP-MS is used for the sensitive determination of trace and ultratrace elements in digested solutions of solid samples or of process chemicals (ultrapure water, acids and organic solutions) for the semiconductor industry with detection limits down to sub-picogram per liter levels. Whereas ICP-MS on solid samples (e.g. high-purity ceramics) sometimes requires time-consuming sample preparation for its application in materials science, and the risk of contamination is a serious drawback, a fast, direct determination of trace elements in solid materials without any sample preparation by LA-ICP-MS is possible. The detection limits for the direct analysis of solid samples by LA-ICP-MS have been determined for many elements down to the nanogram per gram range. A deterioration of detection limits was observed for elements where interferences with polyatomic ions occur. The inherent interference problem can often be solved by applying a double-focusing sector field mass spectrometer at higher mass resolution or by collision-induced reactions of polyatomic ions with a collision gas using an ICP-MS fitted with collision cell. The main problem of LA-ICP-MS is quantification if no suitable standard reference materials with a similar matrix composition are available. The calibration problem in LA-ICP-MS can be solved using on-line solution-based calibration, and different procedures, such as external calibration and standard addition, have been discussed with respect to their application in materials science. The application of isotope dilution in solution-based calibration for trace metal determination in small amounts of noble metals has been developed as a new calibration strategy. This review discusses new

  6. Multivariate classification of edible salts: Simultaneous Laser-Induced Breakdown Spectroscopy and Laser-Ablation Inductively Coupled Plasma Mass Spectrometry Analysis

    NASA Astrophysics Data System (ADS)

    Lee, Yonghoon; Nam, Sang-Ho; Ham, Kyung-Sik; Gonzalez, Jhanis; Oropeza, Dayana; Quarles, Derrick; Yoo, Jonghyun; Russo, Richard E.

    2016-04-01

    Laser-Induced Breakdown Spectroscopy (LIBS) and Laser-Ablation Inductively Coupled Plasma Mass Spectrometry (LA-ICP-MS), both based on laser ablation sampling, can be employed simultaneously to obtain different chemical fingerprints from a sample. We demonstrated that this analysis approach can provide complementary information for improved classification of edible salts. LIBS could detect several of the minor metallic elements along with Na and Cl, while LA-ICP-MS spectra were used to measure non-metallic and trace heavy metal elements. Principal component analysis using LIBS and LA-ICP-MS spectra showed that their major spectral variations classified the sample salts in different ways. Three classification models were developed by using partial least squares-discriminant analysis based on the LIBS, LA-ICP-MS, and their fused data. From the cross-validation performances and confusion matrices of these models, the minor metallic elements (Mg, Ca, and K) detected by LIBS and the non-metallic (I) and trace heavy metal (Ba, W, and Pb) elements detected by LA-ICP-MS provided complementary chemical information to distinguish particular salt samples.

  7. Multielemental analysis of prehistoric animal teeth by laser-induced breakdown spectroscopy and laser ablation inductively coupled plasma mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiova, Michaela; Kaiser, Jozef; Fortes, Francisco J.

    2010-05-01

    Laser-induced breakdown spectroscopy (LIBS) and laser ablation (LA) inductively coupled plasma (ICP) mass spectrometry (MS) were utilized for microspatial analyses of a prehistoric bear (Ursus arctos) tooth dentine. The distribution of selected trace elements (Sr, Ba, Fe) was measured on a 26 mmx15 mm large and 3 mm thick transverse cross section of a canine tooth. The Na and Mg content together with the distribution of matrix elements (Ca, P) was also monitored within this area. The depth of the LIBS craters was measured with an optical profilometer. As shown, both LIBS and LA-ICP-MS can be successfully used for themore » fast, spatially resolved analysis of prehistoric teeth samples. In addition to microchemical analysis, the sample hardness was calculated using LIBS plasma ionic-to-atomic line intensity ratios of Mg (or Ca). To validate the sample hardness calculations, the hardness was also measured with a Vickers microhardness tester.« less

  8. Inductively coupled helium plasma torch

    DOEpatents

    Montaser, Akbar; Chan, Shi-Kit; Van Hoven, Raymond L.

    1989-01-01

    An inductively coupled plasma torch including a base member, a plasma tube and a threaded insert member within the plasma tube for directing the plasma gas in a tangential flow pattern. The design of the torch eliminates the need for a separate coolant gas tube. The torch can be readily assembled and disassembled with a high degree of alignment accuracy.

  9. Liquid chromatography coupled with inductively coupled plasma mass spectrometry in the pharmaceutical industry: selected examples.

    PubMed

    Marshall, Peter S; Leavens, Bill; Heudi, Olivier; Ramirez-Molina, Cesar

    2004-11-12

    Both LC and capillary LC (CapLC) have been successfully interfaced with inductively coupled plasma mass spectrometry (ICP-MS). Gradients of acetonitrile and aqueous based solvents have been employed to separate several compounds of pharmaceutical interest. This paper will describe four application areas in the pharmaceutical industry, and examples will be shown where CapLC, LC and gel electrophoresis via laser ablation have been coupled with ICP-MS. The four areas highlighted in this paper are: (1) the use of derivatisation reactions to "make the invisible visible". Methods involving derivatisations with copper and iron will be described that can be used for the analysis of amines and carboxylic acids by ICP-MS. (2) The profiling of metal ion content (in particular bromine) in biological samples such as human plasma, this study will focus on the metabolism of bromine-labelled peptides (e.g. substance P). (3) The analysis of materials derived from single, solid-phase beads used in combinatorial chemistry, and (4) also discussed will be our findings from investigations into the use of laser ablation ICP-MS on the determination of protein phosphorylation on electrophoresis gel blots.

  10. Role of laser ablation-inductively coupled plasma-mass spectrometry in cultural heritage research: a review.

    PubMed

    Giussani, Barbara; Monticelli, Damiano; Rampazzi, Laura

    2009-03-02

    Cultural heritage represents a bridge between the contemporary society and the past populations, and a strong collaboration between archaeologists, art historians and analysts may lead to the decryption of the information hidden in an ancient object. Quantitative elemental compositional data play a key role in solving questions concerning dating, provenance, technology, use and the relationship of ancient cultures with the environment. Nevertheless, the scientific investigation of an artifact should be carried out complying with some important constraints: above all the analyses should be as little destructive as possible and performed directly on the object to preserve its integrity. Laser ablation sampling coupled to inductively coupled plasma-mass spectrometry (LA-ICP-MS) fulfils these requirements exhibiting comparably strong analytical performance in trace element determination. This review intends to show through the applications found in the literature how valuable is the contribution of LA-ICP-MS in the investigation of ancient materials such as obsidian, glass, pottery, human remains, written heritage, metal objects and miscellaneous stone materials. The main issues related to cultural heritage investigation are introduced, followed by a brief description of the features of this technique. An overview of the exploitation of LA-ICP-MS is then presented. Finally, advantages and drawbacks of this technique are critically discussed: the fit for purpose and prospects of the use of LA-ICP-MS are presented.

  11. Laser ablation-inductively coupled plasma mass spectrometry: an emerging technology for detecting rare cells in tissue sections.

    PubMed

    Managh, Amy J; Hutchinson, Robert W; Riquelme, Paloma; Broichhausen, Christiane; Wege, Anja K; Ritter, Uwe; Ahrens, Norbert; Koehl, Gudrun E; Walter, Lisa; Florian, Christian; Schlitt, Hans J; Reid, Helen J; Geissler, Edward K; Sharp, Barry L; Hutchinson, James A

    2014-09-01

    Administering immunoregulatory cells to patients as medicinal agents is a potentially revolutionary approach to the treatment of immunologically mediated diseases. Presently, there are no satisfactory, clinically applicable methods of tracking human cells in patients with adequate spatial resolution and target cell specificity over a sufficient period of time. Laser ablation-inductively coupled plasma mass spectrometry (LA-ICP-MS) represents a potential solution to the problem of detecting very rare cells in tissues. In this article, this exquisitely sensitive technique is applied to the tracking of gold-labeled human regulatory macrophages (Mregs) in immunodeficient mice. Optimal conditions for labeling Mregs with 50-nm gold particles were investigated by exposing Mregs in culture to variable concentrations of label: Mregs incubated with 3.5 × 10(9) particles/ml for 1 h incorporated an average of 3.39 × 10(8) Au atoms/cell without loss of cell viability. Analysis of single, gold-labeled Mregs by LA-ICP-MS registered an average of 1.9 × 10(5) counts/cell. Under these conditions, 100% labeling efficiency was achieved, and label was retained by Mregs for ≥36 h. Gold-labeled Mregs adhered to glass surfaces; after 24 h of culture, it was possible to colabel these cells with human-specific (154)Sm-tagged anti-HLA-DR or (174)Yb-tagged anti-CD45 mAbs. Following injection into immunodeficient mice, signals from gold-labeled human Mregs could be detected in mouse lung, liver, and spleen for at least 7 d by solution-based inductively coupled plasma mass spectrometry and LA-ICP-MS. These promising results indicate that LA-ICP-MS tissue imaging has great potential as an analytical technique in immunology. Copyright © 2014 by The American Association of Immunologists, Inc.

  12. Comparison of femtosecond and nanosecond laser ablation inductively coupled plasma mass spectrometry for uranium isotopic measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Havrilla, George Joseph; McIntosh, Kathryn Gallagher; Judge, Elizabeth

    2016-10-20

    Feasibility tests were conducted using femtosecond and nanosecond laser ablation inductively coupled plasma mass spectrometry for rapid uranium isotopic measurements. The samples used in this study consisted of a range of pg quantities of known 235/238 U solutions as dried spot residues of 300 pL drops on silicon substrates. The samples spanned the following enrichments of 235U: 0.5, 1.5, 2, 3, and 15.1%. In this direct comparison using these particular samples both pulse durations demonstrated near equivalent data can be produced on either system with respect to accuracy and precision. There is no question that either LA-ICP-MS method offers themore » potential for rapid, accurate and precise isotopic measurements of U10Mo materials whether DU, LEU or HEU. The LA-ICP-MS equipment used for this work is commercially available. The program is in the process of validating this work for large samples using center samples strips from Y-12 MP-1 LEU-Mo Casting #1.« less

  13. Inductively coupled plasma mass spectrometer with laser ablation metal ions release detection in the human mouth

    NASA Astrophysics Data System (ADS)

    Kueerova, Hana; Dostalova, Tatjana; Prochazkova, J.

    2002-06-01

    Presence of more dental alloys in oral cavity often causes pathological symptoms. Due to various and multi-faced symptomatology, they tend to be a source of significant problems not only for the patient but also for the dentist. Metal ions released from alloys can cause subjective and objective symptoms in mouth. The aim of this study was detection of metal elements presence in saliva. There were 4 groups of examined persons: with intact teeth (15 individuals) with metallic restorations, pathological currents 5-30 (mu) A, multi-faced subjective symptomatology and uncharacteristic objective diagnosis (32 patients), with metallic restorations and no subjective symptoms (14 persons) and with metallic restorations, without pathological currents and with problems related to galvanism (13 patients). Presence of 14 metal elements was checked by inductively coupled plasma mass spectrometer with laser ablation. Nd:YAG laser detector was used. There were significant differences in content of silver, gold and mercury between persons with intact teeth and other three groups. There were no differences found between subjects with and without galvanic currents, and presence of subjective and objective symptoms.

  14. Green and Fast Laser Fusion Technique for Bulk Silicate Rock Analysis by Laser Ablation-Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Zhang, Chenxi; Hu, Zhaochu; Zhang, Wen; Liu, Yongsheng; Zong, Keqing; Li, Ming; Chen, Haihong; Hu, Shenghong

    2016-10-18

    Sample preparation of whole-rock powders is the major limitation for their accurate and precise elemental analysis by laser ablation inductively-coupled plasma mass spectrometry (ICPMS). In this study, a green, efficient, and simplified fusion technique using a high energy infrared laser was developed for major and trace elemental analysis. Fusion takes only tens of milliseconds for each sample. Compared to the pressed pellet sample preparation, the analytical precision of the developed laser fusion technique is higher by an order of magnitude for most elements in granodiorite GSP-2. Analytical results obtained for five USGS reference materials (ranging from mafic to intermediate to felsic) using the laser fusion technique generally agree with recommended values with discrepancies of less than 10% for most elements. However, high losses (20-70%) of highly volatile elements (Zn and Pb) and the transition metal Cu are observed. The achieved precision is within 5% for major elements and within 15% for most trace elements. Direct laser fusion of rock powders is a green and notably simple method to obtain homogeneous samples, which will significantly accelerate the application of laser ablation ICPMS for whole-rock sample analysis.

  15. Determinations of rare earth element abundance and U-Pb age of zircons using multispot laser ablation-inductively coupled plasma mass spectrometry.

    PubMed

    Yokoyama, Takaomi D; Suzuki, Toshihiro; Kon, Yoshiaki; Hirata, Takafumi

    2011-12-01

    We have developed a new calibration technique for multielement determination and U-Pb dating of zircon samples using laser ablation-inductively coupled plasma mass spectrometry (ICPMS) coupled with galvanometric optics. With the galvanometric optics, laser ablation of two or more sample materials could be achieved in very short time intervals (~10 ms). The resulting sample aerosols released from different ablation pits or different solid samples were mixed and homogenized within the sample cell and then transported into the ICP ion source. Multiple spot laser ablation enables spiking of analytes or internal standard elements directly into the solid samples, and therefore the standard addition calibration method can be applied for the determination of trace elements in solid samples. In this study, we have measured the rare earth element (REE) abundances of two zircon samples (Nancy 91500 and Prešovice) based on the standard addition technique, using a direct spiking of analytes through a multispot laser ablation of the glass standard material (NIST SRM612). The resulting REE abundance data show good agreement with previously reported values within analytical uncertainties achieved in this study (10% for most elements). Our experiments demonstrated that nonspectroscopic interferences on 14 REEs could be significantly reduced by the standard addition technique employed here. Another advantage of galvanometric devices is the accumulation of sample aerosol released from multiple spots. In this study we have measured the U-Pb age of a zircon sample (LMR) using an accumulation of sample aerosols released from 10 separate ablation pits of low diameters (~8 μm). The resulting (238)U-(206)Pb age data for the LMR zircons was 369 ± 64 Ma, which is in good agreement with previously reported age data (367.6 ± 1.5 Ma). (1) The data obtained here clearly demonstrate that the multiple spot laser ablation-ICPMS technique can become a powerful approach for elemental and isotopic

  16. Investigation of heavy-metal accumulation in selected plant samples using laser induced breakdown spectroscopy and laser ablation inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Galiová, M.; Kaiser, J.; Novotný, K.; Novotný, J.; Vaculovič, T.; Liška, M.; Malina, R.; Stejskal, K.; Adam, V.; Kizek, R.

    2008-12-01

    Single-pulse Laser-Induced Breakdown Spectroscopy (LIBS) and Laser-Ablation Inductively Coupled Plasma Mass-Spectrometry (LA-ICP-MS) were applied for mapping the silver and copper distribution in Helianthus Annuus L. samples treated with contaminant in controlled conditions. For Ag and Cu detection the 328.07 nm Ag(I) and 324.75 nm Cu(I) lines were used, respectively. The LIBS experimental conditions (mainly the laser energy and the observation window) were optimized in order to avoid self-absorption effect in the measured spectra. In the LA-ICP-MS analysis the Ag 107 and Cu 63 isotopes were detected. The capability of these two analytical techniques for high-resolution mapping of selected trace chemical elements was demonstrated.

  17. Analysis of atmospheric pollutant metals by laser ablation inductively coupled plasma mass spectrometry with a radial line-scan dried-droplet approach

    NASA Astrophysics Data System (ADS)

    Tang, Xiaoxing; Qian, Yuan; Guo, Yanchuan; Wei, Nannan; Li, Yulan; Yao, Jian; Wang, Guanghua; Ma, Jifei; Liu, Wei

    2017-12-01

    A novel method has been improved for analyzing atmospheric pollutant metals (Be, Mn, Fe, Co, Ni, Cu, Zn, Se, Sr, Cd, and Pb) by laser ablation inductively coupled plasma mass spectrometry. In this method, solid standards are prepared by depositing droplets of aqueous standard solutions on the surface of a membrane filter, which is the same type as used for collecting atmospheric pollutant metals. Laser parameters were optimized, and ablation behaviors of the filter discs were studied. The mode of radial line scans across the filter disc was a representative ablation strategy and can avoid error from the inhomogeneous filter standards and marginal effect of the filter disc. Pt, as the internal standard, greatly improved the correlation coefficient of the calibration curve. The developed method provides low detection limits, from 0.01 ng m- 3 for Be and Co to 1.92 ng m- 3 for Fe. It was successfully applied for the determination of atmospheric pollutant metals collected in Lhasa, China. The analytical results showed good agreement with those obtained by conventional liquid analysis. In contrast to the conventional acid digestion procedure, the novel method not only greatly reduces sample preparation and shortens the analysis time but also provides a possible means for studying the spatial distribution of atmospheric filter samples.

  18. Quantitative analysis of gold nanoparticles in single cells by laser ablation inductively coupled plasma-mass spectrometry.

    PubMed

    Wang, Meng; Zheng, Ling-Na; Wang, Bing; Chen, Han-Qing; Zhao, Yu-Liang; Chai, Zhi-Fang; Reid, Helen J; Sharp, Barry L; Feng, Wei-Yue

    2014-10-21

    Single cell analysis has become an important field of research in recent years reflecting the heterogeneity of cellular responses in biological systems. Here, we demonstrate a new method, based on laser ablation inductively coupled plasma mass spectrometry (LA-ICPMS), which can quantify in situ gold nanoparticles (Au NPs) in single cells. Dried residues of picoliter droplets ejected by a commercial inkjet printer were used to simulate matrix-matched calibration standards. The gold mass in single cells exposed to 100 nM NIST Au NPs (Reference material 8012, 30 nm) for 4 h showed a log-normal distribution, ranging from 1.7 to 72 fg Au per cell, which approximately corresponds to 9 to 370 Au NPs per cell. The average result from 70 single cells (15 ± 13 fg Au per cell) was in good agreement with the result from an aqua regia digest solution of 1.2 × 10(6) cells (18 ± 1 fg Au per cell). The limit of quantification was 1.7 fg Au. This paper demonstrates the great potential of LA-ICPMS for single cell analysis and the beneficial study of biological responses to metal drugs or NPs at the single cell level.

  19. A Tightly Coupled Non-Equilibrium Magneto-Hydrodynamic Model for Inductively Coupled RF Plasmas

    DTIC Science & Technology

    2016-02-29

    development a tightly coupled magneto-hydrodynamic model for Inductively Coupled Radio- Frequency (RF) Plasmas. Non Local Thermodynamic Equilibrium (NLTE...for Inductively Coupled Radio-Frequency (RF) Plasmas. Non Local Thermodynamic Equilibrium (NLTE) effects are described based on a hybrid State-to-State... thermodynamic variable. This choice allows one to hide the non-linearity of the gas (total) thermal conductivity κ and can partially alle- 2 viate numerical

  20. Simultaneous measurement of sulfur and lead isotopes in sulfides using nanosecond laser ablation coupled with two multi-collector inductively coupled plasma mass spectrometers

    NASA Astrophysics Data System (ADS)

    Yuan, Honglin; Liu, Xu; Chen, Lu; Bao, Zhian; Chen, Kaiyun; Zong, Chunlei; Li, Xiao-Chun; Qiu, Johnson Wenhong

    2018-04-01

    We herein report the coupling of a nanosecond laser ablation system with a large-scale multi-collector inductively coupled plasma mass spectrometer (Nu1700 MC-ICPMS, NP-1700) and a conventional Nu Plasma II MC-ICPMS (NP-II) for the simultaneous laser ablation and determination of in situ S and Pb isotopic compositions of sulfide minerals. We found that the required aerosol distribution between the two spectrometers depended on the Pb content of the sample. For example, for a sulfide containing 100-3000 ppm Pb, the aerosol was distributed between the NP-1700 and the NP-II spectrometers in a 1:1 ratio, while for lead contents >3000 and <100 ppm, these ratios were 5:1 and 1:3, respectively. In addition, S isotopic analysis showed a pronounced matrix effect, so a matrix-matched external standard was used for standard-sample bracketing correction. The NIST NBS 977 (NBS, National Bureau of Standards; NIST, National Institute of Standards & Technology) Tl (thallium) dry aerosol internal standard and the NIST SRM 610 (SRM, standard reference material) external standard were employed to obtain accurate results for the analysis of Pb isotopes. In tandem experiments where airflow conditions were similar to those employed during stand-alone analyses, small changes in the aerosol carrier gas flow did not significantly influence the accurate determination of S and Pb isotope ratios. In addition, careful optimization of the flow ratio of the aerosol carrier (He) and makeup (Ar) gases to match stand-alone analytical conditions allowed comparable S and Pb isotope ratios to be obtained within an error of 2 s analytical uncertainties. Furthermore, the results of tandem analyses obtained using our method were consistent with those of previously reported stand-alone techniques for the S and Pb isotopes of chalcopyrite, pyrite, galena, and sphalerite, thus indicating that this method is suitable for the simultaneous analysis of S and Pb isotopes of natural sulfide minerals, and provides

  1. Strontium isotope ratios (87Sr/86Sr) of tooth enamel: a comparison of solution and laser ablation multicollector inductively coupled plasma mass spectrometry methods.

    PubMed

    Copeland, Sandi R; Sponheimer, Matt; le Roux, Petrus J; Grimes, Vaughan; Lee-Thorp, Julia A; de Ruiter, Darryl J; Richards, Michael P

    2008-10-01

    Strontium isotope ratios (87Sr/86Sr) in tooth enamel provide a means to investigate migration and landscape use in humans and other animals. Established methods for measuring (87)Sr/(86)Sr in teeth use bulk sampling (5-20 mg) and labor-intensive elemental purification procedures before analysis by either thermal ionization mass spectrometry (TIMS) or multicollector inductively coupled plasma mass spectrometry (MC-ICP-MS). Another method for measuring 87Sr/86Sr is laser ablation MC-ICP-MS, but concerns have been expressed about its accuracy for measuring tooth enamel. In this study we test the precision and accuracy of the technique by analyzing 30 modern rodent teeth from the Sterkfontein Valley, South Africa by laser ablation MC-ICP-MS and solution MC-ICP-MS. The results show a mean difference in 87Sr/86Sr measured by laser ablation and by solution of 0.0003 +/- 0.0002. This degree of precision is well within the margin necessary for investigating the potential geographic origins of humans or animals in many areas of the world. Because laser ablation is faster, less expensive, and less destructive than bulk sampling solution methods, it opens the possibility for conducting 87Sr/86Sr analyses of intra-tooth samples and small and/or rare specimens such as micromammal and fossil teeth.

  2. Analysis of rare earth elements in coal fly ash using laser ablation inductively coupled plasma mass spectrometry and scanning electron microscopy

    NASA Astrophysics Data System (ADS)

    Thompson, Robert L.; Bank, Tracy; Montross, Scott; Roth, Elliot; Howard, Bret; Verba, Circe; Granite, Evan

    2018-05-01

    Reference standard NIST SRM 1633b and FA 345, a fly ash sample from an eastern U.S. coal power plant, were analyzed to determine and quantify the mineralogical association of rare earth elements (REE). These analyses were completed using laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and a scanning electron microscope, equipped with an energy-dispersive X-ray spectrometer (SEM-EDS). Internal standardization was avoided by quantifying elemental concentrations by normalizing to 100% oxides. Mineral grains containing elevated REE concentrations were found in diverse chemical environments, but were most commonly found in regions where Al and Si were predominant. Dividing the spot analyses into time segments yielded plots that showed the REE content changing over time as individual mineral grains were being ablated. SEM-EDS images of FA 345 confirmed the trends that were found in the LA-ICP-MS results. Small grains of apatite, monazite, or zircon were frequently observed as free mineral grains or embedded in amorphous aluminosilicate glass and were not associated with ferrous particles. This finding is consistent with previous reports that magnetic enrichment may be an effective way of concentrating non-magnetic REE phases. Furthermore, aggressive mechanical and chemical-based separation schemes will be required to separate and recover REE from aluminosilicate glass.

  3. Visualizing fossilization using laser ablation-inductively coupled plasma-mass spectrometry maps of trace elements in Late Cretaceous bones

    USGS Publications Warehouse

    Koenig, A.E.; Rogers, R.R.; Trueman, C.N.

    2009-01-01

    Elemental maps generated by laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) provide a previously unavailable high-resolution visualization of the complex physicochemical conditions operating within individual bones during the early stages of diagenesis and fossilization. A selection of LA-ICP-MS maps of bones collected from the Late Cretaceous of Montana (United States) and Madagascar graphically illustrate diverse paths to recrystallization, and reveal unique insights into geochemical aspects of taphonomic history. Some bones show distinct gradients in concentrations of rare earth elements and uranium, with highest concentrations at external bone margins. Others exhibit more intricate patterns of trace element uptake related to bone histology and its control on the flow paths of pore waters. Patterns of element uptake as revealed by LA-ICP-MS maps can be used to guide sampling strategies, and call into question previous studies that hinge upon localized bulk samples of fossilized bone tissue. LA-ICP-MS maps also allow for comparison of recrystallization rates among fossil bones, and afford a novel approach to identifying bones or regions of bones potentially suitable for extracting intact biogeochemical signals. ?? 2009 Geological Society of America.

  4. Imaging Metals in Brain Tissue by Laser Ablation - Inductively Coupled Plasma - Mass Spectrometry (LA-ICP-MS)

    PubMed Central

    Hare, Dominic J.; Kysenius, Kai; Paul, Bence; Knauer, Beate; Hutchinson, Robert W.; O'Connor, Ciaran; Fryer, Fred; Hennessey, Tom P.; Bush, Ashley I.; Crouch, Peter J.; Doble, Philip A.

    2017-01-01

    Metals are found ubiquitously throughout an organism, with their biological role dictated by both their chemical reactivity and abundance within a specific anatomical region. Within the brain, metals have a highly compartmentalized distribution, depending on the primary function they play within the central nervous system. Imaging the spatial distribution of metals has provided unique insight into the biochemical architecture of the brain, allowing direct correlation between neuroanatomical regions and their known function with regard to metal-dependent processes. In addition, several age-related neurological disorders feature disrupted metal homeostasis, which is often confined to small regions of the brain that are otherwise difficult to analyze. Here, we describe a comprehensive method for quantitatively imaging metals in the mouse brain, using laser ablation - inductively coupled plasma - mass spectrometry (LA-ICP-MS) and specially designed image processing software. Focusing on iron, copper and zinc, which are three of the most abundant and disease-relevant metals within the brain, we describe the essential steps in sample preparation, analysis, quantitative measurements and image processing to produce maps of metal distribution within the low micrometer resolution range. This technique, applicable to any cut tissue section, is capable of demonstrating the highly variable distribution of metals within an organ or system, and can be used to identify changes in metal homeostasis and absolute levels within fine anatomical structures. PMID:28190025

  5. Inductively coupled plasma mass spectrometry (ICP-MS) and laser ablation ICP-MS for isotope analysis of long-lived radionuclides

    NASA Astrophysics Data System (ADS)

    Becker, J. Sabine

    2005-04-01

    For a few years now inductively coupled plasma mass spectrometry has been increasingly used for precise and accurate determination of isotope ratios of long-lived radionuclides at the trace and ultratrace level due to its excellent sensitivity, good precision and accuracy. At present, ICP-MS and also laser ablation ICP-MS are applied as powerful analytical techniques in different fields such as the characterization of nuclear materials, recycled and by-products (e.g., spent nuclear fuel or depleted uranium ammunitions), radioactive waste control, in environmental monitoring and in bioassay measurements, in health control, in geochemistry and geochronology. Especially double-focusing sector field ICP mass spectrometers with single ion detector or with multiple ion collector device have been used for the precise determination of long-lived radionuclides isotope ratios at very low concentration levels. Progress has been achieved by the combination of ultrasensitive mass spectrometric techniques with effective separation and enrichment procedures in order to improve detection limits or by the introduction of the collision cell in ICP-MS for reducing disturbing interfering ions (e.g., of 129Xe+ for the determination of 129I). This review describes the state of the art and the progress of ICP-MS and laser ablation ICP-MS for isotope ratio measurements of long-lived radionuclides in different sample types, especially in the main application fields of characterization of nuclear and radioactive waste material, environmental research and health controls.

  6. Starter for inductively coupled plasma tube

    DOEpatents

    Hull, Donald E.; Bieniewski, Thomas M.

    1988-01-01

    A starter assembly is provided for use with an inductively coupled plasma (ICP) tube to reliably initate a plasma at internal pressures above about 30 microns. A conductive probe is inserted within the inductor coil about the tube and insulated from the tube shield assembly. A capacitive circuit is arranged for momentarily connecting a high voltage radio-frequency generator to the probe while simultaneously energizing the coil. When the plasma is initiated the probe is disconnected from the generator and electrically connected to the shield assembly for operation.

  7. Starter for inductively coupled plasma tube

    DOEpatents

    Hull, D.E.; Bieniewski, T.M.

    1988-08-23

    A starter assembly is provided for use with an inductively coupled plasma (ICP) tube to reliably initiate a plasma at internal pressures above about 30 microns. A conductive probe is inserted within the inductor coil about the tube and insulated from the tube shield assembly. A capacitive circuit is arranged for momentarily connecting a high voltage radio-frequency generator to the probe while simultaneously energizing the coil. When the plasma is initiated the probe is disconnected from the generator and electrically connected to the shield assembly for operation. 1 fig.

  8. Inductively Coupled Plasma Mass Spectrometry (ICP-MS) and its Application in Life Sciences

    NASA Astrophysics Data System (ADS)

    Xu, Gu-feng; Wang, Hong-mei

    2001-08-01

    Inductively-coupled plasma mass spectrometry (ICP-MS) has made much progress since its birth in the late 1990s. This paper will give a rather systematic overview on the use of this technique in new devices and technologies related to plasma source, sample-introducing device and detecting spectrometer etc. In this overview, an emphasis will be put on the evaluation of the ICP-MS technique in combination with a series of physical, chemical and biological techniques, such as laser ablation (LA), capillary electrophoresis (CE) and high performance liquid chromatograph (HPLC), along with their representative high accuracy and high sensitivity. Finally, comprehensive and fruitful applications of the ICP-MS and its combinative techniques in the detection of trace metallic elements and isotopes in complex biological and environmental samples will be revealed.

  9. Trace element analysis of synthetic mono- and poly-crystalline CaF 2 by ultraviolet laser ablation inductively coupled plasma mass spectrometry at 266 and 193 nm

    NASA Astrophysics Data System (ADS)

    Koch, J.; Feldmann, I.; Hattendorf, B.; Günther, D.; Engel, U.; Jakubowski, N.; Bolshov, M.; Niemax, K.; Hergenröder, R.

    2002-06-01

    The analytical figures of merit for ultraviolet laser ablation-inductively coupled plasma mass spectrometry (UV-LA-ICP-MS) at 266 nm with respect to the trace element analysis of high-purity, UV-transmitting alkaline earth halides are investigated and discussed. Ablation threshold energy density values and ablation rates for mono- and poly-crystalline CaF 2 samples were determined. Furthermore, Pb-, Rb-, Sr-, Ba- and Yb-specific analysis was performed. For these purposes, a pulsed Nd:YAG laser operated at the fourth harmonic of the fundamental wavelength (λ=266 nm) and a double-focusing sector field ICP-MS detector were employed. Depending on the background noise and isotope-specific sensitivity, the detection limits typically varied from 0.7 ng/g for Sr to 7 ng/g in the case of Pb. The concentrations were determined using a glass standard reference material (SRM NIST612). In order to demonstrate the sensitivity of the arrangement described, comparative measurements by means of a commercial ablation system consisting of an ArF excimer laser (λ=193 nm) and a quadrupole-type ICP-MS (ICP-QMS) instrument were carried out. The accuracy of both analyses was in good agreement, whereas ablation at 266 nm and detection using sector-field ICP-MS led to a sensitivity that was one order of magnitude above that obtained at 193 nm with ICP-QMS.

  10. Demonstration of femtosecond laser ablation inductively coupled plasma mass spectrometry for uranium isotopic measurements in U-10Mo nuclear fuel foils

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Havrilla, George Joseph; Gonzalez, Jhanis

    2015-06-10

    The use of femtosecond laser ablation inductively coupled plasma mass spectrometry was used to demonstrate the feasibility of measuring the isotopic ratio of uranium directly in U-10Mo fuel foils. The measurements were done on both the flat surface and cross sections of bare and Zr clad U-10Mo fuel foil samples. The results for the depleted uranium content measurements were less than 10% of the accepted U235/238 ratio of 0.0020. Sampling was demonstrated for line scans and elemental mapping over large areas. In addition to the U isotopic ratio measurement, the Zr thickness could be measured as well as trace elementalmore » composition if required. A number of interesting features were observed during the feasibility measurements which could provide the basis for further investigation using this methodology. The results demonstrate the feasibility of using fs-LA-ICP-MS for measuring the U isotopic ratio in U-10Mo fuel foils.« less

  11. Aerosol detection efficiency in inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Hubbard, Joshua A.; Zigmond, Joseph A.

    2016-05-01

    An electrostatic size classification technique was used to segregate particles of known composition prior to being injected into an inductively coupled plasma mass spectrometer (ICP-MS). Size-segregated particles were counted with a condensation nuclei counter as well as sampled with an ICP-MS. By injecting particles of known size, composition, and aerosol concentration into the ICP-MS, efficiencies of the order of magnitude aerosol detection were calculated, and the particle size dependencies for volatile and refractory species were quantified. Similar to laser ablation ICP-MS, aerosol detection efficiency was defined as the rate at which atoms were detected in the ICP-MS normalized by the rate at which atoms were injected in the form of particles. This method adds valuable insight into the development of technologies like laser ablation ICP-MS where aerosol particles (of relatively unknown size and gas concentration) are generated during ablation and then transported into the plasma of an ICP-MS. In this study, we characterized aerosol detection efficiencies of volatile species gold and silver along with refractory species aluminum oxide, cerium oxide, and yttrium oxide. Aerosols were generated with electrical mobility diameters ranging from 100 to 1000 nm. In general, it was observed that refractory species had lower aerosol detection efficiencies than volatile species, and there were strong dependencies on particle size and plasma torch residence time. Volatile species showed a distinct transition point at which aerosol detection efficiency began decreasing with increasing particle size. This critical diameter indicated the largest particle size for which complete particle detection should be expected and agreed with theories published in other works. Aerosol detection efficiencies also displayed power law dependencies on particle size. Aerosol detection efficiencies ranged from 10- 5 to 10- 11. Free molecular heat and mass transfer theory was applied, but

  12. Aerosol detection efficiency in inductively coupled plasma mass spectrometry

    DOE PAGES

    Hubbard, Joshua A.; Zigmond, Joseph A.

    2016-03-02

    We used an electrostatic size classification technique to segregate particles of known composition prior to being injected into an inductively coupled plasma mass spectrometer (ICP-MS). Moreover, we counted size-segregated particles with a condensation nuclei counter as well as sampled with an ICP-MS. By injecting particles of known size, composition, and aerosol concentration into the ICP-MS, efficiencies of the order of magnitude aerosol detection were calculated, and the particle size dependencies for volatile and refractory species were quantified. Similar to laser ablation ICP-MS, aerosol detection efficiency was defined as the rate at which atoms were detected in the ICP-MS normalized bymore » the rate at which atoms were injected in the form of particles. This method adds valuable insight into the development of technologies like laser ablation ICP-MS where aerosol particles (of relatively unknown size and gas concentration) are generated during ablation and then transported into the plasma of an ICP-MS. In this study, we characterized aerosol detection efficiencies of volatile species gold and silver along with refractory species aluminum oxide, cerium oxide, and yttrium oxide. Aerosols were generated with electrical mobility diameters ranging from 100 to 1000 nm. In general, it was observed that refractory species had lower aerosol detection efficiencies than volatile species, and there were strong dependencies on particle size and plasma torch residence time. Volatile species showed a distinct transition point at which aerosol detection efficiency began decreasing with increasing particle size. This critical diameter indicated the largest particle size for which complete particle detection should be expected and agreed with theories published in other works. Aerosol detection efficiencies also displayed power law dependencies on particle size. Aerosol detection efficiencies ranged from 10 -5 to 10 -11. Free molecular heat and mass transfer theory was

  13. Impact of Gas Heating in Inductively Coupled Plasmas

    NASA Technical Reports Server (NTRS)

    Hash, D. B.; Bose, D.; Rao, M. V. V. S.; Cruden, B. A.; Meyyappan, M.; Sharma, S. P.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Recently it has been recognized that the neutral gas in inductively coupled plasma reactors heats up significantly during processing. The resulting gas density variations across the reactor affect reaction rates, radical densities, plasma characteristics, and uniformity within the reactor. A self-consistent model that couples the plasma generation and transport to the gas flow and heating has been developed and used to study CF4 discharges. A Langmuir probe has been used to measure radial profiles of electron density and temperature. The model predictions agree well with the experimental results. As a result of these comparisons along with the poorer performance of the model without the gas-plasma coupling, the importance of gas heating in plasma processing has been verified.

  14. Ultra-Sensitive Elemental Analysis Using Plasmas 3.For Understanding an Inductively Coupled Plasma Mass Spectrometer

    NASA Astrophysics Data System (ADS)

    Sakata, Kenichi

    Aplasma-interface is considered the most mysterious part of an inductively coupled plasma mass spectrometer system in terms of understanding its operational mechanism. After a brief explanation of the basic structure of the inductively coupled plasma mass spectrometer and how it works, the plasma-interface is discussed in regard to its complex operation and approaches to investigating its behavior. In particular, the position and shape of the plasma boundary seem to be important to understand the instrument's sensitivity.

  15. Laser ablation inductively coupled plasma mass spectrometry imaging of metals in experimental and clinical Wilson's disease

    PubMed Central

    Boaru, Sorina Georgiana; Merle, Uta; Uerlings, Ricarda; Zimmermann, Astrid; Flechtenmacher, Christa; Willheim, Claudia; Eder, Elisabeth; Ferenci, Peter; Stremmel, Wolfgang; Weiskirchen, Ralf

    2015-01-01

    Wilson's disease is an autosomal recessive disorder in which the liver does not properly release copper into bile, resulting in prominent copper accumulation in various tissues. Affected patients suffer from hepatic disorders and severe neurological defects. Experimental studies in mutant mice in which the copper-transporting ATPase gene (Atp7b) is disrupted revealed a drastic, time-dependent accumulation of hepatic copper that is accompanied by formation of regenerative nodes resembling cirrhosis. Therefore, these mice represent an excellent exploratory model for Wilson's disease. However, the precise time course in hepatic copper accumulation and its impact on other trace metals within the liver is yet poorly understood. We have recently established novel laser ablation inductively coupled plasma mass spectrometry protocols allowing quantitative metal imaging in human and murine liver tissue with high sensitivity, spatial resolution, specificity and quantification ability. By use of these techniques, we here aimed to comparatively analyse hepatic metal content in wild-type and Atp7b deficient mice during ageing. We demonstrate that the age-dependent accumulation of hepatic copper is strictly associated with a simultaneous increase in iron and zinc, while the intrahepatic concentration and distribution of other metals or metalloids is not affected. The same findings were obtained in well-defined human liver samples that were obtained from patients suffering from Wilson's disease. We conclude that in Wilson's disease the imbalances of hepatic copper during ageing are closely correlated with alterations in intrahepatic iron and zinc content. PMID:25704483

  16. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, Donald E.; Bieniewski, Thomas M.

    1990-01-01

    A method for making fine power using an inductively coupled plasma. The method provides a gas-free environment, since the plasma is formed without using a gas. The starting material used in the method is in solid form.

  17. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, Donald E.; Bieniewski, Thomas M.

    1989-01-01

    A method for coating surfaces or implanting ions in an object using an inductively coupled plasma. The method provides a gas-free environment, since the plasma is formed without using a gas. The coating material or implantation material is intitially in solid form.

  18. Bioimaging of metals in brain tissue by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and metallomics.

    PubMed

    Becker, J Sabine; Matusch, Andreas; Palm, Christoph; Salber, Dagmar; Morton, Kathryn A; Becker, J Susanne

    2010-02-01

    Laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) has been developed and established as an emerging technique in the generation of quantitative images of metal distributions in thin tissue sections of brain samples (such as human, rat and mouse brain), with applications in research related to neurodegenerative disorders. A new analytical protocol is described which includes sample preparation by cryo-cutting of thin tissue sections and matrix-matched laboratory standards, mass spectrometric measurements, data acquisition, and quantitative analysis. Specific examples of the bioimaging of metal distributions in normal rodent brains are provided. Differences to the normal were assessed in a Parkinson's disease and a stroke brain model. Furthermore, changes during normal aging were studied. Powerful analytical techniques are also required for the determination and characterization of metal-containing proteins within a large pool of proteins, e.g., after denaturing or non-denaturing electrophoretic separation of proteins in one-dimensional and two-dimensional gels. LA-ICP-MS can be employed to detect metalloproteins in protein bands or spots separated after gel electrophoresis. MALDI-MS can then be used to identify specific metal-containing proteins in these bands or spots. The combination of these techniques is described in the second section.

  19. Ion sampling and transport in Inductively Coupled Plasma Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Farnsworth, Paul B.; Spencer, Ross L.

    2017-08-01

    Quantitative accuracy and high sensitivity in inductively coupled plasma mass spectrometry (ICP-MS) depend on consistent and efficient extraction and transport of analyte ions from an inductively coupled plasma to a mass analyzer, where they are sorted and detected. In this review we examine the fundamental physical processes that control ion sampling and transport in ICP-MS and compare the results of theory and computerized models with experimental efforts to characterize the flow of ions through plasma mass spectrometers' vacuum interfaces. We trace the flow of ions from their generation in the plasma, into the sampling cone, through the supersonic expansion in the first vacuum stage, through the skimmer, and into the ion optics that deliver the ions to the mass analyzer. At each stage we consider idealized behavior and departures from ideal behavior that affect the performance of ICP-MS as an analytical tool.

  20. Matrix and energy effects during in-situ determination of Cu isotope ratios by ultraviolet-femtosecond laser ablation multicollector inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Lazarov, Marina; Horn, Ingo

    2015-09-01

    Copper isotope compositions in Cu-bearing metals and minerals have been measured by deep (194 nm) ultraviolet femtosecond laser ablation multi-collector inductively coupled plasma mass spectrometry (UV-fsLA-MC-ICP-MS). Pure Cu-metal, brass, and several Cu-rich minerals (chalcopyrite, enargite, covellite, malachite and cuprite) have been investigated. A long-term reproducibility of better than 0.08‰ at the 95% confidence limit on the NIST SRM 976 (National Institute of Standards and Technology) Cu-metal standard has been achieved with this technique. The δ65Cu values for all samples have been calculated by standard-sample-standard bracketing with NIST SRM 976. All analyses have been carried out using Ni as a mass discrimination monitor added by nebulization prior to entering the plasma torch. For further verification samples have been analysed by conventional solution nebulization MC-ICP-MS and the results obtained have been compared with those from UV-fsLA-MC-ICP-MS. Several potential matrix-induced molecular interferences on the mineral copper isotope ratio, such as (32S33S)+ and (32S-16O17O)+ do not affect the Cu isotope measurements on sulfides, while hydrides, such as Zn-H or doubly-charged Sn2 + that interfere Ni isotopes can be either neglected or stripped by calculation. Matrix independent Cu-isotope measurements are sensitive to the energy density (fluence) applied onto the sample and can produce artificial shifts in the obtained δ65Cu values which are on the order of 3‰ for Cu-metal, 0.5‰ for brass and 0.3‰ for malachite when using energy density of up to 2 J/cm2 for ablation. A positive correlation between applied energy density and the magnitude of the isotope ratio shift has been found in the energy density range from 0.2 to 1.3 J/cm2 which is below the ablation threshold for ns-laser ablation. The results demonstrate that by using appropriate low fluence it is possible to measure Cu isotopic ratios in native copper and Cu-bearing sulfides

  1. Discrimination of side-window glass of Korean autos by laser ablation inductively coupled plasma mass spectrometry.

    PubMed

    Lee, Sin-Woo; Ryu, Jong-Sik; Min, Ji-Sook; Choi, Man-Yong; Lee, Kwang-Sik; Shin, Woo-Jin

    2016-07-15

    Fragments of glass from cars are often found at crime scenes and can be crucial evidence for solving the crime. The glass fragments are important as trace evidence at crime scenes related to car accidents and burgled homes. By identifying the origin of glass fragments, it is possible to infer the identity of a suspect. Our results represent a promising approach to a thorough forensic investigation of car glass. Thirty-five samples from the side windows of cars produced and used in South Korea were collected from the official agencies of five car manufacturers and from two glassmakers. In addition, 120 samples from side mirrors were collected from the same suppliers as well as from small businesses. Their chemical compositions (including Pb isotopes) were analyzed using laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and linear discriminant analysis (LDA) was performed. The percentages of major elements (Si, Ca, and Fe) in side-window glass varied within narrow ranges (30.0 ± 2.36%, 5.93 ± 0.52%, and 0.33 ± 0.05%, respectively), while the differences among Pb isotope ratios were not significant. In contrast, light rare earth elements (LREEs) were different from each glassmaker. From the LDA, the types of side-window glass were successfully discriminated according to car manufacturer, glassmaker, and even glass thickness. However, glass from side mirrors cannot be used for good forensic identifiers. Discrimination techniques for side-window glass, although not for side mirrors, using chemical compositions combined with multivariate statistical analyses provide evidence for forensic investigations. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  2. Comparison of three rf plasma impedance monitors on a high phase angle planar inductively coupled plasma source

    NASA Astrophysics Data System (ADS)

    Uchiyama, H.; Watanabe, M.; Shaw, D. M.; Bahia, J. E.; Collins, G. J.

    1999-10-01

    Accurate measurement of plasma source impedance is important for verification of plasma circuit models, as well as for plasma process characterization and endpoint detection. Most impedance measurement techniques depend in some manner on the cosine of the phase angle to determine the impedance of the plasma load. Inductively coupled plasmas are generally highly inductive, with the phase angle between the applied rf voltage and the rf current in the range of 88 to near 90 degrees. A small measurement error in this phase angle range results in a large error in the calculated cosine of the angle, introducing large impedance measurement variations. In this work, we have compared the measured impedance of a planar inductively coupled plasma using three commercial plasma impedance monitors (ENI V/I probe, Advanced Energy RFZ60 and Advanced Energy Z-Scan). The plasma impedance is independently verified using a specially designed match network and a calibrated load, representing the plasma, to provide a measurement standard.

  3. Inductively coupled plasma torch with laminar flow cooling

    DOEpatents

    Rayson, Gary D.; Shen, Yang

    1991-04-30

    An improved inductively coupled gas plasma torch. The torch includes inner and outer quartz sleeves and tubular insert snugly fitted between the sleeves. The insert includes outwardly opening longitudinal channels. Gas flowing through the channels of the insert emerges in a laminar flow along the inside surface of the outer sleeve, in the zone of plasma heating. The laminar flow cools the outer sleeve and enables the torch to operate at lower electrical power and gas consumption levels additionally, the laminar flow reduces noise levels in spectroscopic measurements of the gaseous plasma.

  4. Rare earth elements profile in a cultivated and non-cultivated soil determined by laser ablation-inductively coupled plasma mass spectrometry.

    PubMed

    Neves, Vinicius M; Heidrich, Graciela M; Hanzel, Flavia B; Muller, Edson I; Dressler, Valderi L

    2018-05-01

    Rare earth elements (REEs) have several applications but the effects on environment are not well known. Therefore, the aim of this work is to establish a method for direct solid sample analysis by laser ablation-inductively coupled plasma mass spectrometry (LA-ICP-MS) to evaluate the concentration and distribution of REEs in cultivated and non-cultivated soil. Samples were collected in two areas to 40 cm of depth. The LA-ICP-MS method is easy to be implemented and the sample treatment is very fast comprising only its drying, grounding and pressing as a pellet. The accuracy of the method was evaluated by using a certified reference material (BCR 667 - Estuarine Sediment, Institute for Reference Materials and Measurements (IRMM)) where good agreement with the certified values was obtained. Analyte recovery at two levels of concentration (2.5 and 15.0 μg g -1 ) was also performed and recoveries in the range of 85%-120% were achieved, values that are acceptable for LA-ICP-MS analysis. In general, the concentration of the REEs is higher in the cultivated soil and increased from the surface to deeper layers, which can be a consequence of fertilizer application. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. On-line double isotope dilution laser ablation inductively coupled plasma mass spectrometry for the quantitative analysis of solid materials.

    PubMed

    Fernández, Beatriz; Rodríguez-González, Pablo; García Alonso, J Ignacio; Malherbe, Julien; García-Fonseca, Sergio; Pereiro, Rosario; Sanz-Medel, Alfredo

    2014-12-03

    We report on the determination of trace elements in solid samples by the combination of on-line double isotope dilution and laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS). The proposed method requires the sequential analysis of the sample and a certified natural abundance standard by on-line IDMS using the same isotopically-enriched spike solution. In this way, the mass fraction of the analyte in the sample can be directly referred to the certified standard so the previous characterization of the spike solution is not required. To validate the procedure, Sr, Rb and Pb were determined in certified reference materials with different matrices, including silicate glasses (SRM 610, 612 and 614) and powdered samples (PACS-2, SRM 2710a, SRM 1944, SRM 2702 and SRM 2780). The analysis of powdered samples was carried out both by the preparation of pressed pellets and by lithium borate fusion. Experimental results for the analysis of powdered samples were in agreement with the certified values for all materials. Relative standard deviations in the range of 6-21% for pressed pellets and 3-21% for fused solids were obtained from n=3 independent measurements. Minimal sample preparation, data treatment and consumption of the isotopically-enriched isotopes are the main advantages of the method over previously reported approaches. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. Fundamental and methodological investigations for the improvement of elemental analysis by inductively coupled plasma mass soectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ebert, Christopher Hysjulien

    This dissertation describes a variety of studies meant to improve the analytical performance of inductively coupled plasma mass spectrometry (ICP-MS) and laser ablation (LA) ICP-MS. The emission behavior of individual droplets and LA generated particles in an ICP is studied using a high-speed, high frame rate digital camera. Phenomena are observed during the ablation of silicate glass that would cause elemental fractionation during analysis by ICP-MS. Preliminary work for ICP torch developments specifically tailored for the improvement of LA sample introduction are presented. An abnormal scarcity of metal-argon polyatomic ions (MAr{sup +}) is observed during ICP-MS analysis. Evidence shows thatmore » MAr{sup +} ions are dissociated by collisions with background gas in a shockwave near the tip of the skimmer cone. Method development towards the improvement of LA-ICP-MS for environmental monitoring is described. A method is developed to trap small particles in a collodion matrix and analyze each particle individually by LA-ICP-MS.« less

  7. Laser ablation-inductively coupled plasma mass spectrometry for the characterization of pigments in prehistoric rock art.

    PubMed

    Resano, Martin; García-Ruiz, Esperanza; Alloza, Ramiro; Marzo, Maria P; Vandenabeele, Peter; Vanhaecke, Frank

    2007-12-01

    In this work, several red-colored paintings of post-Paleolithic schematic style found in 10 different shelters in the vicinity of the Vero River (Huesca) were sampled and subjected to analysis by means of scanning electron microscopy-energy-dispersive X-ray spectrometry (SEM-EDX), Raman spectroscopy, and laser ablation-inductively coupled plasma mass spectrometry (LA-ICPMS). The goal of this research was to obtain meaningful information on the samples composition, in order to establish differences or similarities among them. The combined use of these techniques proved beneficial, as Raman data permitted structural information on the compounds present (hematite was identified as the main pigment, whereas calcite and gypsum are the main components of the substrate layer, as well as of the accretions that covered the pigments) to be obtained, while the quantitative values obtained by SEM were suitable for the use of Ca as internal reference during LA-ICPMS analysis. However, it was this latter technique that provided the most relevant data for fingerprinting purposes. The potential of this technique for obtaining spatially resolved information allowed the multielement quantitative analysis of the pigment layer, in spite of the presence of superficial accretions. The sensitivity of the technique permitted the determination of more than 40 elements present in a wide concentration range (from microgram per gram to 10% level) with minimum sample consumption (approximately 900 ng for each sample, corresponding to five replicates). Finally, in order to establish significant differences, only those elements showing a high correlation with Fe (As, Co, Mo, Sb, Tl, and Zr, in this case) were selected, as it is expected that these were truly present in the original pigment, while others could have migrated into the pigment layer throughout time. By using this information, it seems feasible to discriminate between various paint pots, as demonstrated for the samples under

  8. Diamond deposition using a planar radio frequency inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  9. Inductively coupled plasma mass spectrometry with a twin quadrupole instrument using laser ablation sample introduction and monodisperse dried microparticulate injection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allen, Lloyd A.

    1996-10-17

    The focus of this dissertation is the use of a twin quadrupole inductively coupled plasma mass spectrometer (ICP-MS) for the simultaneous detection of two m/z values. The twin quadrupole ICP-MS is used with laser ablation sample introduction in both the steady state (10 Hz) and single pulse modes. Steady state signals are highly correlated and the majority of flicker noise cancels when the ratio is calculated. Using a copper sample, the isotope ratio 63Cu +/ 65Cu + is measured with a relative standard deviation (RSD) of 0.26%. Transient signals for single laser pulses are also obtained. Copper isotope ratio measurementsmore » for several laser pulses are measured with an RSD of 0.85%. Laser ablation (LA) is used with steel samples to assess the ability of the twin quadrupole ICP-MS to eliminate flicker noise of minor components of steel samples. Isotopic and internal standard ratios are measured in the first part of this work. The isotope ratio 52Cr +/ 53Cr + (Cr present at 1.31 %) can be measured with an RSD of 0.06 % to 0.1 %. For internal standard elements, RSDs improve from 1.9 % in the Cr + signal to 0.12% for the ratio of 51V + to 52Cr +. In the second part of this work, one mass spectrometer is scanned while the second channel measures an individual m/z value. When the ratio of these two signals is calculated, the peak shapes in the mass spectrum are improved significantly. Pulses of analyte and matrix ions from individual drops are measured simultaneously using the twin quadrupole ICP-MS with monodisperse dried microparticulate injection (MDMI). At modest Pb concentrations (500 ppm), a shoulder on the leading edge of the Li + signal becomes apparent. Space charge effects are consistent with the disturbances seen.« less

  10. Validation of the determination of the B isotopic composition in Roman glasses with laser ablation multi-collector inductively coupled plasma-mass spectrometry

    NASA Astrophysics Data System (ADS)

    Devulder, Veerle; Gerdes, Axel; Vanhaecke, Frank; Degryse, Patrick

    2015-03-01

    The applicability of laser ablation multi-collector inductively coupled plasma-mass spectrometry (LA-MC-ICP-MS) for the determination of the B isotopic composition in Roman glasses was investigated. The δ11B values thus obtained provide information on the natron flux used during the glass-making process. The glass samples used for this purpose were previously characterized using pneumatic nebulization (PN) MC-ICP-MS. Unfortunately, this method is time-consuming and labor-intensive and consumes some 100 mg of sample, which is a rather high amount for ancient materials. Therefore, the use of the less invasive and faster LA-MC-ICP-MS approach was explored. In this work, the results for 29 Roman glasses and 4 home-made glasses obtained using both techniques were compared to assess the suitability of LA-MC-ICP-MS in this context. The results are in excellent agreement within experimental uncertainty. No difference in overall mass discrimination was observed between the Roman glasses, NIST SRM 610 reference glass and B6 obsidian. The expanded uncertainty of the LA-MC-ICP-MS approach was estimated to be < 2‰, which is similar to that obtained upon sample digestion and PN-MC-ICP-MS measurement.

  11. Low-pressure water-cooled inductively coupled plasma torch

    DOEpatents

    Seliskar, C.J.; Warner, D.K.

    1984-02-16

    An inductively coupled plasma torch is provided which comprises an inner tube, including a sample injection port to which the sample to be tested is supplied and comprising an enlarged central portion in which the plasma flame is confined; an outer tube surrounding the inner tube and containing water therein for cooling the inner tube, the outer tube including a water inlet port to which water is supplied and a water outlet port spaced from the water inlet port and from which water is removed after flowing through the outer tube; and an rf induction coil for inducing the plasma in the gas passing into the tube through the sample injection port. The sample injection port comprises a capillary tube including a reduced diameter orifice, projecting into the lower end of the inner tube. The water inlet is located at the lower end of the outer tube and the rf heating coil is disposed around the outer tube above and adjacent to the water inlet.

  12. Low-pressure water-cooled inductively coupled plasma torch

    DOEpatents

    Seliskar, Carl J.; Warner, David K.

    1988-12-27

    An inductively coupled plasma torch is provided which comprises an inner tube, including a sample injection port to which the sample to be tested is supplied and comprising an enlarged central portion in which the plasma flame is confined; an outer tube surrounding the inner tube and containing water therein for cooling the inner tube, the outer tube including a water inlet port to which water is supplied and a water outlet port spaced from the water inlet port and from which water is removed after flowing through the outer tube; and an r.f. induction coil for inducing the plasma in the gas passing into the tube through the sample injection port. The sample injection port comprises a capillary tube including a reduced diameter orifice, projecting into the lower end of the inner tube. The water inlet is located at the lower end of the outer tube and the r.f. heating coil is disposed around the outer tube above and adjacent to the water inlet.

  13. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, D.E.; Bieniewski, T.M.

    1987-04-13

    A method of processing materials. The invention enables ultrafine, ultrapure powders to be formed from solid ingots in a gas free environment. A plasma is formed directly from an ingot which insures purity. The vaporized material is expanded through a nozzle and the resultant powder settles on a cold surface. An inductively coupled plasma may also be used to process waste chemicals. Noxious chemicals are directed through a series of plasma tubes, breaking molecular bonds and resulting in relatively harmless atomic constituents. 3 figs.

  14. Parametric investigations of plasma characteristics in a remote inductively coupled plasma system

    NASA Astrophysics Data System (ADS)

    Shukla, Prasoon; Roy, Abhra; Jain, Kunal; Bhoj, Ananth

    2016-09-01

    Designing a remote plasma system involves source chamber sizing, selection of coils and/or electrodes to power the plasma, designing the downstream tubes, selection of materials used in the source and downstream regions, locations of inlets and outlets and finally optimizing the process parameter space of pressure, gas flow rates and power delivery. Simulations can aid in spatial and temporal plasma characterization in what are often inaccessible locations for experimental probes in the source chamber. In this paper, we report on simulations of a remote inductively coupled Argon plasma system using the modeling platform CFD-ACE +. The coupled multiphysics model description successfully address flow, chemistry, electromagnetics, heat transfer and plasma transport in the remote plasma system. The SimManager tool enables easy setup of parametric simulations to investigate the effect of varying the pressure, power, frequency, flow rates and downstream tube lengths. It can also enable the automatic solution of the varied parameters to optimize a user-defined objective function, which may be the integral ion and radical fluxes at the wafer. The fast run time coupled with the parametric and optimization capabilities can add significant insight and value in design and optimization.

  15. Use of laser ablation-inductively coupled plasma-time of flight-mass spectrometry to identify the elemental composition of vanilla and determine the geographic origin by discriminant function analysis.

    PubMed

    Hondrogiannis, Ellen M; Ehrlinger, Erin; Poplaski, Alyssa; Lisle, Meredith

    2013-11-27

    A total of 11 elements found in 25 vanilla samples from Uganda, Madagascar, Indonesia, and Papua New Guinea were measured by laser ablation-inductively coupled plasma-time-of-flight-mass spectrometry (LA-ICP-TOF-MS) for the purpose of collecting data that could be used to discriminate among the origins. Pellets were prepared of the samples, and elemental concentrations were obtained on the basis of external calibration curves created using five National Institute of Standards and Technology (NIST) standards and one Chinese standard with (13)C internal standardization. These curves were validated using NIST 1573a (tomato leaves) as a check standard. Discriminant analysis was used to successfully classify the vanilla samples by their origin. Our method illustrates the feasibility of using LA-ICP-TOF-MS with an external calibration curve for high-throughput screening of spice screening analysis.

  16. Analysis and comparison of glass fragments by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and ICP-MS.

    PubMed

    Trejos, Tatiana; Montero, Shirly; Almirall, José R

    2003-08-01

    The discrimination potential of Laser Ablation Inductively Coupled Plasma Mass Spectrometry (LA-ICP-MS) is compared with previously reported solution ICP-MS methods using external calibration (EC) with internal standardization and a newly reported solution isotope dilution (ID) method for the analysis of two different glass populations. A total of 91 different glass samples were used for the comparison study; refractive index and elemental composition were measured by the techniques mentioned above. One set consisted of 45 headlamps taken from a variety of automobiles that represents a range of 20 years of manufacturing dates. A second set consisted of 46 automotive glasses (side windows, rear windows, and windshields) representing casework glass from different vehicle manufacturers over several years. The element menu for the LA-ICP-MS and EC-ICP-MS methods include Mg, Al, Ca, Mn, Ce, Ti, Zr, Sb, Ga, Ba, Rb, Sm, Sr, Hf, La, and Pb. The ID method was limited to the analysis of two isotopes each of Mg, Sr, Zr, Sb, Ba, Sm, Hf, and Pb. Laser ablation analyses were performed with a Q switched Nd:YAG, 266 nm, 6 mJ output energy laser. The laser was used in depth profile mode while sampling using a 50 microm spot size for 50 sec at 10 Hz (500 shots). The typical bias for the analysis of NIST 612 by LA-ICP-MS was less than 5% in all cases and typically better than 5% for most isotopes. The precision for the vast majority of the element menu was determined generally less than 10% for all the methods when NIST 612 was measured (40 microg x g(-1)). Method detection limits (MDL) for the EC and LA-ICP-MS methods were similar and generally reported as less than 1 microg x g(-1) for the analysis of NIST 612. While the solution sample introduction methods using EC and ID presented excellent sensitivity and precision, these methods have the disadvantages of destroying the sample, and also involve complex sample preparation. The laser ablation method was simpler, faster, and

  17. Development of laser ablation multi-collector inductively coupled plasma mass spectrometry for boron isotopic measurement in marine biocarbonates: new improvements and application to a modern Porites coral.

    PubMed

    Thil, François; Blamart, Dominique; Assailly, Caroline; Lazareth, Claire E; Leblanc, Thierry; Butsher, John; Douville, Eric

    2016-02-15

    Laser Ablation coupled to Multi-Collector Inductively Coupled Plasma Mass Spectrometry (LA-MC-ICPMS) is a powerful tool for the high-precision measurement of the isotopic ratios of many elements in geological samples, with the isotope ratio ((11) B/(10) B) of boron being used as an indicator of the pH of oceanic waters. Most geological samples or standards are polished and ablation occurs on flat surfaces. However, the shape and the irregularities of marine biocarbonates (e.g., corals, foraminifera) can make precise isotopic measurements of boron difficult. Even after polishing, the porosity properties and the presence of holes or micro-fractures affect the signal and the isotopic ratio when ablating the material, especially in raster mode. The effect of porosity and of the crater itself on the (11) B signal and the isotopic ratio acquired by LA-MC-ICPMS in both raster and spot mode was studied. Characterization of the craters was then performed with an optical profilometer to determine their shapes and depths. Surface state effects were examined by analyzing the isotopic fractionation of boron in silicate (NIST-SRM 612 and 610 standards) and in carbonate (corals). Surface irregularities led to a considerable loss of signal when the crater depth exceeded 20 µm. The stability and precision were degraded when ablation occurred in a deep cavity. The effect of laser focusing and of blank correction was also highlighted and our observations indicate that the accuracy of the boron isotopic ratio does not depend on the shape of the surface. After validation of the analytical protocol for boron isotopes, a raster application on a Porites coral, which grew for 18 months in an aquarium after field sampling, was carried out. This original LA-MC-ICPMS study revealed a well-marked boron isotope ratio temporal variability, probably related to growth rate and density changes, irrespective of the pH of the surrounding seawater. Copyright © 2015 John Wiley & Sons, Ltd. Copyright

  18. Plasma characteristics of direct current enhanced cylindrical inductively coupled plasma source

    NASA Astrophysics Data System (ADS)

    Yue, HUA; Jian, SONG; Zeyu, HAO; Chunsheng, REN

    2018-06-01

    Experimental results of a direct current enhanced inductively coupled plasma (DCE-ICP) source which consists of a typical cylindrical ICP source and a plate-to-grid DC electrode are reported. With the use of this new source, the plasma characteristic parameters, namely, electron density, electron temperature and plasma uniformity, are measured by Langmuir floating double probe. It is found that DC discharge enhances the electron density and decreases the electron temperature, dramatically. Moreover, the plasma uniformity is obviously improved with the operation of DC and radio frequency (RF) hybrid discharge. Furthermore, the nonlinear enhancement effect of electron density with DC + RF hybrid discharge is confirmed. The presented observation indicates that the DCE-ICP source provides an effective method to obtain high-density uniform plasma, which is desirable for practical industrial applications.

  19. In situ location and U-Pb dating of small zircon grains in igneous rocks using laser ablation-inductively coupled plasma-quadrupole mass spectrometry

    NASA Astrophysics Data System (ADS)

    Sack, Patrick J.; Berry, Ron F.; Meffre, Sebastien; Falloon, Trevor J.; Gemmell, J. Bruce; Friedman, Richard M.

    2011-05-01

    A new U-Pb zircon dating protocol for small (10-50 μm) zircons has been developed using an automated searching method to locate zircon grains in a polished rock mount. The scanning electron microscope-energy-dispersive X ray spectrum-based automated searching method can routinely find in situ zircon grains larger than 5 μm across. A selection of these grains was ablated using a 10 μm laser spot and analyzed in an inductively coupled plasma-quadrupole mass spectrometer (ICP-QMS). The technique has lower precision (˜6% uncertainty at 95% confidence on individual spot analyses) than typical laser ablation ICP-MS (˜2%), secondary ion mass spectrometry (<1%), and isotope dilution-thermal ionization mass spectrometry (˜0.4%) methods. However, it is accurate and has been used successfully on fine-grained lithologies, including mafic rocks from island arcs, ocean basins, and ophiolites, which have traditionally been considered devoid of dateable zircons. This technique is particularly well suited for medium- to fine-grained mafic volcanic rocks where zircon separation is challenging and can also be used to date rocks where only small amounts of sample are available (clasts, xenoliths, dredge rocks). The most significant problem with dating small in situ zircon grains is Pb loss. In our study, many of the small zircons analyzed have high U contents, and the isotopic compositions of these grains are consistent with Pb loss resulting from internal α radiation damage. This problem is not significant in very young rocks and can be minimized in older rocks by avoiding high-U zircon grains.

  20. CAPILLARY ELECTROPHORESIS COUPLED ON-LINE WITH INDUCTIVELY COUPLED PLASMA MASS SPECTROMETRY FOR ELEMENTAL SPECIATION

    EPA Science Inventory

    A novel interface to connect a capillary electrophoresis (CE) system with an inductively coupled plasma mass spectrometric (ICPMS) detector is reported here. The interface was built using a direct injection nebulizer (DIN) system. In this interface, the CE capillary was placed co...

  1. Development of very small-diameter, inductively coupled magnetized plasma device

    NASA Astrophysics Data System (ADS)

    Kuwahara, D.; Mishio, A.; Nakagawa, T.; Shinohara, S.

    2013-10-01

    In order to miniaturize a high-density, inductively coupled magnetized plasma or helicon plasma to be applied to, e.g., an industrial application and an electric propulsion field, small helicon device has been developed. The specifications of this device along with the experimental results are described. We have succeeded in generating high-density (˜1019 m-3) plasmas using quartz tubes with very small diameters of 10 and 20 mm, with a radio frequency power ˜1200 and 700 W, respectively, in the presence of the magnetic field less than 1 kG.

  2. Development of very small-diameter, inductively coupled magnetized plasma device.

    PubMed

    Kuwahara, D; Mishio, A; Nakagawa, T; Shinohara, S

    2013-10-01

    In order to miniaturize a high-density, inductively coupled magnetized plasma or helicon plasma to be applied to, e.g., an industrial application and an electric propulsion field, small helicon device has been developed. The specifications of this device along with the experimental results are described. We have succeeded in generating high-density (~10(19) m(-3)) plasmas using quartz tubes with very small diameters of 10 and 20 mm, with a radio frequency power ~1200 and 700 W, respectively, in the presence of the magnetic field less than 1 kG.

  3. Langmuir Probe Measurements in an Inductively Coupled GEC Reference Cell Plasma

    NASA Technical Reports Server (NTRS)

    Ji, J. S.; Kim, J. S.; Cappelli, M. A.; Sharma, S. P.; Arnold, J. O. (Technical Monitor)

    1998-01-01

    Measurements of electron number density, electron temperature, and electron energy distribution function (EEDF) using a compensated Langmuir probe have been performed on an inductively (transformer ) coupled Gaseous Electronics Conference (GEC) reference cell plasma. The plasma source is operated with CH4, CF4, or their mixtures with argon. The effect of independently driving the electrode supporting the wafer on the probe data is studied. In particular, we find that the plasma structure depends on the phase in addition to the magnitude of the power coupled to the electrode relative to that of the transformer coil. The Langmuir probe is translated in a plane parallel to the electrode to investigate the spatial structure of the plasma. The probe data is also compared with fluid model predictions.

  4. A rapid and reliable method for Pb isotopic analysis of peat and lichens by laser ablation-quadrupole-inductively coupled plasma-mass spectrometry for biomonitoring and sample screening.

    PubMed

    Kylander, M E; Weiss, D J; Jeffries, T E; Kober, B; Dolgopolova, A; Garcia-Sanchez, R; Coles, B J

    2007-01-16

    An analytical protocol for rapid and reliable laser ablation-quadrupole (LA-Q)- and multi-collector (MC-) inductively coupled plasma-mass spectrometry (ICP-MS) analysis of Pb isotope ratios ((207)Pb/(206)Pb and (208)Pb/(206)Pb) in peats and lichens is developed. This technique is applicable to source tracing atmospheric Pb deposition in biomonitoring studies and sample screening. Reference materials and environmental samples were dry ashed and pressed into pellets for introduction by laser ablation. No binder was used to reduce contamination. LA-MC-ICP-MS internal and external precisions were <1.1% and <0.3%, respectively, on both (207)Pb/(206)Pb and (208)Pb/(206)Pb ratios. LA-Q-ICP-MS internal precisions on (207)Pb/(206)Pb and (208)Pb/(206)Pb ratios were lower with values for the different sample sets <14.3% while external precisions were <2.9%. The level of external precision acquired in this study is high enough to distinguish between most modern Pb sources. LA-MC-ICP-MS measurements differed from thermal ionisation mass spectrometry (TIMS) values by 1% or less while the accuracy obtained using LA-Q-ICP-MS compared to solution MC-ICP-MS was 3.1% or better using a run bracketing (RB) mass bias correction method. Sample heterogeneity and detector switching when measuring (208)Pb by Q-ICP-MS are identified as sources of reduced analytical performance.

  5. Visualizing trace element distribution in quartz using cathodoluminescence, electron microprobe, and laser ablation-inductively coupled plasma-mass spectrometry

    USGS Publications Warehouse

    Rusk, Brian; Koenig, Alan; Lowers, Heather

    2011-01-01

    Cathodoluminescent (CL) textures in quartz reveal successive histories of the physical and chemical fluctuations that accompany crystal growth. Such CL textures reflect trace element concentration variations that can be mapped by electron microprobe or laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS). Trace element maps in hydrothermal quartz from four different ore deposit types (Carlin-type Au, epithermal Ag, porphyry-Cu, and MVT Pb-Zn) reveal correlations among trace elements and between trace element concentrations and CL textures. The distributions of trace elements reflect variations in the physical and chemical conditions of quartz precipitation. These maps show that Al is the most abundant trace element in hydrothermal quartz. In crystals grown at temperatures below 300 °C, Al concentrations may vary by up to two orders of magnitude between adjacent growth zones, with no evidence for diffusion. The monovalent cations Li, Na, and K, where detectable, always correlate with Al, with Li being the most abundant of the three. In most samples, Al is more abundant than the combined total of the monovalent cations; however, in the MVT sample, molar Al/Li ratios are ~0.8. Antimony is present in concentrations up to ~120 ppm in epithermal quartz (~200–300 °C), but is not detectable in MVT, Carlin, or porphyry-Cu quartz. Concentrations of Sb do not correlate consistently with those of other trace elements or with CL textures. Titanium is only abundant enough to be mapped in quartz from porphyry-type ore deposits that precipitate at temperatures above ~400 °C. In such quartz, Ti concentration correlates positively with CL intensity, suggesting a causative relationship. In contrast, in quartz from other deposit types, there is no consistent correlation between concentrations of any trace element and CL intensity fluctuations.

  6. Thin film coating process using an inductively coupled plasma

    DOEpatents

    Kniseley, Richard N.; Schmidt, Frederick A.; Merkle, Brian D.

    1990-01-30

    Thin coatings of normally solid materials are applied to target substrates using an inductively coupled plasma. Particles of the coating material are vaporized by plasma heating, and pass through an orifice to a first vacuum zone in which the particles are accelerated to a velocity greater than Mach 1. The shock wave generated in the first vacuum zone is intercepted by the tip of a skimmer cone that provides a second orifice. The particles pass through the second orifice into a second zone maintained at a higher vacuum and impinge on the target to form the coating. Ultrapure coatings can be formed.

  7. Comparison of analytical performances of inductively coupled plasma mass spectrometry and inductively coupled plasma atomic emission spectrometry for trace analysis of bismuth and bismuth oxide

    NASA Astrophysics Data System (ADS)

    Medvedev, Nickolay S.; Shaverina, Anastasiya V.; Tsygankova, Alphiya R.; Saprykin, Anatoly I.

    2018-04-01

    The paper presents а comparison of analytical performances of inductively coupled plasma mass spectrometry (ICP-MS) and inductively coupled plasma atomic emission spectrometry (ICP-AES) for trace analysis of high purity bismuth and bismuth oxide. Matrix effects in the ICP-MS and ICP-AES methods were studied as a function of Bi concentration, ICP power and nebulizer flow rate. For ICP-MS the strong dependence of the matrix effects versus the atomic mass of analytes was observed. For ICP-AES the minimal matrix effects were achieved for spectral lines of analytes with low excitation potentials. The optimum degree of sample dilution providing minimum values of the limits of detection (LODs) was chosen. Both methods let us to reach LODs from n·10-7 to n·10-4 wt% for more than 50 trace elements. For most elements the LODs of ICP-MS were lower in comparison to ICP-AES. Validation of accuracy of the developed techniques was performed by "added-found" experiments and by comparison of the results of ICP-MS and ICP-AES analysis of high-purity bismuth oxide.

  8. Matrix effects in inductively coupled plasma mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xiaoshan

    1995-07-07

    The inductively coupled plasma is an electrodeless discharge in a gas (usually Ar) at atmospheric pressure. Radio frequency energy generated by a RF power source is inductively coupled to the plasma gas through a water cooled load coil. In ICP-MS the "Fassel" TAX quartz torch commonly used in emission is mounted horizontally. The sample aerosol is introduced into the central flow, where the gas kinetic temperature is about 5000 K. The aerosol is vaporized, atomized, excited and ionized in the plasma, and the ions are subsequently extracted through two metal apertures (sampler and skimmer) into the mass spectrometer. In ICP-MS,more » the matrix effects, or non-spectroscopic interferences, can be defined as the type of interferences caused by dissolved concomitant salt ions in the solution. Matrix effects can be divided into two categories: (1) signal drift due to the deposition of solids on the sampling apertures; and/or (2) signal suppression or enhancement by the presence of the dissolved salts. The first category is now reasonably understood. The dissolved salts, especially refractory oxides, tend to deposit on the cool tip of the sampling cone. The clogging of the orifices reduces the ion flow into the ICP-MS, lowers the pressure in the first stage of ICP-MS, and enhances the level of metal oxide ions. Because the extent of the clogging increases with the time, the signal drifts down. Even at the very early stage of the development of ICP-MS, matrix effects had been observed. Houk et al. found out that the ICP-MS was not tolerant to solutions containing significant amounts of dissolved solids.« less

  9. Pulsed microdischarge with inductively coupled plasma mass spectrometry for elemental analysis on solid metal samples.

    PubMed

    Li, Weifeng; Yin, Zhibin; Cheng, Xiaoling; Hang, Wei; Li, Jianfeng; Huang, Benli

    2015-05-05

    Pulsed microdischarge employed as source for direct solid analysis was investigated in N2 environment at atmospheric pressure. Compared with direct current (DC) microdischarge, it exhibits advantages with respect to the ablation and emission of the sample. Comprehensive evidence, including voltage-current relationship, current density (j), and electron density (ne), suggests that pulsed microdischarge is in the arc regime while DC microdischarge belongs to glow. Capability in ablating metal samples demonstrates that pulsed microdischarge is a viable option for direct solid sampling because of the enhanced instantaneous energy. Using optical spectrometer, only common emission lines of N2 can be acquired in DC mode, whereas primary atomic and ionic lines of the sample are obtained in the case of pulsed mode. Calculations show a significant difference in N2 vibrational temperatures between DC and pulsed microdischarge. Combined with inductively coupled plasma mass spectrometry (ICPMS), pulsed microdischarge exhibits much better performances in calibration linearity and limits of detection (LOD) than those of DC discharge in direct analysis of samples of different matrices. To improve transmission efficiency, a mixture of Ar and N2 was employed as discharge gas as well as carrier gas in follow-up experiments, facilitating that LODs of most elements reached ng/g.

  10. Application of laser ablation multicollector inductively coupled plasma mass spectrometry for the measurement of calcium and lead isotope ratios in packaging for discriminatory purposes.

    PubMed

    Santamaria-Fernandez, Rebeca; Wolff, Jean-Claude

    2010-07-30

    The potential of high-precision calcium and lead isotope ratio measurements using laser ablation coupled to multicollector inductively coupled plasma mass spectrometry (LA-MC-ICP-MS) to aid distinction between four genuine and five counterfeit pharmaceutical packaging samples and further classification of counterfeit packaging samples has been evaluated. We highlight the lack of reference materials for LA-MC-ICP-MS isotope ratio measurements in solids. In this case the problem is minimised by using National Institute of Standards and Technology Standard Reference Material (NIST SRM) 915a calcium carbonate (as solid pellets) and NIST SRM610 glass disc for sample bracketing external standardisation. In addition, a new reference material, NIST SRM915b calcium carbonate, has been characterised in-house for Ca isotope ratios and is used as a reference sample. Significant differences have been found between genuine and counterfeit samples; the method allows detection of counterfeits and aids further classification of packaging samples. Typical expanded uncertainties for measured-corrected Ca isotope ratio values ((43)Ca/(44)Ca and (42)Ca/(44)Ca) were found to be below 0.06% (k = 2, 95% confidence) and below 0.2% for measured-corrected Pb isotope ratios ((207)Pb/(206)Pb and (208)Pb/(206)Pb). This is the first time that Ca isotope ratios have been measured in packaging materials using LA coupled to a multicollector (MC)-ICP-MS instrument. The use of LA-MC-ICP-MS for direct measurement of Ca and Pb isotopic variations in cardboard/ink in packaging has definitive potential to aid counterfeit detection and classification. Copyright 2010 John Wiley & Sons, Ltd.

  11. 40 CFR Appendix C to Part 136 - Inductively Coupled Plasma-Atomic Emission Spectrometric Method for Trace Element Analysis of...

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 22 2010-07-01 2010-07-01 false Inductively Coupled Plasma-Atomic... to Part 136—Inductively Coupled Plasma—Atomic Emission Spectrometric Method for Trace Element... technique. Samples are nebulized and the aerosol that is produced is transported to the plasma torch where...

  12. 40 CFR Appendix C to Part 136 - Inductively Coupled Plasma-Atomic Emission Spectrometric Method for Trace Element Analysis of...

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 23 2011-07-01 2011-07-01 false Inductively Coupled Plasma-Atomic... to Part 136—Inductively Coupled Plasma—Atomic Emission Spectrometric Method for Trace Element... technique. Samples are nebulized and the aerosol that is produced is transported to the plasma torch where...

  13. Mechanism for Plasma Etching of Shallow Trench Isolation Features in an Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Agarwal, Ankur; Rauf, Shahid; He, Jim; Choi, Jinhan; Collins, Ken

    2011-10-01

    Plasma etching for microelectronics fabrication is facing extreme challenges as processes are developed for advanced technological nodes. As device sizes shrink, control of shallow trench isolation (STI) features become more important in both logic and memory devices. Halogen-based inductively coupled plasmas in a pressure range of 20-60 mTorr are typically used to etch STI features. The need for improved performance and shorter development cycles are placing greater emphasis on understanding the underlying mechanisms to meet process specifications. In this work, a surface mechanism for STI etch process will be discussed that couples a fundamental plasma model to experimental etch process measurements. This model utilizes ion/neutral fluxes and energy distributions calculated using the Hybrid Plasma Equipment Model. Experiments are for blanket Si wafers in a Cl2/HBr/O2/N2 plasma over a range of pressures, bias powers, and flow rates of feedstock gases. We found that kinetic treatment of electron transport was critical to achieve good agreement with experiments. The calibrated plasma model is then coupled to a string-based feature scale model to quantify the effect of varying process parameters on the etch profile. We found that the operating parameters strongly influence critical dimensions but have only a subtle impact on the etch depths.

  14. Synthesis of Silicon Nanoparticles in Inductively Coupled Plasmas

    NASA Astrophysics Data System (ADS)

    Markosyan, Aram H.; Le Picard, Romain; Girshick, Steven L.; Kushner, Mark J.

    2016-09-01

    The synthesis of silicon nanoparticles (Si-NPs) is being investigated for their use in photo-emitting electronics, photovoltaics, and biotechnology. The ability to control the size and mono-disperse nature of Si-NPs is important to optimizing these applications. In this paper we discuss results from a computational investigation of Si-NP formation and growth in an inductively coupled plasma (ICP) reactor with the goal of achieving this control. We use a two dimensional numerical model where the algorithms for the kinetics of NP formation are self-consistently coupled with a plasma hydrodynamics simulation. The reactor modeled here resembles a GEC reference cell through which, for the base case, a mixture of Ar/SiH4 = 70/30 flows at 150 sccm at a pressure of 100 mTorr. In continuous wave mode, three coils located on top of the reactor deliver 150 W. The electric plasma potential confines negatively charged particles at the center of the discharge, increasing the residence time of negative NPs, which enables the NPs to potentially grow to large and controllable sizes of many to 100s nm. We discuss methods of controlling NP growth rates by varying the mole fraction and flow rate of SiH4, and using a pulsed plasma by varying the pulse period and duty cycle. Work supported by DOE Office of Fusion Energy Science and National Science Foundation.

  15. Analytical procedure for characterization of medieval wall-paintings by X-ray fluorescence spectrometry, laser ablation inductively coupled plasma mass spectrometry and Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Syta, Olga; Rozum, Karol; Choińska, Marta; Zielińska, Dobrochna; Żukowska, Grażyna Zofia; Kijowska, Agnieszka; Wagner, Barbara

    2014-11-01

    Analytical procedure for the comprehensive chemical characterization of samples from medieval Nubian wall-paintings by means of portable X-ray fluorescence (pXRF), laser ablation inductively coupled plasma mass spectrometry (LA-ICPMS) and Raman spectroscopy (RS) was proposed in this work. The procedure was used for elemental and molecular investigations of samples from archeological excavations in Nubia (modern southern Egypt and northern Sudan). Numerous remains of churches with painted decorations dated back to the 7th-14th century were excavated in the region of medieval kingdoms of Nubia but many aspects of this art and its technology are still unknown. Samples from the selected archeological sites (Faras, Old Dongola and Banganarti) were analyzed in the form of transfers (n = 26), small fragments collected during the excavations (n = 35) and cross sections (n = 15). XRF was used to collect data about elemental composition, LA-ICPMS allowed mapping of selected elements, while RS was used to get the molecular information about the samples. The preliminary results indicated the usefulness of the proposed analytical procedure for distinguishing the substances, from both the surface and sub-surface domains of the wall-paintings. The possibility to identify raw materials from the wall-paintings will be used in the further systematic, archeometric studies devoted to the detailed comparison of various historic Nubian centers.

  16. Direct trace-elemental analysis of urine samples by laser ablation-inductively coupled plasma mass spectrometry after sample deposition on clinical filter papers.

    PubMed

    Aramendía, Maite; Rello, Luis; Vanhaecke, Frank; Resano, Martín

    2012-10-16

    Collection of biological fluids on clinical filter papers shows important advantages from a logistic point of view, although analysis of these specimens is far from straightforward. Concerning urine analysis, and particularly when direct trace elemental analysis by laser ablation-inductively coupled plasma mass spectrometry (LA-ICPMS) is aimed at, several problems arise, such as lack of sensitivity or different distribution of the analytes on the filter paper, rendering obtaining reliable quantitative results quite difficult. In this paper, a novel approach for urine collection is proposed, which circumvents many of these problems. This methodology consists on the use of precut filter paper discs where large amounts of sample can be retained upon a single deposition. This provides higher amounts of the target analytes and, thus, sufficient sensitivity, and allows addition of an adequate internal standard at the clinical lab prior to analysis, therefore making it suitable for a strategy based on unsupervised sample collection and ulterior analysis at referral centers. On the basis of this sampling methodology, an analytical method was developed for the direct determination of several elements in urine (Be, Bi, Cd, Co, Cu, Ni, Sb, Sn, Tl, Pb, and V) at the low μg L(-1) level by means of LA-ICPMS. The method developed provides good results in terms of accuracy and LODs (≤1 μg L(-1) for most of the analytes tested), with a precision in the range of 15%, fit-for-purpose for clinical control analysis.

  17. Measurement of titanium in hip-replacement patients by inductively coupled plasma optical emission spectroscopy.

    PubMed

    Harrington, Chris F; McKibbin, Craig; Rahanu, Monika; Langton, David; Taylor, Andrew

    2017-05-01

    Background Patients with metal-on-metal hip replacements require testing for cobalt and chromium. There may also be a need to test for titanium, which is used in the construction of the femoral stem in total hip replacements. It is not possible to use quadrupole inductively coupled plasma mass spectrometry due to interferences. Methods Titanium was measured using inductively coupled plasma optical emission spectroscopy using the emission line at 336.1 nm and Y (internal standard) at 371.0 nm. Internal quality control materials were prepared for blood and serum and concentrations assigned using a sector field-inductively coupled plasma mass spectrometer. A candidate whole blood certified reference material was also evaluated. Results The method had detection and quantitation limits of 0.6 and 1.9 µg/L, respectively. The respective bias (%) and measurement uncertainty ( U) (k = 2) were 3.3% and 2.0 µg/L (serum) and - 1.0% and 1.4 µg/L (whole blood). The respective repeatability and intermediate precision (%) were 5.1% and 10.9% (serum) and 2.4% and 8.6% (whole blood). The concentration of titanium was determined in patients' samples, serum (median = 2.4 µg/L, n = 897) and whole blood (median = 2.4 µg/L, n = 189). Serum is recommended for monitoring titanium in patients, since the concentration is higher than in whole blood and the matrix less problematic. In hip fluid samples, the concentrations were much higher (mean 58.5 µg/L, median 5.1 µg/L, n = 83). Conclusions A method based on inductively coupled plasma optical emission spectroscopy was developed and validated for measuring titanium in clinical samples.

  18. Modeling of capacitively and inductively coupled plasma for molecular decontamination

    NASA Astrophysics Data System (ADS)

    Mihailova, Diana; Hagelaar, Gerjan; Belenguer, Philippe; Laurent, Christopher; Lo, Juslan; Caillier, Bruno; Therese, Laurent; Guillot, Philippe

    2013-09-01

    This project aims to study and to develop new technology bricks for next generation of molecular decontamination systems, including plasma solution, for various applications. The contamination control in the processing stages is a major issue for the industrial performance as well as for the development of new technologies in the surface treatment area. The main task is to create uniform low temperature plasma inside a reactor containing the object to be treated. Different plasma sources are modeled with the aim of finding the most efficient one for surface decontamination: inductively coupled plasma, capacitively coupled plasma and combination of both. The model used for testing the various plasma sources is a time dependent two-dimensional multi-fluid model. The model is applied to a simplified cylindrically symmetric geometry in pure argon gas. The modeling results are validated by comparison with experimental results and observations based on optical and physical diagnostic tools. The influence of various parameters (power, pressure, flow) is studied and the corresponding results are presented, compared and discussed. This work has been performed in the frame of the collaborative program PAUD (Plasma Airborne molecular contamination Ultra Desorption) funded by the French agency OSEO and certified by French global competitive clusters Minalogic and Trimatec.

  19. Inductively-Coupled RF Powered O2 Plasma as a Sterilization Source

    NASA Technical Reports Server (NTRS)

    Sharma, S. P.; Rao, M. V. V. S.; Cruden, B. A.; Meyyappan, M.; Mogul, R.; Khare, B.; Chan, S. L.; Arnold, James O. (Technical Monitor)

    2001-01-01

    Low-temperature or cold plasmas have been shown to be effective for the sterilization of sensitive medical devices and electronic equipment. Low-temperature plasma sterilization procedures possess certain advantages over other protocols such as ethylene oxide, gamma radiation, and heat due to the use of inexpensive reagents, the insignificant environmental impacts and the low energy requirements. In addition, plasmas may also be more efficacious in the removal of robust microorganisms due to their higher chemical reactivity. Together, these attributes render cold plasma sterilization as ideal for the surface decontamination requirements for NASA Planetary Protection. Hence, the work described in this study involves the construction, characterization, and application of an inductively-coupled, RF powered oxygen (O2) plasma.

  20. Comparative measurements of mineral elements in milk powders with laser-induced breakdown spectroscopy and inductively coupled plasma atomic emission spectroscopy.

    PubMed

    Lei, W Q; El Haddad, J; Motto-Ros, V; Gilon-Delepine, N; Stankova, A; Ma, Q L; Bai, X S; Zheng, L J; Zeng, H P; Yu, J

    2011-07-01

    Mineral elements contained in commercially available milk powders, including seven infant formulae and one adult milk, were analyzed with inductively coupled plasma atomic emission spectrometry (ICP-AES) and laser-induced breakdown spectroscopy (LIBS). The purpose of this work was, through a direct comparison of the analytical results, to provide an assessment of the performance of LIBS, and especially of the procedure of calibration-free LIBS (CF-LIBS), to deal with organic compounds such as milk powders. In our experiments, the matrix effect was clearly observed affecting the analytical results each time laser ablation was employed for sampling. Such effect was in addition directly observed by determining the physical parameters of the plasmas induced on the different samples. The CF-LIBS procedure was implemented to deduce the concentrations of Mg and K with Ca as the internal reference element. Quantitative analytical results with CF-LIBS were validated with ICP-AES measurements and nominal concentrations specified for commercial milks. The obtained good results with the CF-LIBS procedure demonstrate its capacity to take into account the difference in physical parameters of the plasma in the calculation of the concentrations of mineral elements, which allows a significant reduction of the matrix effect related to laser ablation. We finally discuss the way to optimize the implementation of the CF-LIBS procedure for the analysis of mineral elements in organic materials.

  1. Inductively Coupled Plasma Optical Emission Spectrometry for Rare Earth Elements Analysis

    NASA Astrophysics Data System (ADS)

    He, Man; Hu, Bin; Chen, Beibei; Jiang, Zucheng

    2017-01-01

    Inductively coupled plasma optical emission spectrometry (ICP-OES) merits multielements capability, high sensitivity, good reproducibility, low matrix effect and wide dynamic linear range for rare earth elements (REEs) analysis. But the spectral interference in trace REEs analysis by ICP-OES is a serious problem due to the complicated emission spectra of REEs, which demands some correction technology including interference factor method, derivative spectrum, Kalman filtering algorithm and partial least-squares (PLS) method. Matrix-matching calibration, internal standard, correction factor and sample dilution are usually employed to overcome or decrease the matrix effect. Coupled with various sample introduction techniques, the analytical performance of ICP-OES for REEs analysis would be improved. Compared with conventional pneumatic nebulization (PN), acid effect and matrix effect are decreased to some extent in flow injection ICP-OES, with higher tolerable matrix concentration and better reproducibility. By using electrothermal vaporization as sample introduction system, direct analysis of solid samples by ICP-OES is achieved and the vaporization behavior of refractory REEs with high boiling point, which can easily form involatile carbides in the graphite tube, could be improved by using chemical modifier, such as polytetrafluoroethylene and 1-phenyl-3-methyl-4-benzoyl-5-pyrazone. Laser ablation-ICP-OES is suitable for the analysis of both conductive and nonconductive solid samples, with the absolute detection limit of ng-pg level and extremely low sample consumption (0.2 % of that in conventional PN introduction). ICP-OES has been extensively employed for trace REEs analysis in high-purity materials, and environmental and biological samples.

  2. Inductively coupled plasma mass spectrometry for stable isotope metabolic tracer studies of living systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luong, Elise

    1999-05-10

    This dissertation focuses on the development of methods for stable isotope metabolic tracer studies in living systems using inductively coupled plasma single and dual quadrupole mass spectrometers. Sub-nanogram per gram levels of molybdenum (Mo) from human blood plasma are isolated by the use of anion exchange alumina microcolumns. Million-fold more concentrated spectral and matrix interferences such as sodium, chloride, sulfate, phosphate, etc. in the blood constituents are removed from the analyte. The recovery of Mo from the alumina column is 82 ± 5% (n = 5). Isotope dilution inductively coupled plasma mass spectrometry (ID-ICP-MS) is utilized for the quantitative ultra-tracemore » concentration determination of Mo in bovine and human blood samples. The average Mo concentration in reference bovine serum determined by this method is 10.2 ± 0.4 ng/g, while the certified value is 11.5 ± 1.1 ng/g (95% confidence interval). The Mo concentration of one pool of human blood plasma from two healthy male donors is 0.5 ± 0.1 ng/g. The inductively coupled plasma twin quadrupole mass spectrometer (ICP-TQMS) is used to measure the carbon isotope ratio from non-volatile organic compounds and bio-organic molecules to assess the ability as an alternative analytical method to gas chromatography combustion isotope ratio mass spectrometry (GC-combustion-IRMS). Trytophan, myoglobin, and β-cyclodextrin are chosen for the study, initial observation of spectral interference of 13C + with 12C 1H + comes from the incomplete dissociation of myoglobin and/or β-cyclodextrin.« less

  3. Development of routines for simultaneous in situ chemical composition and stable Si isotope ratio analysis by femtosecond laser ablation inductively coupled plasma mass spectrometry.

    PubMed

    Frick, Daniel A; Schuessler, Jan A; von Blanckenburg, Friedhelm

    2016-09-28

    Stable metal (e.g. Li, Mg, Ca, Fe, Cu, Zn, and Mo) and metalloid (B, Si, Ge) isotope ratio systems have emerged as geochemical tracers to fingerprint distinct physicochemical reactions. These systems are relevant to many Earth Science questions. The benefit of in situ microscale analysis using laser ablation (LA) over bulk sample analysis is to use the spatial context of different phases in the solid sample to disclose the processes that govern their chemical and isotopic compositions. However, there is a lack of in situ analytical routines to obtain a samples' stable isotope ratio together with its chemical composition. Here, we evaluate two novel analytical routines for the simultaneous determination of the chemical and Si stable isotope composition (δ(30)Si) on the micrometre scale in geological samples. In both routines, multicollector inductively coupled plasma mass spectrometry (MC-ICP-MS) is combined with femtosecond-LA, where stable isotope ratios are corrected for mass bias using standard-sample-bracketing with matrix-independent calibration. The first method is based on laser ablation split stream (LASS), where the laser aerosol is split and introduced simultaneously into both the MC-ICP-MS and a quadrupole ICP-MS. The second method is based on optical emission spectroscopy using direct observation of the MC-ICP-MS plasma (LA-MC-ICP-MS|OES). Both methods are evaluated using international geological reference materials. Accurate and precise Si isotope ratios were obtained with an uncertainty typically better than 0.23‰, 2SD, δ(30)Si. With both methods major element concentrations (e.g., Na, Al, Si, Mg, Ca) can be simultaneously determined. However, LASS-ICP-MS is superior over LA-MC-ICP-MS|OES, which is limited by its lower sensitivity. Moreover, LASS-ICP-MS offers trace element analysis down to the μg g(-1)-range for more than 28 elements due to lower limits of detection, and with typical uncertainties better than 15%. For in situ simultaneous

  4. Quantitative bioanalysis of strontium in human serum by inductively coupled plasma-mass spectrometry

    PubMed Central

    Somarouthu, Srikanth; Ohh, Jayoung; Shaked, Jonathan; Cunico, Robert L; Yakatan, Gerald; Corritori, Suzana; Tami, Joe; Foehr, Erik D

    2015-01-01

    Aim: A bioanalytical method using inductively-coupled plasma-mass spectrometry to measure endogenous levels of strontium in human serum was developed and validated. Results & methodology: This article details the experimental procedures used for the method development and validation thus demonstrating the application of the inductively-coupled plasma-mass spectrometry method for quantification of strontium in human serum samples. The assay was validated for specificity, linearity, accuracy, precision, recovery and stability. Significant endogenous levels of strontium are present in human serum samples ranging from 19 to 96 ng/ml with a mean of 34.6 ± 15.2 ng/ml (SD). Discussion & conclusion: Calibration procedures and sample pretreatment were simplified for high throughput analysis. The validation demonstrates that the method was sensitive, selective for quantification of strontium (88Sr) and is suitable for routine clinical testing of strontium in human serum samples. PMID:28031925

  5. Laser ablation inductively coupled plasma dynamic reaction cell mass spectrometry for the multi-element analysis of polymers

    NASA Astrophysics Data System (ADS)

    Resano, M.; García-Ruiz, E.; Vanhaecke, F.

    2005-11-01

    In this work, the potential of laser ablation-inductively coupled plasma-mass spectrometry for the fast analysis of polymers has been explored. Different real-life samples (polyethylene shopping bags, an acrylonitrile butadiene styrene material and various plastic bricks) as well as several reference materials (VDA 001 to 004, Cd in polyethylene) have been selected for the study. Two polyethylene reference materials (ERM-EC 680 and 681), for which a reference or indicative value for the most relevant metals is available, have proved their suitability as standards for calibration. Special attention has been paid to the difficulties expected for the determination of Cr at the μg g - 1 level in this kind of materials, due to the interference of ArC + ions on the most abundant isotopes of Cr. The use of ammonia as a reaction gas in a dynamic reaction cell is shown to alleviate this problem, resulting in a limit of detection of 0.15 μg g - 1 for this element, while limiting only modestly the possibilities of the technique for simultaneous multi-element analysis. In this regard, As is the analyte most seriously affected by the use of ammonia, and its determination has to be carried out in vented mode, at the expense of measuring time. In all cases studied, accurate results could be obtained for elements ranging in content from the sub-μg g - 1 level to tens of thousands of μg g - 1 . However, the use of an element of known concentration as internal standard may be needed for materials with a matrix significantly different from that of the standard (polyethylene in this work). Precision ranged between 5% and 10% RSD for elements found at the 10 μg g - 1 level or higher, while this value could deteriorate to 20% for analytes found at the sub-μg g - 1 level. Overall, the technique evaluated presents many advantages for the fast and accurate multi-element analysis of these materials, avoiding laborious digestion procedures and minimizing the risk of analyte losses due

  6. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    NASA Astrophysics Data System (ADS)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  7. Determination of Os by isotope dilution-inductively coupled plasma-mass spectrometry with the combination of laser ablation to introduce chemically separated geological samples

    NASA Astrophysics Data System (ADS)

    Sun, Yali; Ren, Minghao; Xia, Xiaoping; Li, Congying; Sun, Weidong

    2015-11-01

    A method was developed for the determination of trace Os in geological samples by laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) with the combination of chemical separation and preconcentration. Samples are digested using aqua regia in Carius tubes, and the Os analyte is converted into volatile OsO4, which is distilled and absorbed with HBr. The HBr solution is concentrated for further Os purification using the microdistillation technique. The purified Os is dissolved in 10 μl of 0.02% sucrose-0.005% H3PO4 solution and then evaporated on pieces of perfluoroalkoxy (PFA) film, resulting in the formation of a tiny object (< 3 × 104 μm2 superficial area). Using LA-ICP-MS measurements, the object can give Os signals at least 100 times higher than those provided by routine solution-ICP-MS while successfully avoiding the memory effect. The procedural blank and detection limit in the developed technique are 3.0 pg and 1.8 pg for Os, respectively when 1 g of samples is taken. Reference materials (RM) are analyzed, and their Os concentrations obtained by isotope dilution are comparable to reference or literature values. Based on the individual RM results, the precision is estimated within the range of 0.6 to 9.4% relative standard deviation (RSD), revealing that this method is applicable to the determination of trace Os in geological samples.

  8. Novel Bioimaging Techniques of Metals by Laser Ablation Inductively Coupled Plasma Mass Spectrometry for Diagnosis Of Fibrotic and Cirrhotic Liver Disorders

    PubMed Central

    Gassler, Nikolaus; Bosserhoff, Anja K.; Becker, J. Sabine

    2013-01-01

    Background and Aims Hereditary disorders associated with metal overload or unwanted toxic accumulation of heavy metals can lead to morbidity and mortality. Patients with hereditary hemochromatosis or Wilson disease for example may develop severe hepatic pathology including fibrosis, cirrhosis or hepatocellular carcinoma. While relevant disease genes are identified and genetic testing is applicable, liver biopsy in combination with metal detecting techniques such as energy-dispersive X-ray spectroscopy (EDX) is still applied for accurate diagnosis of metals. Vice versa, several metals are needed in trace amounts for carrying out vital functions and their deficiency due to rapid growth, pregnancy, excessive blood loss, and insufficient nutritional or digestive uptake results in organic and systemic shortcomings. Established in situ techniques, such as EDX-ray spectroscopy, are not sensitive enough to analyze trace metal distribution and the quantification of metal images is difficult. Methods In this study, we developed a quantitative biometal imaging technique of human liver tissue by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) in order to compare the distribution of selected metals in cryo-sections of healthy and fibrotic/cirrhotic livers. Results Most of the metals are homogeneous distributed within the normal tissue, while they are redirected within fibrotic livers resulting in significant metal deposits. Moreover, total iron and copper concentrations in diseased liver were found about 3-5 times higher than in normal liver samples. Conclusions Biometal imaging via LA-ICP-MS is a sensitive innovative diagnostic tool that will impact clinical practice in identification and evaluation of hepatic metal disorders and to detect subtle metal variations during ongoing hepatic fibrogenesis. PMID:23505552

  9. Diagnostic studies of ion beam formation in inductively coupled plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jacobs, Jenee L.

    2015-01-01

    This dissertation describes a variety of studies focused on the plasma and the ion beam in inductively coupled plasma mass spectrometry (ICP-MS). The ability to use ICP-MS for measurements of trace elements in samples requires the analytes to be efficiently ionized. Updated ionization efficiency tables are discussed for ionization temperatures of 6500 K and 7000 K with an electron density of 1 x 10 15 cm -3. These values are reflective of the current operating parameters of ICP-MS instruments. Calculations are also discussed for doubly charged (M 2+) ion formation, neutral metal oxide (MO) ionization, and metal oxide (MO +)more » ion dissociation for similar plasma temperature values. Ionization efficiency results for neutral MO molecules in the ICP have not been reported previously.« less

  10. Sterilization of beehive material with a double inductively coupled low pressure plasma

    NASA Astrophysics Data System (ADS)

    Priehn, M.; Denis, B.; Aumeier, P.; Kirchner, W. H.; Awakowicz, P.; Leichert, L. I.

    2016-09-01

    American Foulbrood is a severe, notifiable disease of the honey bee. It is caused by infection of bee larvae with spores of the gram-positive bacterium Paenibacillus larvae. Spores of this organism are found in high numbers in an infected hive and are highly resistant to physical and chemical inactivation methods. The procedures to rehabilitate affected apiaries often result in the destruction of beehive material. In this study we assess the suitability of a double inductively coupled low pressure plasma as a non-destructive, yet effective alternative inactivation method for bacterial spores of the model organism Bacillus subtilis on beehive material. Plasma treatment was able to effectively remove spores from wax, which, under protocols currently established in veterinary practice, normally is destroyed by ignition or autoclaved for sterilization. Spores were removed from wooden surfaces with efficacies significantly higher than methods currently used in veterinary practice, such as scorching by flame treatment. In addition, we were able to non-destructively remove spores from the highly delicate honeycomb wax structures, potentially making treatment of beehive material with double inductively coupled low pressure plasma part of a fast and reliable method to rehabilitate infected bee colonies with the potential to re-use honeycombs.

  11. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    PubMed

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  12. Boron determination in steels by Inductively-Coupled Plasma spectometry (ICP)

    NASA Technical Reports Server (NTRS)

    Coedo, A. G.; Lopez, M. T. D.

    1986-01-01

    The sample is treated with 5N H2SO4 followed by concentrated HNO3 and the diluted mixture is filtered. Soluble B is determined in the filtrate by Inductively-Coupled Plasma (ICP) spectrometry after addition HCl and extraction of Fe with ethyl-ether. The residue is fused with Na2CO3 and, after treatment with HCl, the insoluble B is determined by ICP spectrometry as before. The method permits determination of ppm amounts of B in steel.

  13. Quantitative aspects of inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Bulska, Ewa; Wagner, Barbara

    2016-10-01

    Accurate determination of elements in various kinds of samples is essential for many areas, including environmental science, medicine, as well as industry. Inductively coupled plasma mass spectrometry (ICP-MS) is a powerful tool enabling multi-elemental analysis of numerous matrices with high sensitivity and good precision. Various calibration approaches can be used to perform accurate quantitative measurements by ICP-MS. They include the use of pure standards, matrix-matched standards, or relevant certified reference materials, assuring traceability of the reported results. This review critically evaluates the advantages and limitations of different calibration approaches, which are used in quantitative analyses by ICP-MS. Examples of such analyses are provided. This article is part of the themed issue 'Quantitative mass spectrometry'.

  14. Induction plasma tube

    DOEpatents

    Hull, D.E.

    1982-07-02

    An induction plasma tube having a segmented, fluid-cooled internal radiation shield is disclosed. The individual segments are thick in cross-section such that the shield occupies a substantial fraction of the internal volume of the plasma enclosure, resulting in improved performance and higher sustainable plasma temperatures. The individual segments of the shield are preferably cooled by means of a counterflow fluid cooling system wherein each segment includes a central bore and a fluid supply tube extending into the bore. The counterflow cooling system results in improved cooling of the individual segments and also permits use of relatively larger shield segments which permit improved electromagnetic coupling between the induction coil and a plasma located inside the shield. Four embodiments of the invention, each having particular advantages, are disclosed.

  15. Induction plasma tube

    DOEpatents

    Hull, Donald E.

    1984-01-01

    An induction plasma tube having a segmented, fluid-cooled internal radiation shield is disclosed. The individual segments are thick in cross-section such that the shield occupies a substantial fraction of the internal volume of the plasma enclosure, resulting in improved performance and higher sustainable plasma temperatures. The individual segments of the shield are preferably cooled by means of a counterflow fluid cooling system wherein each segment includes a central bore and a fluid supply tube extending into the bore. The counterflow cooling system results in improved cooling of the individual segments and also permits use of relatively larger shield segments which permit improved electromagnetic coupling between the induction coil and a plasma located inside the shield. Four embodiments of the invention, each having particular advantages, are disclosed.

  16. Determination of changes in the concentration and distribution of elements within olive drupes (cv. Leccino) from Se biofortified plants, using laser ablation inductively coupled plasma mass spectrometry.

    PubMed

    D'Amato, Roberto; Petrelli, Maurizio; Proietti, Primo; Onofri, Andrea; Regni, Luca; Perugini, Diego; Businelli, Daniela

    2018-03-25

    Biofortification of food crops has been used to increase the intake of Se in the human diet, even though this may change the concentration of other elements and modify the nutritional properties of the enriched food. Selenium biofortification programs should include routine assessment of the overall mineral composition of enriched plants. Laser ablation inductively coupled plasma mass spectrometry (LA ICP-MS) was used for the assessment of mineral composition of table olives. Olive trees were fertilized with sodium selenate before flowering. At harvest, the edible parts of drupes proved to be significantly enriched in Se, delivering 6.1 μg g -1 (39% of the RDA for five olives). Such enrichment was followed by significant changes in the concentrations of B, Mg, K, Cr, Mn, Fe and Cu in edible parts, which are discussed for their impact on food quality. The biofortification of olive plants has allowed the enrichment of fruits with selenium. Enrichment with selenium has caused an increase in the concentration of other elements, which can change the nutritional quality of the drupes. The analytical technique used well as a valuable tool for routinely determining the chemical composition of all fruit parts. © 2018 Society of Chemical Industry. © 2018 Society of Chemical Industry.

  17. Quantitative aspects of inductively coupled plasma mass spectrometry

    PubMed Central

    Wagner, Barbara

    2016-01-01

    Accurate determination of elements in various kinds of samples is essential for many areas, including environmental science, medicine, as well as industry. Inductively coupled plasma mass spectrometry (ICP-MS) is a powerful tool enabling multi-elemental analysis of numerous matrices with high sensitivity and good precision. Various calibration approaches can be used to perform accurate quantitative measurements by ICP-MS. They include the use of pure standards, matrix-matched standards, or relevant certified reference materials, assuring traceability of the reported results. This review critically evaluates the advantages and limitations of different calibration approaches, which are used in quantitative analyses by ICP-MS. Examples of such analyses are provided. This article is part of the themed issue ‘Quantitative mass spectrometry’. PMID:27644971

  18. Invention of the Annular Inductively Coupled Plasma as a Spectroscopic Source

    NASA Astrophysics Data System (ADS)

    Greenfield, Stanley

    2000-05-01

    This paper shows how experiments with electrical discharges from the 18th century onward led to their use as sources in atomic spectroscopy and how the invention of the annular inductively coupled plasma (ICP) some 30 years ago arose from the need to solve a problem that necessitated the use of a high-temperature source. The search for such a source followed a fairly logical pattern involving dc plasma jets and an ICP such as had been used by T. B. Reed for crystal growing. The ellipsoidal plasma used by Reed was not entirely suitable as a spectroscopic source, since the analytical sample either mixed with the plasma gases or passed around the plasma, resulting in matrix effects and a diminution in the emission. It is shown how suitable modification of the plasma torch with attention to gas flows made it possible to produce an annular or tunnel plasma through which the sample aerosol could be passed, resulting in an annular ICP with greatly improved spectroscopic properties. The further refinements to the source and ancillary equipment are also discussed.

  19. Analysis of metal-binding proteins separated by non-denaturating gel electrophoresis using matrix-assisted laser desorption/ionization mass spectrometry (MALDI-MS) and laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS).

    PubMed

    Becker, J Susanne; Mounicou, Sandra; Zoriy, Miroslav V; Becker, J Sabine; Lobinski, Ryszard

    2008-09-15

    Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-TOF-MS) and laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) have become established as very efficient and sensitive biopolymer and elemental mass spectrometric techniques for studying metal-binding proteins (metalloproteins) in life sciences. Protein complexes present in rat tissues (liver and kidney) were separated in their native state in the first dimension by blue native gel electrophoresis (BN-PAGE). Essential and toxic metals, such as zinc, copper, iron, nickel, chromium, cadmium and lead, were detected by scanning the gel bands using quadrupole LA-ICP-MS with and without collision cell as a microanalytical technique. Several proteins were identified by using MALDI-TOF-MS together with a database search. For example, on one protein band cut from the BN-PAGE gel and digested with the enzyme trypsin, two different proteins - protein FAM44B and cathepsin B precursor - were identified. By combining biomolecular and elemental mass spectrometry, it was possible to characterize and identify selected metal-binding rat liver and kidney tissue proteins.

  20. Using laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) to characterize copper, zinc and mercury along grizzly bear hair providing estimate of diet.

    PubMed

    Noël, Marie; Christensen, Jennie R; Spence, Jody; Robbins, Charles T

    2015-10-01

    We enhanced an existing technique, laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS), to function as a non-lethal tool in the temporal characterization of trace element exposure in wild mammals. Mercury (Hg), copper (Cu), cadmium (Cd), lead (Pb), iron (Fe) and zinc (Zn) were analyzed along the hair of captive and wild grizzly bears (Ursus arctos horribilis). Laser parameters were optimized (consecutive 2000 μm line scans along the middle line of the hair at a speed of 50 μm/s; spot size=30 μm) for consistent ablation of the hair. A pressed pellet of reference material DOLT-2 and sulfur were used as external and internal standards, respectively. Our newly adapted method passed the quality control tests with strong correlations between trace element concentrations obtained using LA-ICP-MS and those obtained with regular solution-ICP-MS (r(2)=0.92, 0.98, 0.63, 0.57, 0.99 and 0.90 for Hg, Fe, Cu, Zn, Cd and Pb, respectively). Cross-correlation analyses revealed good reproducibility between trace element patterns obtained from hair collected from the same bear. One exception was Cd for which external contamination was observed resulting in poor reproducibility. In order to validate the method, we used LA-ICP-MS on the hair of five captive grizzly bears fed known and varying amounts of cutthroat trout over a period of 33 days. Trace element patterns along the hair revealed strong Hg, Cu and Zn signals coinciding with fish consumption. Accordingly, significant correlations between Hg, Cu, and Zn in the hair and Hg, Cu, and Zn intake were evident and we were able to develop accumulation models for each of these elements. While the use of LA-ICP-MS for the monitoring of trace elements in wildlife is in its infancy, this study highlights the robustness and applicability of this newly adapted method. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Methods of Analysis by the U.S. Geological Survey National Water Quality Laboratory - Determination of Elements in Whole-Water Digests Using Inductively Coupled Plasma-Optical Emission Spectrometry and Inductively Coupled Plasma-Mass Spectrometry

    USGS Publications Warehouse

    Garbarino, John R.; Struzeski, Tedmund M.

    1998-01-01

    Inductively coupled plasma-optical emission spectrometry (ICP-OES) and inductively coupled plasma-mass spectrometry (ICP-MS) can be used to determine 26 elements in whole-water digests. Both methods have distinct advantages and disadvantages--ICP-OES is capable of analyzing samples with higher elemental concentrations without dilution, however, ICP-MS is more sensitive and capable of determining much lower elemental concentrations. Both techniques gave accurate results for spike recoveries, digested standard reference-water samples, and whole-water digests. Average spike recoveries in whole-water digests were 100 plus/minus 10 percent, although recoveries for digests with high dissolved-solid concentrations were lower for selected elements by ICP-MS. Results for standard reference-water samples were generally within 1 standard deviation of hte most probable values. Statistical analysis of the results from 43 whole-water digest indicated that there was no significant difference among ICP-OES, ICP-MS, and former official methods of analysis for 24 of the 26 elements evaluated.

  2. The Detection of Negative Ions by Inductively Coupled Plasma-Mass Spectrometry

    DTIC Science & Technology

    1988-07-11

    INDUCTIVELY COUPLED PLASMA-MASS SPECTROMETRY by George H. Vickers, Daniel A. Wilson, and Gary M. Hieftje Aooesston For Accepted for Publication Dao s...PERSONAL AUTHOR(S) 7’ George H. Vickers, Daniel A. Wilson, and GayYM. Hieftje 13a TYPE OF REPORT 13b. TIME COVERED./ 14. DATE OF REPORT (Year, Month...UNLIMITED 0] AME AS RP’r [] DTC USERS Distribution Unlimited - 22a NAME OF RESPONSIBLE INOIVIDUAL 22b TELEPHONE (Include Area Code) 22c OFFICE SYMBOL Gary M

  3. Phosphorus doped graphene by inductively coupled plasma and triphenylphosphine treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Dong-Wook, E-mail: shindong37@skku.edu; Kim, Tae Sung; Yoo, Ji-Beom, E-mail: jbyoo@skku.edu

    Highlights: • Substitution doping is a promising method for opening the energy band gap of graphene. • Substitution doping with phosphorus in the graphene lattice has numerous advantage such as high band gap, low formation energy, and high net charge density compared to nitrogen. • V{sub dirac} of Inductively coupled plasma (ICP) and triphenylphosphine (TPP) treated graphene was −57 V, which provided clear evidence of n-type doping. • Substitutional doping of graphene with phosphorus is verified by the XPS spectra of P 2p core level and EELS mapping of phosphorus. • The chemical bonding between P and graphene is verymore » stable for a long time in air (2 months). - Abstract: Graphene is considered a host material for various applications in next-generation electronic devices. However, despite its excellent properties, one of the most important issues to be solved as an electronic material is the creation of an energy band gap. Substitution doping is a promising method for opening the energy band gap of graphene. Herein, we demonstrate the substitutional doping of graphene with phosphorus using inductively coupled plasma (ICP) and triphenylphosphine (TPP) treatments. The electrical transfer characteristics of the phosphorus doped graphene field effect transistor (GFET) have a V{sub dirac} of ∼ − 54 V. The chemical bonding between P and C was clearly observed in XPS spectra, and uniform distribution of phosphorus within graphene domains was confirmed by EELS mapping. The capability for substitutional doping of graphene with phosphorus can significantly promote the development of graphene based electronic devices.« less

  4. Investigation of large-area multicoil inductively coupled plasma sources using three-dimensional fluid model

    NASA Astrophysics Data System (ADS)

    Brcka, Jozef

    2016-07-01

    A multi inductively coupled plasma (ICP) system can be used to maintain the plasma uniformity and increase the area processed by a high-density plasma. This article presents a source in two different configurations. The distributed planar multi ICP (DM-ICP) source comprises individual ICP sources that are not overlapped and produce plasma independently. Mutual coupling of the ICPs may affect the distribution of the produced plasma. The integrated multicoil ICP (IMC-ICP) source consists of four low-inductance ICP antennas that are superimposed in an azimuthal manner. The identical geometry of the ICP coils was assumed in this work. Both configurations have highly asymmetric components. A three-dimensional (3D) plasma model of the multicoil ICP configurations with asymmetric features is used to investigate the plasma characteristics in a large chamber and the operation of the sources in inert and reactive gases. The feasibility of the computational calculation, the speed, and the computational resources of the coupled multiphysics solver are investigated in the framework of a large realistic geometry and complex reaction processes. It was determined that additional variables can be used to control large-area plasmas. Both configurations can form a plasma, that azimuthally moves in a controlled manner, the so-called “sweeping mode” (SM) or “polyphase mode” (PPM), and thus they have the potential for large-area and high-density plasma applications. The operation in the azimuthal mode has the potential to adjust the plasma distribution, the reaction chemistry, and increase or modulate the production of the radicals. The intrinsic asymmetry of the individual coils and their combined operation were investigated within a source assembly primarily in argon and CO gases. Limited investigations were also performed on operation in CH4 gas. The plasma parameters and the resulting chemistry are affected by the geometrical relation between individual antennas. The aim of

  5. Online Coupling of Flow-Field Flow Fractionation and Single Particle Inductively Coupled Plasma-Mass Spectrometry: Characterization of Nanoparticle Surface Coating Thickness and Aggregation State

    EPA Science Inventory

    Surface coating thickness and aggregation state have strong influence on the environmental fate, transport, and toxicity of engineered nanomaterials. In this study, flow-field flow fractionation coupled on-line with single particle inductively coupled plasma-mass spectrometry i...

  6. Computational hydrodynamics and optical performance of inductively-coupled plasma adaptive lenses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mortazavi, M.; Urzay, J., E-mail: jurzay@stanford.edu; Mani, A.

    2015-06-15

    This study addresses the optical performance of a plasma adaptive lens for aero-optical applications by using both axisymmetric and three-dimensional numerical simulations. Plasma adaptive lenses are based on the effects of free electrons on the phase velocity of incident light, which, in theory, can be used as a phase-conjugation mechanism. A closed cylindrical chamber filled with Argon plasma is used as a model lens into which a beam of light is launched. The plasma is sustained by applying a radio-frequency electric current through a coil that envelops the chamber. Four different operating conditions, ranging from low to high powers andmore » induction frequencies, are employed in the simulations. The numerical simulations reveal complex hydrodynamic phenomena related to buoyant and electromagnetic laminar transport, which generate, respectively, large recirculating cells and wall-normal compression stresses in the form of local stagnation-point flows. In the axisymmetric simulations, the plasma motion is coupled with near-wall axial striations in the electron-density field, some of which propagate in the form of low-frequency traveling disturbances adjacent to vortical quadrupoles that are reminiscent of Taylor-Görtler flow structures in centrifugally unstable flows. Although the refractive-index fields obtained from axisymmetric simulations lead to smooth beam wavefronts, they are found to be unstable to azimuthal disturbances in three of the four three-dimensional cases considered. The azimuthal striations are optically detrimental, since they produce high-order angular aberrations that account for most of the beam wavefront error. A fourth case is computed at high input power and high induction frequency, which displays the best optical properties among all the three-dimensional simulations considered. In particular, the increase in induction frequency prevents local thermalization and leads to an axisymmetric distribution of electrons even after

  7. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    NASA Astrophysics Data System (ADS)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (<10s mTorr) and high plasma density ([e]≳1011 cm-3) microelectronics fabrication. In these reactors, the plasma is generated by the inductively coupled electric field while an additional radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  8. Study on the plasma generation characteristics of an induction-triggered coaxial pulsed plasma thruster

    NASA Astrophysics Data System (ADS)

    Weisheng, CUI; Wenzheng, LIU; Jia, TIAN; Xiuyang, CHEN

    2018-02-01

    At present, spark plugs are used to trigger discharge in pulsed plasma thrusters (PPT), which are known to be life-limiting components due to plasma corrosion and carbon deposition. A strong electric field could be formed in a cathode triple junction (CTJ) to achieve a trigger function under vacuum conditions. We propose an induction-triggered electrode structure on the basis of the CTJ trigger principle. The induction-triggered electrode structure could increase the electric field strength of the CTJ without changing the voltage between electrodes, contributing to a reduction in the electrode breakdown voltage. Additionally, it can maintain the plasma generation effect when the breakdown voltage is reduced in the discharge experiments. The induction-triggered electrode structure could ensure an effective trigger when the ablation distance of Teflon increases, and the magnetic field produced by the discharge current could further improve the plasma density and propagation velocity. The induction-triggered coaxial PPT we propose has a simplified trigger structure, and it is an effective attempt to optimize the micro-satellite thruster.

  9. Study on quantitative analysis of Ti, Al and V in clinical soft tissues after placing the dental implants by laser ablation inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Sajnóg, Adam; Hanć, Anetta; Makuch, Krzysztof; Koczorowski, Ryszard; Barałkiewicz, Danuta

    2016-11-01

    Laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) was used for in-situ quantitative analysis of oral mucosa of patients before and after implantation with titanium implants and a closing screw based on Ti6Al4V alloy. Two calibration strategies were applied, both were based on matrix matched solid standards with analytes addition. A novel approach was the application of powdered egg white proteins as a matrix material which have a similar composition to the examined tissue. In the another approach, certified reference material Bovine Muscle ERM-BB184 was used. The isotope 34S was found to be the most appropriate as an internal standard since it is homogenously distributed in the examined tissues and resulted in lower relative standard deviation values of signal of analytes of interest. Other isotopes (13C, 26Mg, 43Ca) were also evaluated as potential internal standards. The analytical performance parameters and microwave digestion of solid standards followed by solution nebulization ICP-MS analysis proved that both calibration methods are fit for their intended purpose. The LA-ICP-MS analysis on the surface of tissues after the implantation process revealed an elevated content of elements in comparison to the control group. Analytes are distributed inhomogeneously and display local maximal content of Ti up to ca. 900 μg g- 1, Al up to ca. 760 μg g- 1 and for V up to 160 μg g- 1.

  10. Fourier Transform Infrared (FT-IR) and Laser Ablation Inductively Coupled Plasma-Mass Spectrometry (LA-ICP-MS) Imaging of Cerebral Ischemia: Combined Analysis of Rat Brain Thin Cuts Toward Improved Tissue Classification.

    PubMed

    Balbekova, Anna; Lohninger, Hans; van Tilborg, Geralda A F; Dijkhuizen, Rick M; Bonta, Maximilian; Limbeck, Andreas; Lendl, Bernhard; Al-Saad, Khalid A; Ali, Mohamed; Celikic, Minja; Ofner, Johannes

    2018-02-01

    Microspectroscopic techniques are widely used to complement histological studies. Due to recent developments in the field of chemical imaging, combined chemical analysis has become attractive. This technique facilitates a deepened analysis compared to single techniques or side-by-side analysis. In this study, rat brains harvested one week after induction of photothrombotic stroke were investigated. Adjacent thin cuts from rats' brains were imaged using Fourier transform infrared (FT-IR) microspectroscopy and laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS). The LA-ICP-MS data were normalized using an internal standard (a thin gold layer). The acquired hyperspectral data cubes were fused and subjected to multivariate analysis. Brain regions affected by stroke as well as unaffected gray and white matter were identified and classified using a model based on either partial least squares discriminant analysis (PLS-DA) or random decision forest (RDF) algorithms. The RDF algorithm demonstrated the best results for classification. Improved classification was observed in the case of fused data in comparison to individual data sets (either FT-IR or LA-ICP-MS). Variable importance analysis demonstrated that both molecular and elemental content contribute to the improved RDF classification. Univariate spectral analysis identified biochemical properties of the assigned tissue types. Classification of multisensor hyperspectral data sets using an RDF algorithm allows access to a novel and in-depth understanding of biochemical processes and solid chemical allocation of different brain regions.

  11. Flow-field differences and electromagnetic-field properties of air and N2 inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Yu, Minghao; Yamada, Kazuhiko; Takahashi, Yusuke; Liu, Kai; Zhao, Tong

    2016-12-01

    A numerical model for simulating air and nitrogen inductively coupled plasmas (ICPs) was developed considering thermochemical nonequilibrium and the third-order electron transport properties. A modified far-field electromagnetic model was introduced and tightly coupled with the flow field equations to describe the Joule heating and inductive discharge phenomena. In total, 11 species and 49 chemical reactions of air, which include 5 species and 8 chemical reactions of nitrogen, were employed to model the chemical reaction process. The internal energy transfers among translational, vibrational, rotational, and electronic energy modes of chemical species were taken into account to study thermal nonequilibrium effects. The low-Reynolds number Abe-Kondoh-Nagano k-ɛ turbulence model was employed to consider the turbulent heat transfer. In this study, the fundamental characteristics of an ICP flow, such as the weak ionization, high temperature but low velocity in the torch, and wide area of the plasma plume, were reproduced by the developed numerical model. The flow field differences between the air and nitrogen ICP flows inside the 10-kW ICP wind tunnel were made clear. The interactions between the electromagnetic and flow fields were also revealed for an inductive discharge.

  12. Separation of actinides using capillary extraction chromatography-inductively coupled plasma mass spectrometry.

    PubMed

    Peterson, Dominic S; Montoya, Velma M

    2009-08-01

    Trace levels of actinides have been separated on capillary extraction chromatography columns. Detection of the actinides was achieved using an inductively coupled plasma mass spectrometer, which was coupled with the extraction chromatography system. In this study, we compare 30-cm long, 4.6 mm i.d. columns to capillary columns (750 microm i.d.) with lengths from 30 cm up to 150 cm. The columns that were tested were packed with TRU resin. We were able to separate a mixture of five actinides ((232)Th, (238)U, (237)Np, (239)Pu, and (241)Am). This work has application to rapid bioassay as well as automated separations of actinide materials.

  13. Inductively coupled plasma-mass spectrometry as an element-specific detector for field-flow fractionation particle separation

    USGS Publications Warehouse

    Taylor, Howard E.; Garbarino, John R.; Murphy, Deirdre M.; Beckett, Ronald

    1992-01-01

    An inductively coupled plasma-mass spectrometer was used for the quantitative measurement of trace elements In specific,submicrometer size-fraction particulates, separated by sedimentation field-flow fractionation. Fractions were collected from the eluent of the field-flow fractionation centrifuge and nebulized, with a Babington-type pneumatic nebulizer, into an argon inductively coupled plasma-mass spectrometer. Measured Ion currents were used to quantify the major, minor, and trace element composition of the size-separated colloidal (< 1-microm diameter) particulates. The composition of surface-water suspended matter collected from the Yarra and Darling rivers in Australia is presented to illustrate the usefulness of this tool for characterizing environmental materials. An adsorption experiment was performed using cadmium lon to demonstrate the utility for studying the processes of trace metal-suspended sediment interactions and contaminant transport in natural aquatic systems.

  14. Determination of the rare-earth elements in geological materials by inductively coupled plasma mass spectrometry

    USGS Publications Warehouse

    Lichte, F.E.; Meier, A.L.; Crock, J.G.

    1987-01-01

    A method of analysis of geological materials for the determination of the rare-earth elements using the Inductively coupled plasma mass spectrometric technique (ICP-MS) has been developed. Instrumental parameters and factors affecting analytical results have been first studied and then optimized. Samples are analyzed directly following an acid digestion, without the need for separation or preconcentration with limits of detection of 2-11 ng/g, precision of ?? 2.5% relative standard deviation, and accuracy comparable to inductively coupled plasma emission spectrometry and instrumental neutron activation analysis. A commercially available ICP-MS instrument is used with modifications to the sample introduction system, torch, and sampler orifice to reduce the effects of high salt content of sample solutions prepared from geologic materials. Corrections for isobaric interferences from oxide ions and other diatomic and triatomic ions are made mathematically. Special internal standard procedures are used to compensate for drift in metahmetal oxide ratios and sensitivity. Reference standard values are used to verify the accuracy and utility of the method.

  15. Capillary electrophoresis-high resolution sector field inductively coupled plasma mass spectrometry.

    PubMed

    Sonke, Jeroen E; Salters, Vincent J M

    2007-08-03

    The background and applications of high resolution sector field inductively coupled plasma mass spectrometry (HR-ICP-MS) as a detector for capillary (CE) and gel electrophoretic separations are reviewed. Notable progress has been made in the fields of bioinorganic and environmental (geo-) chemistry. Metallomics, the study of metal species interactions and functions in biological systems, puts substantial technical demands on speciation analysis. The combination of high species resolving power (CE) and high sensitivity-high mass resolving power (HR-ICP-MS) provides a solid base to meet such demands.

  16. Iron-Isotopic Fractionation Studies Using Multiple Collector Inductively Coupled Plasma Mass Spectrometry

    NASA Technical Reports Server (NTRS)

    Anbar, A. D.; Zhang, C.; Barling, J.; Roe, J. E.; Nealson, K. H.

    1999-01-01

    The importance of Fe biogeochemistry has stimulated interest in Fe isotope fractionation. Recent studies using thermal ionization mass spectrometry (TIMS) and a "double spike" demonstrate the existence of biogenic Fe isotope effects. Here, we assess the utility of multiple-collector inductively-coupled plasma mass spectrometry(MC-ICP-MS) with a desolvating sample introduction system for Fe isotope studies, and present data on Fe biominerals produced by a thermophilic bacterium. Additional information is contained in the original extended abstract.

  17. Fusion Bead Procedure for Nuclear Forensics Employing Synthetic Enstatite to Dissolve Uraniferous and Other Challenging Materials Prior to Laser Ablation Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Reading, David G; Croudace, Ian W; Warwick, Phillip E

    2017-06-06

    There is an increasing demand for rapid and effective analytical tools to support nuclear forensic investigations of seized or suspect materials. Some methods are simply adapted from other scientific disciplines and can effectively be used to rapidly prepare complex materials for subsequent analysis. A novel sample fusion method is developed, tested, and validated to produce homogeneous, flux-free glass beads of geochemical reference materials (GRMs), uranium ores, and uranium ore concentrates (UOC) prior to the analysis of 14 rare earth elements (REE) via laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS). The novelty of the procedure is the production of glass beads using 9 parts high purity synthetic enstatite (MgSiO 3 ) as the glass former with 1 part of sample (sample mass ∼1.5 mg). The beads are rapidly prepared (∼10 min overall time) by fusing the blended mixture on an iridium strip resistance heater in an argon-purged chamber. Many elements can be measured in the glass bead, but the rare earth group in particular is a valuable series in nuclear forensic studies and is well-determined using LA-ICP-MS. The REE data obtained from the GRMs, presented as chondrite normalized patterns, are in very good agreement with consensus patterns. The UOCs have comparable patterns to solution ICP-MS methods and published data. The attractions of the current development are its conservation of sample, speed of preparation, and suitability for microbeam analysis, all of which are favorable for nuclear forensics practitioners and geochemists requiring REE patterns from scarce or valuable samples.

  18. Langmuir Probe Distortions and Probe Compensation in an Inductively Coupled Plasma

    NASA Technical Reports Server (NTRS)

    Ji, J. S.; Cappelli, M. A.; Kim, J. S.; Rao, M. V. V. S.; Sharma, S. P.

    1999-01-01

    In many RF discharges, Langmuir probe measurements are usually made against a background of sinusoidal (and not so sinusoidal) fluctuations in the plasma parameters such as the plasma potential (Vp), the electron number density (ne), and the electron temperature (Te). The compensation of sinusoidal fluctuations in Vp has been extensively studied and is relatively well understood. Less attention has been paid to the possible distortions introduced by small fluctuations in plasma density and/or plasma temperature, which may arise in the sheath and pre-sheath regions of RF discharges. Here, we present the results of a model simulation of probe characteristics subject to fluctuations in both Vp and ne. The modeling of probe distortion due to possible fluctuations in Te is less straightforward. A comparison is presented of calculations with experimental measurements using a compensated and uncompensated Langmuir probe in an inductively coupled GEC reference cell plasma, operating on Ar and Ar/CF4 mixtures. The plasma parameters determined from the compensated probe characteristics are compared to previous measurements of others made in similar discharges, and to our own measurements of the average electron density derived from electrical impedance measurements.

  19. DETERMINATION OF BROMATE IN DRINKING WATERS BY ION CHROMATOGRAPHY WITH INDUCTIVELY COUPLED PLASMA MASS SPECTROMETRIC DETECTION

    EPA Science Inventory

    Bromate is a disinfection by-product in drinking water, formed during the ozonation of source water containing bromide. An inductively coupled plasma mass spectrometer is combined with an ion chromatograph for the analysis of bromate in drinking waters. Three chromatographic colu...

  20. A tightly coupled non-equilibrium model for inductively coupled radio-frequency plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Munafò, A., E-mail: munafo@illinois.edu; Alfuhaid, S. A., E-mail: alfuhai2@illinois.edu; Panesi, M., E-mail: mpanesi@illinois.edu

    2015-10-07

    The objective of the present work is the development of a tightly coupled magneto-hydrodynamic model for inductively coupled radio-frequency plasmas. Non Local Thermodynamic Equilibrium (NLTE) effects are described based on a hybrid State-to-State approach. A multi-temperature formulation is used to account for thermal non-equilibrium between translation of heavy-particles and vibration of molecules. Excited electronic states of atoms are instead treated as separate pseudo-species, allowing for non-Boltzmann distributions of their populations. Free-electrons are assumed Maxwellian at their own temperature. The governing equations for the electro-magnetic field and the gas properties (e.g., chemical composition and temperatures) are written as a coupled systemmore » of time-dependent conservation laws. Steady-state solutions are obtained by means of an implicit Finite Volume method. The results obtained in both LTE and NLTE conditions over a broad spectrum of operating conditions demonstrate the robustness of the proposed coupled numerical method. The analysis of chemical composition and temperature distributions along the torch radius shows that: (i) the use of the LTE assumption may lead to an inaccurate prediction of the thermo-chemical state of the gas, and (ii) non-equilibrium phenomena play a significant role close the walls, due to the combined effects of Ohmic heating and macroscopic gradients.« less

  1. TERMITE: An R script for fast reduction of laser ablation inductively coupled plasma mass spectrometry data and its application to trace element measurements.

    PubMed

    Mischel, Simon A; Mertz-Kraus, Regina; Jochum, Klaus Peter; Scholz, Denis

    2017-07-15

    High spatial resolution Laser Ablation Inductively Coupled Plasma Mass Spectrometry (LA-ICPMS) determination of trace element concentrations is of great interest for geological and environmental studies. Data reduction is a very important aspect of LA-ICP-MS, and several commercial programs for handling LA-ICPMS trace element data are available. Each of these software packages has its specific advantages and disadvantages. Here we present TERMITE, an R script for the reduction of LA-ICPMS data, which can reduce both spot and line scan measurements. Several parameters can be adjusted by the user, who does not necessarily need prior knowledge in R. Currently, ten reference materials with different matrices for calibration of LA-ICPMS data are implemented, and additional reference materials can be added by the user. TERMITE also provides an optional outlier test, and the results are provided graphically (as a pdf file) as well as numerically (as a csv file). As an example, we apply TERMITE to a speleothem sample and compare the results with those obtained using the commercial software GLITTER. The two programs give similar results. TERMITE is particularly useful for samples that are homogeneous with respect to their major element composition (in particular for the element used as an internal standard) and when many measurements are performed using the same analytical parameters. In this case, data evaluation using TERMITE is much faster than with all other available software, and the concentrations of more than 100 single spot measurements can be calculated in less than a minute. TERMITE is an open-source software for the reduction of LA-ICPMS data, which is particularly useful for the fast, reproducible evaluation of large datasets of samples that are homogeneous with respect to their major element composition. Copyright © 2017 John Wiley & Sons, Ltd. Copyright © 2017 John Wiley & Sons, Ltd.

  2. ULTRASONIC NEBULIZATION AND ARSENIC VALENCE STATE CONSIDERATIONS PRIOR TO DETERMINATION VIA INDUCTIVELY COUPLED PLASMA MASS SPECTROMETRY

    EPA Science Inventory

    An ultrasonic nebulizer (USN) was utilized as a sample introduction device for an inductively coupled plasma mass spectrometer in an attempt to increase the sensitivity for As. The USN produced a valence state response difference for As. The As response was suppressed approximate...

  3. Effect of neutral gas heating in argon radio frequency inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Chin, O. H.; Jayapalan, K. K.; Wong, C. S.

    2014-08-01

    Heating of neutral gas in inductively coupled plasma (ICP) is known to result in neutral gas depletion. In this work, this effect is considered in the simulation of the magnetic field distribution of a 13.56 MHz planar coil ICP. Measured electron temperatures and densities at argon pressures of 0.03, 0.07 and 0.2 mbar were used in the simulation whilst neutral gas temperatures were heuristically fitted. The simulated results showed reasonable agreement with the measured magnetic field profile.

  4. Plasma sterilization of Geobacillus Stearothermophilus by O{mathsf2}:N{mathsf2} RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Sasaki, T.; Rossi, F.

    2006-05-01

    The aim of this work is to identify the main process responsible for sterilization of Geobacillus Stearothermophilus spores in O{2}:N{2} RF inductively coupled plasma. In order to meet this objective the sterilization efficiencies of discharges in mixtures differing in the initial O{2}/N{2} ratios are compared with plasma properties and with scanning electron microscopy images of treated spores. According to the obtained results it can be concluded that under our experimental conditions the time needed to reach complete sterilization is more related to O atom density than UV radiation intensity, i.e. complete sterilization is not related only to DNA damage as in UV sterilization but more likely to the etching of the spore.

  5. Analysis of non-equilibrium phenomena in inductively coupled plasma generators

    NASA Astrophysics Data System (ADS)

    Zhang, W.; Lani, A.; Panesi, M.

    2016-07-01

    This work addresses the modeling of non-equilibrium phenomena in inductively coupled plasma discharges. In the proposed computational model, the electromagnetic induction equation is solved together with the set of Navier-Stokes equations in order to compute the electromagnetic and flow fields, accounting for their mutual interaction. Semi-classical statistical thermodynamics is used to determine the plasma thermodynamic properties, while transport properties are obtained from kinetic principles, with the method of Chapman and Enskog. Particle ambipolar diffusive fluxes are found by solving the Stefan-Maxwell equations with a simple iterative method. Two physico-mathematical formulations are used to model the chemical reaction processes: (1) A Local Thermodynamics Equilibrium (LTE) formulation and (2) a thermo-chemical non-equilibrium (TCNEQ) formulation. In the TCNEQ model, thermal non-equilibrium between the translational energy mode of the gas and the vibrational energy mode of individual molecules is accounted for. The electronic states of the chemical species are assumed in equilibrium with the vibrational temperature, whereas the rotational energy mode is assumed to be equilibrated with translation. Three different physical models are used to account for the coupling of chemistry and energy transfer processes. Numerical simulations obtained with the LTE and TCNEQ formulations are used to characterize the extent of non-equilibrium of the flow inside the Plasmatron facility at the von Karman Institute. Each model was tested using different kinetic mechanisms to assess the sensitivity of the results to variations in the reaction parameters. A comparison of temperatures and composition profiles at the outlet of the torch demonstrates that the flow is in non-equilibrium for operating conditions characterized by pressures below 30 000 Pa, frequency 0.37 MHz, input power 80 kW, and mass flow 8 g/s.

  6. Analysis of non-equilibrium phenomena in inductively coupled plasma generators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, W.; Panesi, M., E-mail: mpanesi@illinois.edu; Lani, A.

    This work addresses the modeling of non-equilibrium phenomena in inductively coupled plasma discharges. In the proposed computational model, the electromagnetic induction equation is solved together with the set of Navier-Stokes equations in order to compute the electromagnetic and flow fields, accounting for their mutual interaction. Semi-classical statistical thermodynamics is used to determine the plasma thermodynamic properties, while transport properties are obtained from kinetic principles, with the method of Chapman and Enskog. Particle ambipolar diffusive fluxes are found by solving the Stefan-Maxwell equations with a simple iterative method. Two physico-mathematical formulations are used to model the chemical reaction processes: (1) Amore » Local Thermodynamics Equilibrium (LTE) formulation and (2) a thermo-chemical non-equilibrium (TCNEQ) formulation. In the TCNEQ model, thermal non-equilibrium between the translational energy mode of the gas and the vibrational energy mode of individual molecules is accounted for. The electronic states of the chemical species are assumed in equilibrium with the vibrational temperature, whereas the rotational energy mode is assumed to be equilibrated with translation. Three different physical models are used to account for the coupling of chemistry and energy transfer processes. Numerical simulations obtained with the LTE and TCNEQ formulations are used to characterize the extent of non-equilibrium of the flow inside the Plasmatron facility at the von Karman Institute. Each model was tested using different kinetic mechanisms to assess the sensitivity of the results to variations in the reaction parameters. A comparison of temperatures and composition profiles at the outlet of the torch demonstrates that the flow is in non-equilibrium for operating conditions characterized by pressures below 30 000 Pa, frequency 0.37 MHz, input power 80 kW, and mass flow 8 g/s.« less

  7. High intensity ion beams from an atmospheric pressure inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Al Moussalami, S.; Chen, W.; Collings, B. A.; Douglas, D. J.

    2002-02-01

    This work is directed towards substantially improving the sensitivity of an inductively coupled plasma mass spectrometer (ICP-MS). Ions produced in the ICP at atmospheric pressure have been extracted with comparatively high current densities. The conventional approach to ion extraction, based on a skimmed molecular beam, has been abandoned, and a high extraction field arrangement has been adopted. Although the new approach is not optimized, current densities more than 180 times greater than that of a conventional interface have been extracted and analyte sensitivities ˜10-100× greater than those reported previously for quadrupole ICP-MS have been measured.

  8. Low-frequency, self-sustained oscillations in inductively coupled plasmas used for optical pumping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coffer, J.; Encalada, N.; Huang, M.

    We have investigated very low frequency, on the order of one hertz, self-pulsing in alkali-metal inductively-coupled plasmas (i.e., rf-discharge lamps). This self-pulsing has the potential to significantly vary signal-to-noise ratios and (via the ac-Stark shift) resonant frequencies in optically pumped atomic clocks and magnetometers (e.g., the atomic clocks now flying on GPS and Galileo global navigation system satellites). The phenomenon arises from a nonlinear interaction between the atomic physics of radiation trapping and the plasma's electrical nature. To explain the effect, we have developed an evaporation/condensation theory (EC theory) of the self-pulsing phenomenon.

  9. Determination of Arsenic in Sinus Wash and Tap Water by Inductively Coupled Plasma-Mass Spectrometry

    ERIC Educational Resources Information Center

    Donnell, Anna M.; Nahan, Keaton; Holloway, Dawone; Vonderheide, Anne P.

    2016-01-01

    Arsenic is a toxic element to which humans are primarily exposed through food and water; it occurs as a result of human activities and naturally from the earth's crust. An experiment was developed for a senior level analytical laboratory utilizing an Inductively Coupled Plasma-Mass Spectrometer (ICP-MS) for the analysis of arsenic in household…

  10. New approach to the determination phosphorothioate oligonucleotides by ultra high performance liquid chromatography coupled with inductively coupled plasma mass spectrometry.

    PubMed

    Studzińska, Sylwia; Mounicou, Sandra; Szpunar, Joanna; Łobiński, Ryszard; Buszewski, Bogusław

    2015-01-15

    This text presents a novel method for the separation and detection of phosphorothioate oligonucleotides with the use of ion pair ultra high performance liquid chromatography coupled with inductively coupled plasma mass spectrometry The research showed that hexafluoroisopropanol/triethylamine based mobile phases may be successfully used when liquid chromatography is coupled with such elemental detection. However, the concentration of both HFIP and TEA influences the final result. The lower concentration of HFIP, the lower the background in ICP-MS and the greater the sensitivity. The method applied for the analysis of serum samples was based on high resolution inductively coupled plasma mass spectrometry. Utilization of this method allows determination of fifty times lower quantity of phosphorothioate oligonucleotides than in the case of quadrupole mass analyzer. Monitoring of (31)P may be used to quantify these compounds at the level of 80 μg L(-1), while simultaneous determination of sulfur is very useful for qualitative analysis. Moreover, the results presented in this paper demonstrate the practical applicability of coupling LC with ICP-MS in determining phosphorothioate oligonucleotides and their metabolites in serum within 7 min with a very good sensitivity. The method was linear in the concentration range between 0.2 and 3 mg L(-1). The limit of detection was in the range of 0.07 and 0.13 mg L(-1). Accuracy varied with concentration, but was in the range of 3%. Copyright © 2014 Elsevier B.V. All rights reserved.

  11. Sulfur analysis by inductively coupled plasma-mass spectrometry: A review

    NASA Astrophysics Data System (ADS)

    Giner Martínez-Sierra, J.; Galilea San Blas, O.; Marchante Gayón, J. M.; García Alonso, J. I.

    2015-06-01

    In recent years the number of applications of sulfur (S) analysis using inductively coupled plasma mass spectrometry (ICP-MS) as detector has increased significantly. In this article we describe in some depth the application of ICP-MS for S analysis with emphasis placed on the sulfur-specific detection by hyphenated techniques such as LC, GC, CE and LA coupled on-line to ICP-MS. The different approaches available for sulfur isotope ratio measurements by ICP-MS are also detailed. Particular attention has been paid to the quantification of peptides/proteins and the analysis of metallopeptides/metalloproteins via sulfur by LC-ICP-MS. Likewise, the speciation analysis of metal-based pharmaceuticals and metallodrugs and non-metal selective detection of pharmaceuticals via S are highlighted. Labeling procedures for metabolic applications are also included. Finally, the measurement of natural variations in S isotope composition with multicollector ICP-MS instruments is also covered in this review.

  12. Solid matrix transformation and tracer addition using molten ammonium bifluoride salt as a sample preparation method for laser ablation inductively coupled plasma mass spectrometry.

    PubMed

    Grate, Jay W; Gonzalez, Jhanis J; O'Hara, Matthew J; Kellogg, Cynthia M; Morrison, Samuel S; Koppenaal, David W; Chan, George C-Y; Mao, Xianglei; Zorba, Vassilia; Russo, Richard E

    2017-09-08

    Solid sampling and analysis methods, such as laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS), are challenged by matrix effects and calibration difficulties. Matrix-matched standards for external calibration are seldom available and it is difficult to distribute spikes evenly into a solid matrix as internal standards. While isotopic ratios of the same element can be measured to high precision, matrix-dependent effects in the sampling and analysis process frustrate accurate quantification and elemental ratio determinations. Here we introduce a potentially general solid matrix transformation approach entailing chemical reactions in molten ammonium bifluoride (ABF) salt that enables the introduction of spikes as tracers or internal standards. Proof of principle experiments show that the decomposition of uranium ore in sealed PFA fluoropolymer vials at 230 °C yields, after cooling, new solids suitable for direct solid sampling by LA. When spikes are included in the molten salt reaction, subsequent LA-ICP-MS sampling at several spots indicate that the spikes are evenly distributed, and that U-235 tracer dramatically improves reproducibility in U-238 analysis. Precisions improved from 17% relative standard deviation for U-238 signals to 0.1% for the ratio of sample U-238 to spiked U-235, a factor of over two orders of magnitude. These results introduce the concept of solid matrix transformation (SMT) using ABF, and provide proof of principle for a new method of incorporating internal standards into a solid for LA-ICP-MS. This new approach, SMT-LA-ICP-MS, provides opportunities to improve calibration and quantification in solids based analysis. Looking forward, tracer addition to transformed solids opens up LA-based methods to analytical methodologies such as standard addition, isotope dilution, preparation of matrix-matched solid standards, external calibration, and monitoring instrument drift against external calibration standards.

  13. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources duemore » to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.« less

  14. Inductively-coupled plasmas in pure chlorine: comparison experiments/HPEM

    NASA Astrophysics Data System (ADS)

    Booth, Jean-Paul; Sirse, Nishant; Azamoum, Yasmina; Chabert, Pascal

    2012-10-01

    Inductively-coupled plasmas in chlorine-based gas mixtures are widely used for etching of nanometric features in silicon for CMOS device manufacture. This system is also of considerable fundamental interest as an archetype of strongly electronegative plasmas in a simple gas, for which reliable techniques exist to measure the densities of all key species. As such, it is an ideal test-bed for comparison of simulations to experiment. We have developed a technique based on two-photon Laser-Induced Fluorescence to determine the absolute Cl atom density. The Cl surface recombination coefficient was determined from time-resolved measurements in the afterglow. Electron densities were determined by microwave hairpin resonator and EEDF's were measured by Langmuir probe. Whereas the HPEM results were in good agreement at lower pressures (below 10mTorr), electron densities are increasingly underestimated at higher pressures. The gas temperature was measured by Doppler-resolved Infra-red Laser Absorption spectroscopy of Ar metastable atoms (with a small fraction Ar added). At higher pressures the gas temperature was considerably underestimated by the model. The concomitant overestimation of the gas density is a major reason for the disagreement between model and experiment.

  15. Quantitative analysis of major and trace elements in NH4HF2-modified silicate rock powders by laser ablation - inductively coupled plasma mass spectrometry.

    PubMed

    Zhang, Wen; Hu, Zhaochu; Liu, Yongsheng; Yang, Wenwu; Chen, Haihong; Hu, Shenghong; Xiao, Hongyan

    2017-08-29

    In this paper, we described a NH 4 HF 2 digestion method as sample preparation for the rapid determination of major and trace elements in silicate rocks using laser ablation-inductively coupled plasma mass spectrometry (LA-ICP-MS). Sample powders digested by NH 4 HF 2 at 230 °C for 3 h form ultrafine powders with a typical grain size d 80  < 8.5 μm, and various silicate rocks have a consistent grain morphology and size, allowing us to produce pressed powder pellets that have excellent cohesion and homogeneity suitable for laser ablation micro-analysis without the addition of binder. The influences of the digestion parameters were investigated and optimized, including the evaporation stage of removing residual NH 4 HF 2 , sample homogenization, selection of the digestion vessel and calibration strategy of quantitative analysis. The optimized NH 4 HF 2 digestion method was applied to dissolve six silicate rock reference materials (BCR-2, BHVO-2, AGV-2, RGM-2, GSP-2, GSR-1) covering a wide range of rock types. Ten major elements and thirty-five trace elements were simultaneously analyzed by LA-ICP-MS. The analytical results of the six reference materials generally agreed with the recommended values, with discrepancies of less than 10% for most elements. The analytical precision is within 5% for most major elements and within 10% for most trace elements. Compared with previous methods of LA-ICP-MS bulk analysis, our method enables the complete dissolution of refractory minerals, such as zircon, in intermediate-acidic intrusive rocks and limits contamination as well as the loss of volatile elements. Moreover, there are many advantages for the new technique, including reducing matrix effects between reference materials and samples, spiking the internal standard simply and feasibly and sample batch processing. The applicability filed of the new technique in this study was focused on the whole-rock analysis of igneous rock samples, which are from basic rocks to acid

  16. A comparison of laser ablation-inductively coupled plasma-mass spectrometry and high-resolution continuum source graphite furnace molecular absorption spectrometry for the direct determination of bromine in polymers

    NASA Astrophysics Data System (ADS)

    de Gois, Jefferson S.; Van Malderen, Stijn J. M.; Cadorim, Heloisa R.; Welz, Bernhard; Vanhaecke, Frank

    2017-06-01

    This work describes the development and comparison of two methods for the direct determination of Br in polymer samples via solid sampling, one using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) and the other using high-resolution continuum source graphite furnace molecular absorption spectrometry with direct solid sample analysis (HR-CS SS-GF MAS). The methods were optimized and their accuracy was evaluated by comparing the results obtained for 6 polymeric certified reference materials (CRMs) with the corresponding certified values. For Br determination with LA-ICP-MS, the 79Br+ signal could be monitored interference-free. For Br determination via HR-CS SS-GF MAS, the CaBr molecule was monitored at 625.315 nm with integration of the central pixel ± 1. Bromine quantification by LA-ICP-MS was performed via external calibration against a single CRM while using the 12C+ signal as an internal standard. With HR-CS SS-GF MAS, Br quantification could be accomplished using external calibration against aqueous standard solutions. Except for one LA-ICP-MS result, the concentrations obtained with both techniques were in agreement with the certified values within the experimental uncertainty as evidenced using a t-test (95% confidence level). The limit of quantification was determined to be 100 μg g- 1 Br for LA-ICP-MS and 10 μg g- 1 Br for HR-CS SS-GF MAS.

  17. Strontium isotope measurement of basaltic glasses by laser ablation multiple collector inductively coupled plasma mass spectrometry based on a linear relationship between analytical bias and Rb/Sr ratios.

    PubMed

    Zhang, Le; Ren, Zhong-Yuan; Wu, Ya-Dong; Li, Nan

    2018-01-30

    In situ strontium (Sr) isotope analysis of geological samples by laser ablation multiple collector inductively coupled plasma mass spectrometry (LA-MC-ICP-MS) provides useful information about magma mixing, crustal contamination and crystal residence time. Without chemical separation, during Sr isotope analysis with laser ablation, many kinds of interference ions (such as Rb + and Kr + ) are on the Sr isotope spectrum. Most previous in situ Sr isotope studies only focused on Sr-enriched minerals (e.g. plagioclase, calcite). Here we established a simple method for in situ Sr isotope analysis of basaltic glass with Rb/Sr ratio less than 0.14 by LA-MC-ICP-MS. Seven Faraday cups, on a Neptune Plus MC-ICP-MS instrument, were used to receive the signals on m/z 82, 83, 84, 85, 86, 87 and 88 simultaneously for the Sr isotope analysis of basaltic glass. The isobaric interference of 87 Rb was corrected by the peak stripping method. The instrumental mass fractionation of 87 Sr/ 86 Sr was corrected to 86 Sr/ 88 Sr = 0.1194 with an exponential law. Finally, the residual analytical biases of 87 Sr/ 86 Sr were corrected with a relationship between the deviation of 87 Sr/ 86 Sr from the reference values and the measured 87 Rb/ 86 Sr. The validity of the protocol present here was demonstrated by measuring the Sr isotopes of four basaltic glasses, a plagioclase crystal and a piece of modern coral. The measured 87 Sr/ 86 Sr ratios of all these samples agree within 100 ppm with the reference values. In addition, the Sr isotopes of olivine-hosted melt inclusions from the Emeishan large igneous province (LIP) were measured to show the application of our method to real geological samples. A simple but accurate approach for in situ Sr isotope measurement by LA-MC-ICP-MS has been established, which should greatly facilitate the wider application of in situ Sr isotope geochemistry, especially to volcanic rock studies. Copyright © 2017 John Wiley & Sons, Ltd.

  18. Numerical and Experimental Investigation on the Attenuation of Electromagnetic Waves in Unmagnetized Plasmas Using Inductively Coupled Plasma Actuator

    NASA Astrophysics Data System (ADS)

    Lin, Min; Xu, Haojun; Wei, Xiaolong; Liang, Hua; Song, Huimin; Sun, Quan; Zhang, Yanhua

    2015-10-01

    The attenuation of electromagnetic (EM) waves in unmagnetized plasma generated by an inductively coupled plasma (ICP) actuator has been investigated both theoretically and experimentally. A numerical study is conducted to investigate the propagation of EM waves in multilayer plasma structures which cover a square flat plate. Experimentally, an ICP actuator with dimensions of 20 cm×20 cm×4 cm is designed to produce a steady plasma slab. The attenuation of EM waves in the plasma generated by the ICP actuator is measured by a reflectivity arch test method at incident waves of 2.3 GHz and 10.1 GHz, respectively. A contrastive analysis of calculated and measured results of these incident wave frequencies is presented, which suggests that the experiment accords well with our theory. As expected, the plasma slab generated by the ICP actuator can effectively attenuate the EM waves, which may have great potential application prospects in aircraft stealth. supported by National Natural Science Foundation of China (Nos. 51276197, 11472306 and 11402301)

  19. Langmuir Probe Measurements in an Inductively Coupled Ar/CF4 Plasmas

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Meyyappan, M.; Sharma, S. P.; Arnold, James O. (Technical Monitor)

    2000-01-01

    Technological advancement in the microelectronics industry requires an understanding of the physical and chemical processes occurring in plasmas of fluorocarbon gases, such as carbon tetrafluoride (CF4) which is commonly used as an etchant, and their mixtures to optimize various operating parameters. In this paper we report data on electron number density (ne), electron temperature'(Te), electron energy distribution function (EEDF), mean electron energy, ion number density (ni), and plasma potential (Vp) measured by using Langmuir probe in an inductively coupled 13.56 MHz radio frequency plasmas generated in 50%Ar:50%CF4 mixture in the GEC cell. The probe data were recorded at various radial positions providing radial profiles of these plasma parameters at 10-50 mTorr pressures and 200 W and 300 W of RF power. Present measurements indicate that the electron and ion number densities increase with increase in pressure and power. Whereas the plasma potential and electron temperature decrease with increase in pressure, and they weakly depend on RF power. The radial profiles exhibit that the electron and ion number densities and the plasma potential peak at the center of the plasma with an exponential fall away from it, while the electron temperature has a minimum at the center and it increases steadily towards the electrode edge. The EEDFs have a characteristic drop near the low energy end at all pressures and pressures and their shapes represent non-Maxwellian plasma and exhibit more like Druyvesteyn energy distribution.v

  20. Numerical study of the inductive plasma coupling to ramp up the plasma density for the Linac4 H- ion source

    NASA Astrophysics Data System (ADS)

    Ohta, M.; Mattei, S.; Yasumoto, M.; Hatayama, A.; Lettry, J.

    2014-02-01

    In the Linac4 H- ion source, the plasma is generated by an RF antenna operated at 2 MHz. In order to investigate the conditions necessary for ramping up the plasma density of the Linac4 H- ion source in the low plasma density, a numerical study has been performed for a wide range of parameter space of RF coil current and initial pressure from H2 gas injection. We have employed an Electromagnetic Particle in Cell model, in which the collision processes have been calculated by a Monte Carlo method. The results have shown that the range of initial gas pressure from 2 to 3 Pa is suitable for ramping up plasma density via inductive coupling.

  1. Study on the RF inductively coupled plasma spheroidization of refractory W and W-Ta alloy powders

    NASA Astrophysics Data System (ADS)

    Chenfan, YU; Xin, ZHOU; Dianzheng, WANG; Neuyen VAN, LINH; Wei, LIU

    2018-01-01

    Spherical powders with good flowability and high stacking density are mandatory for powder bed additive manufacturing. Nevertheless, the preparation of spherical refractory tungsten and tungsten alloy powders is a formidable task. In this paper, spherical refractory metal powders processed by high-energy stir ball milling and RF inductively coupled plasma were investigated. By utilizing the technical route, pure spherical tungsten powders were prepared successfully, the flowability increased from 10.7 s/50 g to 5.5 s/50 g and apparent density increased from 6.916 g cm-3 to 11.041 g cm-3. Alloying element tantalum can reduce the tendency to micro-crack during tungsten laser melting and rapid solidification process. Spherical W-6Ta (%wt) powders were prepared in this way, homogeneous dispersion of tantalum in a tungsten matrix occurred but a small amount of flake-like shape particles appeared after high-energy stir ball milling. The flake-like shape particles can hardly be spheroidized in subsequent RF inductively coupled plasma process, might result from the unique suspended state of flaky particles under complex electric and magnetic fields as well as plasma-particle heat exchange was different under various turbulence models. As a result, the flake-like shape particles cannot pass through the high-temperature area of thermal plasma torch and cannot be spheroidized properly.

  2. Growth of vertically aligned carbon nanofibers by low-pressure inductively coupled plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.

    2003-08-01

    Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.

  3. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  4. Atlas of Atomic Spectral Lines of Neptunium Emitted by Inductively Coupled Plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeKalb, E.L. and Edelson, M. C.

    1987-08-01

    Optical emission spectra from high-purity Np-237 were generated with a glovebox-enclosed inductively coupled plasma (ICP) source. Spectra covering the 230-700 nm wavelength range are presented along with general commentary on the methodology used in collecting the data. The Ames Laboratory Nuclear Safeguards and Security Program has been charged with the task of developing optical spectroscopic methods to analyze the composition of spent nuclear fuels. Such materials are highly radioactive even after prolonged 'cooling' and are chemically complex. Neptunium (Np) is a highly toxic by-product of nuclear power generation and is found, in low abundance, in spent nuclear fuels. This atlasmore » of the optical emission spectrum of Np, as produced by an inductively coupled plasma (ICP) spectroscopic source, is part of a general survey of the ICP emission spectra of the actinide elements. The ICP emission spectrum of the actinides originates almost exclusively from the electronic relaxation of excited, singly ionized species. Spectral data on the Np ion emission spectrum (i.e., the Np II spectrum) have been reported by Tomkins and Fred [1] and Haaland [2]. Tomkins and Fred excited the Np II spectrum with a Cu spark discharge and identified 114 Np lines in the 265.5 - 436.3 nm spectral range. Haaland, who corrected some spectral line misidentifications in the work of Tomkins and Fred, utilized an enclosed Au spark discharge to excite the Np II spectrum and reported 203 Np lines within the 265.4 - 461.0 nm wavelength range.« less

  5. Multi-elemental analysis of aqueous geochemical samples by quadrupole inductively coupled plasma-mass spectrometry (ICP-MS)

    USGS Publications Warehouse

    Wolf, Ruth E.; Adams, Monique

    2015-01-01

    Typically, quadrupole inductively coupled plasma-mass spectrometry (ICP-MS) is used to determine as many as 57 major, minor, and trace elements in aqueous geochemical samples, including natural surface water and groundwater, acid mine drainage water, and extracts or leachates from geological samples. The sample solution is aspirated into the inductively coupled plasma (ICP) which is an electrodeless discharge of ionized argon gas at a temperature of approximately 6,000 degrees Celsius. The elements in the sample solution are subsequently volatilized, atomized, and ionized by the ICP. The ions generated are then focused and introduced into a quadrupole mass filter which only allows one mass to reach the detector at a given moment in time. As the settings of the mass analyzer change, subsequent masses are allowed to impact the detector. Although the typical quadrupole ICP-MS system is a sequential scanning instrument (determining each mass separately), the scan speed of modern instruments is on the order of several thousand masses per second. Consequently, typical total sample analysis times of 2–3 minutes are readily achievable for up to 57 elements.

  6. Independent control of electron energy and density using a rotating magnetic field in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Kondo, Takahiro; Ohta, Masayuki; Ito, Tsuyohito; Okada, Shigefumi

    2013-09-01

    Effects of a rotating magnetic field (RMF) on the electron energy distribution function (EEDF) and on the electron density are investigated with the aim of controlling the radical composition of inductively coupled plasmas. By adjusting the RMF frequency and generation power, the desired electron density and electron energy shift are obtained. Consequently, the amount and fraction of high-energy electrons, which are mostly responsible for direct dissociation processes of raw molecules, will be controlled externally. This controllability, with no electrode exposed to plasma, will enable us to control radical components and their flux during plasma processing.

  7. Qualitative and quantitative spectro-chemical analysis of dates using UV-pulsed laser induced breakdown spectroscopy and inductively coupled plasma mass spectrometry.

    PubMed

    Mehder, A O; Habibullah, Y B; Gondal, M A; Baig, Umair

    2016-08-01

    Laser Induced Breakdown Spectroscopy (LIBS) is demonstrated for the spectral analysis of nutritional and toxic elements present in several varieties of date fruit samples available in the Saudi Arabia market. The method analyzes the optical emission of a test sample when subjected to pulsed laser ablation. In this demonstration, our primary focus is on calcium (Ca) and magnesium (Mg), as nutritional elements, and on chromium (Cr), as a toxic element. The local thermodynamic equilibrium (LTE) condition was confirmed prior to the elemental characterization of date samples to ensure accuracy of the LIBS analysis. This was achieved by measuring parameters associated with the plasma, such as the electron temperature and the electron number density. These plasma parameters aid interpretation of processes such as ionization, dissociation, and excitation occurring in the plasma plume formed by ablating the date palm sample. The minimum detection limit was established from calibration curves that involved plotting the LIBS signal intensity as a function of standard date samples with known concentrations. The concentration of Ca and Mg detected in different varieties of date samples was between 187 and 515 and 35-196mgL(-1) respectively, while Cr concentration measured between 1.72 and 7.76mgL(-1). In order to optimize our LIBS system, we have studied how the LIBS signal intensity depends on the incident laser energy and the delay time. In order to validate our LIBS analysis results, standard techniques such as inductively coupled plasma mass spectrometry (ICP-MS) were also applied on an identical (duplicate) date samples as those used for the LIBS analysis. The LIBS results exhibit remarkable agreement with those obtained from the ICP-MS analysis. In addition, the finger print wavelengths of other elements present in date samples were also identified and are reported here, which has not been previously reported, to the best of our knowledge. Copyright © 2016 Elsevier B

  8. Coupling nanoliter high-performance liquid chromatography to inductively coupled plasma mass spectrometry for arsenic speciation.

    PubMed

    Cheng, Heyong; Shen, Lihuan; Liu, Jinhua; Xu, Zigang; Wang, Yuanchao

    2018-04-01

    Nanoliter high-performance liquid chromatography shows low consumption of solvents and samples, offering one of the best choices for arsenic speciation in precious samples in combination with inuctively coupled plasma mass spectrometry. A systematic investigation on coupling nanoliter high-performance liquid chromatography to inductively coupled plasma mass spectrometry from instrument design to injected sample volume and mobile phase was performed in this study. Nanoflow mobile phase was delivered by flow splitting using a conventional high-pressure pump with reuse of mobile phase waste. Dead volume was minimized to 60 nL for the sheathless interface based on the previously developed nanonebulizer. Capillary columns for nanoliter high-performance liquid chromatography were found to be sensitive to sample loading volume. An apparent difference was also found between the mobile phases for nanoliter and conventional high-performance liquid chromatography. Baseline separation of arsenite, arsenate, monomethylarsenic, and dimethylarsenic was achieved within 11 min on a 15 cm C 18 capillary column and within 12 min on a 25 cm strong anion exchange column. Detection limits of 0.9-1.8 μg/L were obtained with precisions variable in the range of 1.6-4.2%. A good agreement between determined and certified values of a certified reference material of human urine (GBW 09115) validated its accuracy along with good recoveries (87-102%). © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. The potential of using laser ablation inductively coupled plasma time of flight mass spectrometry (LA-ICP-TOF-MS) in the forensic analysis of micro debris.

    PubMed

    Scadding, Cameron J; Watling, R John; Thomas, Allen G

    2005-08-15

    The majority of crimes result in the generation of some form of physical evidence, which is available for collection by crime scene investigators or police. However, this debris is often limited in amount as modern criminals become more aware of its potential value to forensic scientists. The requirement to obtain robust evidence from increasingly smaller sized samples has required refinement and modification of old analytical techniques and the development of new ones. This paper describes a new method for the analysis of oxy-acetylene debris, left behind at a crime scene, and the establishment of its co-provenance with single particles of equivalent debris found on the clothing of persons of interest (POI). The ability to rapidly determine and match the elemental distribution patterns of debris collected from crime scenes to those recovered from persons of interest is essential in ensuring successful prosecution. Traditionally, relatively large amounts of sample (up to several milligrams) have been required to obtain a reliable elemental fingerprint of this type of material [R.J. Walting , B.F. Lynch, D. Herring, J. Anal. At. Spectrom. 12 (1997) 195]. However, this quantity of material is unlikely to be recovered from a POI. This paper describes the development and application of laser ablation inductively coupled plasma time of flight mass spectrometry (LA-ICP-TOF-MS), as an analytical protocol, which can be applied more appropriately to the analysis of micro-debris than conventional quadrupole based mass spectrometry. The resulting data, for debris as small as 70mum in diameter, was unambiguously matched between a single spherule recovered from a POI and a spherule recovered from the scene of crime, in an analytical procedure taking less than 5min.

  10. Quasi ?non-destructive? laser ablation-inductively coupled plasma-mass spectrometry fingerprinting of sapphires

    NASA Astrophysics Data System (ADS)

    Guillong, M.; Günther, D.

    2001-07-01

    A homogenized 193 nm excimer laser with a flat-top beam profile was used to study the capabilities of LA-ICP-MS for 'quasi' non-destructive fingerprinting and sourcing of sapphires from different locations. Sapphires contain 97-99% of Al 2O 3 (corundum), with the remainder composed of several trace elements, which can be used to distinguish the origin of these gemstones. The ablation behavior of sapphires, as well as the minimum quantity of sample removal that is required to determine these trace elements, was investigated. The optimum ablation conditions were a fluency of 6 J cm -2, a crater diameter of 120 μm, and a laser repetition rate of 10 Hz. The optimum time for the ablation was determined to be 2 s, equivalent to 20 laser pulses. The mean sample removal was 60 nm per pulse (approx. 3 ng per pulse). This allowed satisfactory trace element determination, and was found to cause the minimum amount of damage, while allowing for the fingerprinting of sapphires. More than 40 isotopes were measured using different spatial resolutions (20-120 μm) and eight elements were reproducibly detected in 25 sapphire samples from five different locations. The reproducibility of the trace element distribution is limited by the heterogeneity of the sample. The mean of five or more replicate analyses per sample was used. Calibration was carried out using NIST 612 glass reference material as external standard. The linear dynamic range of the ICP-MS (nine orders of magnitude) allowed the use of Al, the major element in sapphire, as an internal standard. The limits of detection for most of the light elements were in the μg g -1 range and were better for heavier elements (mass >85), being in the 0.1 μg g -1 range. The accuracy of the determinations was demonstrated by comparison with XRF analyses of the same set of samples. Using the quantitative analyses obtained using LA-ICP-MS, natural sapphires from five different origins were statistically classified using ternary plots and

  11. Inductive plasmas for plasma processing

    NASA Astrophysics Data System (ADS)

    Keller, John H.

    1996-05-01

    With the need for high plasma density and low pressure in single wafer etching tools, a number of inductive etching systems have been and are being developed for commercial sale. This paper reviews some of the history of low-pressure inductive plasmas, gives features of inductive plasmas, limitations, corrections and presents uses for plasma processing. The theory for the skin depth, rf coil impedance and efficiency is also discussed.

  12. Influence of heat and particle fluxes nonlocality on spatial distribution of plasma density in two-chamber inductively coupled plasma sources

    NASA Astrophysics Data System (ADS)

    Kudryavtsev, A. A.; Serditov, K. Yu.

    2012-07-01

    This study presents 2D simulations of the two-chamber inductively coupled plasma source where power is supplied in the small discharge chamber and extends by electron thermal conductivity mechanism to the big diffusion chamber. Depending on pressure, two main scenarios of plasma density and its spatial distribution behavior were identified. One case is characterized by the localization of plasma in the small driver chamber where power is deposed. Another case describes when the diffusion chamber becomes the main source of plasma with maximum of the electron density. The differences in spatial distribution are caused by local or non-local behavior of electron energy transport in the discharge volume due to different characteristic scale of heat transfer with electronic conductivity.

  13. Laser ablation in analytical chemistry - A review

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Russo, Richard E.; Mao, Xianglei; Liu, Haichen

    Laser ablation is becoming a dominant technology for direct solid sampling in analytical chemistry. Laser ablation refers to the process in which an intense burst of energy delivered by a short laser pulse is used to sample (remove a portion of) a material. The advantages of laser ablation chemical analysis include direct characterization of solids, no chemical procedures for dissolution, reduced risk of contamination or sample loss, analysis of very small samples not separable for solution analysis, and determination of spatial distributions of elemental composition. This review describes recent research to understand and utilize laser ablation for direct solid sampling,more » with emphasis on sample introduction to an inductively coupled plasma (ICP). Current research related to contemporary experimental systems, calibration and optimization, and fractionation is discussed, with a summary of applications in several areas.« less

  14. Erosion behavior of CVD 3C silicon carbide in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Brooks, Mitchell R.

    2010-11-01

    An electrostatic, capacitively coupled Planar Ion Flux (PIF) probe has been developed as a sensor for use in high volume reactive ion etch (RIE) chambers. An important factor in the design is the material used for the probe collection area that is exposed to the plasma. For use in inductively coupled plasma chambers, bulk-deposited, 3C silicon carbide (SiC) was chosen. The primary objective of this work was to characterize the erosion behavior of the probe tip throughout repeated cycling for 100 RF hours (RFH). Surface morphology, roughness, and composition were documented at the beginning and end of cycling. In addition, the mass of the probe tip was documented three times throughout the experiment. This was used to calculate the wear rate which averaged ~100 mug/RFH. Although physical and chemical mechanisms were evident, it appears that preferential sputtering at pre-existing surface defects had the greatest influence on the erosion behavior. Additionally, an investigation into the sudden abnormal electrical behavior of the probe yielded the conclusion that the added capacitance of a deposited film reduces the number of data points in the ion saturation region used to fit the experimental data. This results in excessive values for extracted plasma parameters, most notably the electron temperature. However, this is only a temporary condition if the film can be removed.

  15. Methods for detecting and correcting inaccurate results in inductively coupled plasma-atomic emission spectrometry

    DOEpatents

    Chan, George C. Y. [Bloomington, IN; Hieftje, Gary M [Bloomington, IN

    2010-08-03

    A method for detecting and correcting inaccurate results in inductively coupled plasma-atomic emission spectrometry (ICP-AES). ICP-AES analysis is performed across a plurality of selected locations in the plasma on an unknown sample, collecting the light intensity at one or more selected wavelengths of one or more sought-for analytes, creating a first dataset. The first dataset is then calibrated with a calibration dataset creating a calibrated first dataset curve. If the calibrated first dataset curve has a variability along the location within the plasma for a selected wavelength, errors are present. Plasma-related errors are then corrected by diluting the unknown sample and performing the same ICP-AES analysis on the diluted unknown sample creating a calibrated second dataset curve (accounting for the dilution) for the one or more sought-for analytes. The cross-over point of the calibrated dataset curves yields the corrected value (free from plasma related errors) for each sought-for analyte.

  16. Characteristics of pulsed dual frequency inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Seo, Jin Seok; Kim, Kyoung Nam; Kim, Ki Seok; Kim, Tae Hyung; Yeom, Geun Young

    2015-01-01

    To control the plasma characteristics more efficiently, a dual antenna inductively coupled plasma (DF-ICP) source composed of a 12-turn inner antenna operated at 2 MHz and a 3-turn outer antenna at 13.56 MHz was pulsed. The effects of pulsing to each antenna on the change of plasma characteristics and SiO2 etch characteristics using Ar/C4F8 gas mixtures were investigated. When the duty percentage was decreased from continuous wave (CW) mode to 30% for the inner or outer ICP antenna, decrease of the average electron temperature was observed for the pulsing of each antenna. Increase of the CF2/F ratio was also observed with decreasing duty percentage of each antenna, indicating decreased dissociation of the C4F8 gas due to the decreased average electron temperature. When SiO2 etching was investigated as a function of pulse duty percentage, increase of the etch selectivity of SiO2 over amorphous carbon layer (ACL) was observed while decreasing the SiO2 etch rate. The increase of etch selectivity was related to the change of gas dissociation characteristics, as observed by the decrease of average electron temperature and consequent increase of the CF2/F ratio. The decrease of the SiO2 etch rate could be compensated for by using the rf power compensated mode, that is, by maintaining the same time-average rf power during pulsing, instead of using the conventional pulsing mode. Through use of the power compensated mode, increased etch selectivity of SiO2/ACL similar to the conventional pulsing mode could be observed without significant decrease of the SiO2 etch rate. Finally, by using the rf power compensated mode while pulsing rf powers to both antennas, the plasma uniformity over the 300 mm diameter substrate could be improved from 7% for the CW conditions to about around 3.3% with the duty percentage of 30%.

  17. Inductively coupled plasma mass spectrometry (ICP MS): a versatile tool.

    PubMed

    Ammann, Adrian A

    2007-04-01

    Inductively coupled plasma (ICP) mass spectrometry (MS) is routinely used in many diverse research fields such as earth, environmental, life and forensic sciences and in food, material, chemical, semiconductor and nuclear industries. The high ion density and the high temperature in a plasma provide an ideal atomizer and element ionizer for all types of samples and matrices introduced by a variety of specialized devices. Outstanding properties such as high sensitivity (ppt-ppq), relative salt tolerance, compound-independent element response and highest quantitation accuracy lead to the unchallenged performance of ICP MS in efficiently detecting, identifying and reliably quantifying trace elements. The increasing availability of relevant reference compounds and high separation selectivity extend the molecular identification capability of ICP MS hyphenated to species-specific separation techniques. While molecular ion source MS is specialized in determining the structure of unknown molecules, ICP MS is an efficient and highly sensitive tool for target-element orientated discoveries of relevant and unknown compounds. This special-feature, tutorial article presents the principle and advantages of ICP MS, highlighting these using examples from recently published investigations. Copyright 2007 John Wiley & Sons, Ltd.

  18. Bayesian Integration and Classification of Composition C-4 Plastic Explosives Based on Time-of-Flight-Secondary Ion Mass Spectrometry and Laser Ablation-Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Mahoney, Christine M; Kelly, Ryan T; Alexander, Liz; Newburn, Matt; Bader, Sydney; Ewing, Robert G; Fahey, Albert J; Atkinson, David A; Beagley, Nathaniel

    2016-04-05

    Time-of-flight-secondary ion mass spectrometry (TOF-SIMS) and laser ablation-inductively coupled plasma mass spectrometry (LA-ICPMS) were used for characterization and identification of unique signatures from a series of 18 Composition C-4 plastic explosives. The samples were obtained from various commercial and military sources around the country. Positive and negative ion TOF-SIMS data were acquired directly from the C-4 residue on Si surfaces, where the positive ion mass spectra obtained were consistent with the major composition of organic additives, and the negative ion mass spectra were more consistent with explosive content in the C-4 samples. Each series of mass spectra was subjected to partial least squares-discriminant analysis (PLS-DA), a multivariate statistical analysis approach which serves to first find the areas of maximum variance within different classes of C-4 and subsequently to classify unknown samples based on correlations between the unknown data set and the original data set (often referred to as a training data set). This method was able to successfully classify test samples of C-4, though with a limited degree of certainty. The classification accuracy of the method was further improved by integrating the positive and negative ion data using a Bayesian approach. The TOF-SIMS data was combined with a second analytical method, LA-ICPMS, which was used to analyze elemental signatures in the C-4. The integrated data were able to classify test samples with a high degree of certainty. Results indicate that this Bayesian integrated approach constitutes a robust classification method that should be employable even in dirty samples collected in the field.

  19. ISOTOPE DILUTION ANALYSIS OF BROMATE IN DRINKING WATER MATRIXES BY ION CHROMATOGRAPHY WITH INDUCTIVELY COUPLED PLASMA MASS SPECTROMETRIC DETECTION

    EPA Science Inventory

    Bromate is a disinfection byproduct in drinking water which is formed during the ozonation of source water containing bromide. This paper described the analysis of bromate via ion chromatography-inductively coupled plasma mass spectrometry. The separation of bromate from interfer...

  20. A study on the maximum power transfer condition in an inductively coupled plasma using transformer circuit model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Young-Do; Lee, Hyo-Chang; Chung, Chin-Wook

    Correlations between the external discharge parameters (the driving frequency ω and the chamber dimension R) and plasma characteristics (the skin depth δ and the electron-neutral collision frequency ν{sub m}) are studied using the transformer circuit model [R. B. Piejak et al., Plasma Sources Sci. Technol. 1, 179 (1992)] when the absorbed power is maximized in an inductively coupled plasma. From the analysis of the transformer circuit model, the maximum power transfer conditions, which depend on the external discharge parameters and the internal plasma characteristics, were obtained. It was found that a maximum power transfer occurs when δ≈0.38R for the dischargemore » condition at which ν{sub m}/ω≪1, while it occurs when δ≈√(2)√(ω/ν{sub m})R for the discharge condition at which ν{sub m}/ω≫1. The results of this circuit analysis are consistent with the stable last inductive mode region of an inductive-to-capacitive mode transition [Lee and Chung, Phys. Plasmas 13, 063510 (2006)], which was theoretically derived from Maxwell's equations. Our results were also in agreement with the experimental results. From this work, we demonstrate that a simple circuit analysis can be applied to explain complex physical phenomena to a certain extent.« less

  1. Gas chromatography--inductively coupled plasma--time-of-flight mass spectrometry for the speciation analysis of organolead compounds in environmental water samples.

    PubMed

    Heisterkamp, M; Adams, F C

    2001-07-01

    The application of inductively coupled plasma--time-of-flight mass spectrometry for the speciation analysis of organolead compounds in environmental waters is described. Construction of the transfer line was achieved by means of a relatively simple and rapid coupling procedure. Derivatization of the ionic lead species was achieved by in-situ propylation with sodium tetrapropylborate; simultaneous extraction of the derivatized compounds in hexane was followed by separation and detection by capillary gas chromatography hyphenated to inductively coupled plasma-time-of-flight mass spectrometry. Detection limits for the different organolead species ranged from 10 to 15 fg (as Pb), corresponding to procedural detection limits between 50 and 75 ng L(-1), on the basis of a 50 mL snow sample, extraction with 200 microL hexane, and subsequent injection of 1 microL of the organic extract on to the column. The accuracy of the system was confirmed by additional analysis of the water samples by capillary gas chromatography coupled with microwave-induced plasma-atomic-emission spectrometry and the analysis of a standard reference material CRM 605 (road dust) with a certified content of trimethyllead.

  2. Behavior of microorganisms in drinking water treatment by inductively coupled plasma system: Case study in ground water

    NASA Astrophysics Data System (ADS)

    Desmiarti, Reni; Hazmi, Ariadi; Martynis, Munas; Sutopo, Ulung Muhammad; Li, Fusheng

    2018-02-01

    Pathogenic bacteria, such as total coliforms (TC), fecal coliforms (FC) and other coliforms (OC), were removed from groundwater by inductively coupled plasma system treatment in continuous flow experiments. The objective of this study is to investigate the effect of flowrate and frequency on the behavior of microorganisms in drinking water treatment using inductively coupled plasma system (ICPS). The results showed that after 120 minutes of ICPS treatment, the removal efficiency with respect to TC, FC and OC decreased with increasing flowrate. The removal efficiency of FC was achieved at 100% in all runs. Compared to FC, the removal efficiencies with respect to TC and FC were lower than those with respect to TC and OC in the following order: FC >OC> TC. The disinfection yield of TC and OC significantly increased when the removal efficiency increased. The electromagnetic flux varied from 8.08±0.46 to 10.54±0.19 W/cm2. The results in the present work can be used to design a new technology for drinking water treatment to remove all pathogenic bacteria without using hazardous chemicals.

  3. Comparison of Analytical Methods for the Determination of Uranium in Seawater Using Inductively Coupled Plasma Mass Spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wood, Jordana R.; Gill, Gary A.; Kuo, Li-Jung

    2016-04-20

    Trace element determinations in seawater by inductively coupled plasma mass spectrometry are analytically challenging due to the typically very low concentrations of the trace elements and the potential interference of the salt matrix. In this study, we did a comparison for uranium analysis using inductively coupled plasma mass spectrometry (ICP-MS) of Sequim Bay seawater samples and three seawater certified reference materials (SLEW-3, CASS-5 and NASS-6) using seven different analytical approaches. The methods evaluated include: direct analysis, Fe/Pd reductive precipitation, standard addition calibration, online automated dilution using an external calibration with and without matrix matching, and online automated pre-concentration. The methodmore » which produced the most accurate results was the method of standard addition calibration, recovering uranium from a Sequim Bay seawater sample at 101 ± 1.2%. The on-line preconcentration method and the automated dilution with matrix-matched calibration method also performed well. The two least effective methods were the direct analysis and the Fe/Pd reductive precipitation using sodium borohydride« less

  4. Elemental labelling combined with liquid chromatography inductively coupled plasma mass spectrometry for quantification of biomolecules: A review

    PubMed Central

    Kretschy, Daniela; Koellensperger, Gunda; Hann, Stephan

    2012-01-01

    This article reviews novel quantification concepts where elemental labelling is combined with flow injection inductively coupled plasma mass spectrometry (FI-ICP-MS) or liquid chromatography inductively coupled plasma mass spectrometry (LC–ICP-MS), and employed for quantification of biomolecules such as proteins, peptides and related molecules in challenging sample matrices. In the first sections an overview on general aspects of biomolecule quantification, as well as of labelling will be presented emphasizing the potential, which lies in such methodological approaches. In this context, ICP-MS as detector provides high sensitivity, selectivity and robustness in biological samples and offers the capability for multiplexing and isotope dilution mass spectrometry (IDMS). Fundamental methodology of elemental labelling will be highlighted and analytical, as well as biomedical applications will be presented. A special focus will lie on established applications underlining benefits and bottlenecks of such approaches for the implementation in real life analysis. Key research made in this field will be summarized and a perspective for future developments including sophisticated and innovative applications will given. PMID:23062431

  5. External control of electron energy distributions in a dual tandem inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Liu, Lei; Sridhar, Shyam; Zhu, Weiye; Donnelly, Vincent M.; Economou, Demetre J.; Logue, Michael D.; Kushner, Mark J.

    2015-08-01

    The control of electron energy probability functions (EEPFs) in low pressure partially ionized plasmas is typically accomplished through the format of the applied power. For example, through the use of pulse power, the EEPF can be modulated to produce shapes not possible under continuous wave excitation. This technique uses internal control. In this paper, we discuss a method for external control of EEPFs by transport of electrons between separately powered inductively coupled plasmas (ICPs). The reactor incorporates dual ICP sources (main and auxiliary) in a tandem geometry whose plasma volumes are separated by a grid. The auxiliary ICP is continuously powered while the main ICP is pulsed. Langmuir probe measurements of the EEPFs during the afterglow of the main ICP suggests that transport of hot electrons from the auxiliary plasma provided what is effectively an external source of energetic electrons. The tail of the EEPF and bulk electron temperature were then elevated in the afterglow of the main ICP by this external source of power. Results from a computer simulation for the evolution of the EEPFs concur with measured trends.

  6. The role of laser wavelength on plasma generation and expansion of ablation plumes in air

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hussein, A. E.; Department of Physics, McGill University, Montreal, Quebec H3A 0G4; Diwakar, P. K.

    2013-04-14

    We investigated the role of excitation laser wavelength on plasma generation and the expansion and confinement of ablation plumes at early times (0-500 ns) in the presence of atmospheric pressure. Fundamental, second, and fourth harmonic radiation from Nd:YAG laser was focused on Al target to produce plasma. Shadowgraphy, fast photography, and optical emission spectroscopy were employed to analyze the plasma plumes, and white light interferometry was used to characterize the laser ablation craters. Our results indicated that excitation wavelength plays a crucial role in laser-target and laser-plasma coupling, which in turn affects plasma plume morphology and radiation emission. Fast photographymore » and shadowgraphy images showed that plasmas generated by 1064 nm are more cylindrical compared to plasmas generated by shorter wavelengths, indicating the role of inverse bremsstrahlung absorption at longer laser wavelength excitation. Electron density estimates using Stark broadening showed higher densities for shorter wavelength laser generated plasmas, demonstrating the significance of absorption caused by photoionization. Crater depth analysis showed that ablated mass is significantly higher for UV wavelengths compared to IR laser radiation. In this experimental study, the use of multiple diagnostic tools provided a comprehensive picture of the differing roles of laser absorption mechanisms during ablation.« less

  7. Measurement of the surface charge accumulation using anodic aluminum oxide(AAO) structure in an inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hwan; Oh, Seung-Ju; Lee, Hyo-Chang; Kim, Yu-Sin; Kim, Young-Cheol; Kim, June Young; Ha, Chang-Seoung; Kwon, Soon-Ho; Lee, Jung-Joong; Chung, Chin-Wook

    2014-10-01

    As the critical dimension of the nano-device shrinks, an undesired etch profile occurs during plasma etch process. One of the reasons is the local electric field due to the surface charge accumulation. To demonstrate the surface charge accumulation, an anodic aluminum oxide (AAO) membrane which has high aspect ratio is used. The potential difference between top electrode and bottom electrode in an anodic aluminum oxide contact structure is measured during inductively coupled plasma exposure. The voltage difference is changed with external discharge conditions, such as gas pressure, input power, and gas species and the result is analyzed with the measured plasma parameters.

  8. Investigating the influence of standard staining procedures on the copper distribution and concentration in Wilson's disease liver samples by laser ablation-inductively coupled plasma-mass spectrometry.

    PubMed

    Hachmöller, Oliver; Aichler, Michaela; Schwamborn, Kristina; Lutz, Lisa; Werner, Martin; Sperling, Michael; Walch, Axel; Karst, Uwe

    2017-12-01

    The influence of rhodanine and haematoxylin and eosin (HE) staining on the copper distribution and concentration in liver needle biopsy samples originating from patients with Wilson's disease (WD), a rare autosomal recessive inherited disorder of the copper metabolism, is investigated. In contemporary diagnostic of WD, rhodanine staining is used for histopathology, since rhodanine and copper are forming a red to orange-red complex, which can be recognized in the liver tissue using a microscope. In this paper, a laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) method is applied for the analysis of eight different WD liver samples. Apart from a spatially resolved elemental detection as qualitative information, this LA-ICP-MS method offers also quantitative information by external calibration with matrix-matched gelatine standards. The sample set of this work included an unstained and a rhodanine stained section of each WD liver sample. While unstained sections of WD liver samples showed very distinct structures of the copper distribution with high copper concentrations, rhodanine stained sections revealed a blurred copper distribution with significant decreased concentrations in a range from 20 to more than 90%. This implies a copper removal from the liver tissue by complexation during the rhodanine staining. In contrast to this, a further HE stained sample of one WD liver sample did not show a significant decrease in the copper concentration and influence on the copper distribution in comparison to the unstained section. Therefore, HE staining can be combined with the analysis by means of LA-ICP-MS in two successive steps from one thin section of a biopsy specimen. This allows further information to be gained on the elemental distribution by LA-ICP-MS additional to results obtained by histological staining. Copyright © 2017 Elsevier GmbH. All rights reserved.

  9. The effect of hydrogen on B4C coatings fabrication in inductively coupled plasma torch

    NASA Astrophysics Data System (ADS)

    Guo, Q. J.; Zhao, P.; Li, L.; Zhou, Q. J.; Ni, G. H.; Meng, Y. D.

    2018-02-01

    Boron carbide (B4C) coatings are prepared by an RF inductively coupled plasma (ICP) torch with different amounts of hydrogen introduced into the sheath gas. The effects of the added hydrogen on the characteristics of the plasma are diagnosed by optical emission spectroscopy and high speed photography. The effects on the melting of B4C particles in the plasma are studied by scanning electron microscopy (SEM). The microstructure of the B4C coatings was determined with SEM imaging and x-ray diffraction analysis. The results show that adding hydrogen to the sheath gas leads to plasma contraction, which results in higher gas temperature of plasma. It also enhances B4C particles spheroidizing and improves the compactness of B4C coatings. Plasma processing does not change the main phase of boron carbide. The obtained results on B4C coatings on Cu substrates allows for improving the B4C coatings fabrication process.

  10. Femtosecond Laser Ablation Multicollector ICPMS Analysis of Uranium Isotopes in NIST Glass

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duffin, Andrew M.; Springer, Kellen WE; Ward, Jesse D.

    We have utilized femtosecond laser ablation coupled to multi-collector inductively couple plasma mass spectrometry to measure the uranium isotopic content of NIST 61x (x=0,2,4,6) glasses. The uranium content of these glasses is a linear two-component mixing between isotopically natural uranium and the isotopically depleted spike used in preparing the glasses. Laser ablation results match extremely well, generally within a few ppm, with solution analysis following sample dissolution and chemical separation. In addition to isotopic data, sample utilization efficiency measurements indicate that over 1% of ablated uranium atoms reach a mass spectrometer detector, making this technique extremely efficient. Laser sampling alsomore » allows for spatial analysis and our data indicate that rare uranium concentration inhomogeneities exist in NIST 616 glass.« less

  11. Etching Characteristics of VO2 Thin Films Using Inductively Coupled Cl2/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Ham, Yong-Hyun; Efremov, Alexander; Min, Nam-Ki; Lee, Hyun Woo; Yun, Sun Jin; Kwon, Kwang-Ho

    2009-08-01

    A study on both etching characteristics and mechanism of VO2 thin films in the Cl2/Ar inductively coupled plasma was carried. The variable parameters were gas pressure (4-10 mTorr) and input power (400-700 W) at fixed bias power of 150 W and initial mixture composition of 25% Cl2 + 75% Ar. It was found that an increase in both gas pressure and input power results in increasing VO2 etch rate while the etch selectivity over photoresist keeps a near to constant values. Plasma diagnostics by Langmuir probes and zero-dimensional plasma model provided the data on plasma parameters, steady-state densities and fluxes of active species on the etched surface. The model-based analysis of the etch mechanism showed that, for the given ranges of operating conditions, the VO2 etch kinetics corresponds to the transitional regime of ion-assisted chemical reaction and is influenced by both neutral and ion fluxes with a higher sensitivity to the neutral flux.

  12. Battery-powered pulsed high density inductively coupled plasma source for pre-ionization in laboratory astrophysics experiments.

    PubMed

    Chaplin, Vernon H; Bellan, Paul M

    2015-07-01

    An electrically floating radiofrequency (RF) pre-ionization plasma source has been developed to enable neutral gas breakdown at lower pressures and to access new experimental regimes in the Caltech laboratory astrophysics experiments. The source uses a customized 13.56 MHz class D RF power amplifier that is powered by AA batteries, allowing it to safely float at 3-6 kV with the electrodes of the high voltage pulsed power experiments. The amplifier, which is capable of 3 kW output power in pulsed (<1 ms) operation, couples electrical energy to the plasma through an antenna external to the 1.1 cm radius discharge tube. By comparing the predictions of a global equilibrium discharge model with the measured scalings of plasma density with RF power input and axial magnetic field strength, we demonstrate that inductive coupling (rather than capacitive coupling or wave damping) is the dominant energy transfer mechanism. Peak ion densities exceeding 5 × 10(19) m(-3) in argon gas at 30 mTorr have been achieved with and without a background field. Installation of the pre-ionization source on a magnetohydrodynamically driven jet experiment reduced the breakdown time and jitter and allowed for the creation of hotter, faster argon plasma jets than was previously possible.

  13. Investigation of the RF efficiency of inductively coupled hydrogen plasmas at 1 MHz

    NASA Astrophysics Data System (ADS)

    Rauner, D.; Mattei, S.; Briefi, S.; Fantz, U.; Hatayama, A.; Lettry, J.; Nishida, K.; Tran, M. Q.

    2017-08-01

    The power requirements of RF heated sources for negative hydrogen ions in fusion are substantial, which poses strong demands on the generators and components of the RF circuit. Consequently, an increase of the RF coupling efficiency would be highly beneficial. Fundamental investigations of the RF efficiency in inductively coupled hydrogen and deuterium discharges in cylindrical symmetry are conducted at the lab experiment CHARLIE. The experiment is equipped with several diagnostics including optical emission spectroscopy and a movable floating double probe to monitor the plasma parameters. The presented investigations are performed in hydrogen at a varying pressure between 0.3 and 10 Pa, utilizing a conventional helical ICP coil driven at a frequency of 1 MHz and a fixed power of 520 W for plasma generation. The coupling efficiency is strongly affected by the variation in pressure, reaching up to 85 % between 1 and 3 Pa while dropping down to only 50 % at 0.3 Pa, which is the relevant operating pressure for negative hydrogen ion sources for fusion. Due to the lower power coupling, also the measured electron density at 0.3 Pa is only 5 . 1016 m-3, while it reaches up to 2.5 . 1017 m-3 with increasing coupling efficiency. In order to gain information on the spatially resolved aspects of RF coupling and plasma heating which are not diagnostically accessible, first simulations of the discharge by an electromagnetic Particle-In-Cell Monte Carlo collision method have been conducted and are compared to the measurement data. At 1 Pa, the simulated data corresponds well to the results of both axially resolved probe measurements and radially resolved emission profiles obtained via OES. Thereby, information regarding the radial distribution of the electron density and mean energy is provided, revealing a radial distribution of the electron density which is well described by a Bessel profile.

  14. Automated standardization technique for an inductively-coupled plasma emission spectrometer

    USGS Publications Warehouse

    Garbarino, John R.; Taylor, Howard E.

    1982-01-01

    The manifold assembly subsystem described permits real-time computer-controlled standardization and quality control of a commercial inductively-coupled plasma atomic emission spectrometer. The manifold assembly consists of a branch-structured glass manifold, a series of microcomputer-controlled solenoid valves, and a reservoir for each standard. Automated standardization involves selective actuation of each solenoid valve that permits a specific mixed standard solution to be pumped to the nebulizer of the spectrometer. Quality control is based on the evaluation of results obtained for a mixed standard containing 17 analytes, that is measured periodically with unknown samples. An inaccurate standard evaluation triggers restandardization of the instrument according to a predetermined protocol. Interaction of the computer-controlled manifold assembly hardware with the spectrometer system is outlined. Evaluation of the automated standardization system with respect to reliability, simplicity, flexibility, and efficiency is compared to the manual procedure. ?? 1982.

  15. Bulk plasma fragmentation in a C4F8 inductively coupled plasma: A hybrid modeling study

    NASA Astrophysics Data System (ADS)

    Zhao, Shu-Xia; Zhang, Yu-Ru; Gao, Fei; Wang, You-Nian; Bogaerts, Annemie

    2015-06-01

    A hybrid model is used to investigate the fragmentation of C4F8 inductive discharges. Indeed, the resulting reactive species are crucial for the optimization of the Si-based etching process, since they determine the mechanisms of fluorination, polymerization, and sputtering. In this paper, we present the dissociation degree, the density ratio of F vs. CxFy (i.e., fluorocarbon (fc) neutrals), the neutral vs. positive ion density ratio, details on the neutral and ion components, and fractions of various fc neutrals (or ions) in the total fc neutral (or ion) density in a C4F8 inductively coupled plasma source, as well as the effect of pressure and power on these results. To analyze the fragmentation behavior, the electron density and temperature and electron energy probability function (EEPF) are investigated. Moreover, the main electron-impact generation sources for all considered neutrals and ions are determined from the complicated C4F8 reaction set used in the model. The C4F8 plasma fragmentation is explained, taking into account many factors, such as the EEPF characteristics, the dominance of primary and secondary processes, and the thresholds of dissociation and ionization. The simulation results are compared with experiments from literature, and reasonable agreement is obtained. Some discrepancies are observed, which can probably be attributed to the simplified polymer surface kinetics assumed in the model.

  16. Review of inductively coupled plasmas: Nano-applications and bistable hysteresis physics

    NASA Astrophysics Data System (ADS)

    Lee, Hyo-Chang

    2018-03-01

    Many different gas discharges and plasmas exhibit bistable states under a given set of conditions, and the history-dependent hysteresis that is manifested by intensive quantities of the system upon variation of an external parameter has been observed in inductively coupled plasmas (ICPs). When the external parameters (such as discharge powers) increase, the plasma density increases suddenly from a low- to high-density mode, whereas decreasing the power maintains the plasma in a relatively high-density mode, resulting in significant hysteresis. To date, a comprehensive description of plasma hysteresis and a physical understanding of the main mechanism underlying their bistability remain elusive, despite many experimental observations of plasma bistability conducted under radio-frequency ICP excitation. This fundamental understanding of mode transitions and hysteresis is essential and highly important in various applied fields owing to the widespread use of ICPs, such as semiconductor/display/solar-cell processing (etching, deposition, and ashing), wireless light lamp, nanostructure fabrication, nuclear-fusion operation, spacecraft propulsion, gas reformation, and the removal of hazardous gases and materials. If, in such applications, plasma undergoes a mode transition and hysteresis occurs in response to external perturbations, the process result will be strongly affected. Due to these reasons, this paper comprehensively reviews both the current knowledge in the context of the various applied fields and the global understanding of the bistability and hysteresis physics in the ICPs. At first, the basic understanding of the ICP is given. After that, applications of ICPs to various applied fields of nano/environmental/energy-science are introduced. Finally, the mode transition and hysteresis in ICPs are studied in detail. This study will show the fundamental understanding of hysteresis physics in plasmas and give open possibilities for applications to various applied

  17. Assessment of the analytical capabilities of inductively coupled plasma-mass spectrometry

    USGS Publications Warehouse

    Taylor, Howard E.; Garbarino, John R.

    1988-01-01

    A thorough assessment of the analytical capabilities of inductively coupled plasma-mass spectrometry was conducted for selected analytes of importance in water quality applications and hydrologic research. A multielement calibration curve technique was designed to produce accurate and precise results in analysis times of approximately one minute. The suite of elements included Al, As, B, Ba, Be, Cd, Co, Cr, Cu, Hg, Li, Mn, Mo, Ni, Pb, Se, Sr, V, and Zn. The effects of sample matrix composition on the accuracy of the determinations showed that matrix elements (such as Na, Ca, Mg, and K) that may be present in natural water samples at concentration levels greater than 50 mg/L resulted in as much as a 10% suppression in ion current for analyte elements. Operational detection limits are presented.

  18. Mass Spectrometric and Langmuir Probe Measurements in Inductively Coupled Plasmas in Ar, CHF3/Ar and CHF3/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Kim, J. S.; Rao, M. V. V. S.; Cappelli, M. A.; Sharma, S. P.; Meyyappan, M.; Arnold, Jim (Technical Monitor)

    2000-01-01

    Absolute fluxes and energy distributions of ions in inductively coupled plasmas of Ar, CHF3/Ar, and CHF3/Ar/O2 have been measured. These plasmas were generated in a Gaseous Electronics Conference (GEC) cell modified for inductive coupling at pressures 10-50 mTorr and 100-300 W of 13.56 MHz radio frequency (RF) power in various feedgas mixtures. In pure Ar plasmas, the Ar(+) flux increases linearly with pressure as well as RF-power. Total ion flux in CHF3 mixtures decreases with increase in pressure and also CHF3 concentration. Relative ion fluxes observed in the present studies are analyzed with the help of available cross sections for electron impact ionization and charge-exchange ion-molecule reactions. Measurements of plasma potential, electron and ion number densities, electron energy distribution function, and mean electron energy have also been made in the center of the plasma with a RF compensated Langmuir probe. Plasma potential values are compared with the mean ion energies determined from the measured ion energy distributions and are consistent. Electron temperature, plasma potential, and mean ion energy vary inversely with pressure, but increase with CHF3 content in the mixture.

  19. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    NASA Astrophysics Data System (ADS)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  20. Evaluation of on-line desalter-inductively coupled plasma-mass spectrometry system for determination of Cr(III), Cr(VI), and total chromium concentrations in natural water and urine samples

    NASA Astrophysics Data System (ADS)

    Sun, Y. C.; Lin, C. Y.; Wu, S. F.; Chung, Y. T.

    2006-02-01

    We have developed a simple and convenient method for the determination of Cr(III), Cr(VI), and the total chromium concentrations in natural water and urine samples that use a flow injection on-line desalter-inductively coupled plasma-mass spectrometry system. When using aqueous ammonium chloride (pH 8) as the stripping solution, the severe interference from sodium in the matrix can be eliminated prior to inductively coupled plasma-mass spectrometry measurement, and the Cr(VI) level can be determined directly. To determine the total concentration of Cr in natural water and urine samples, we used H 2O 2 or HNO 3 to decompose the organic matter and convert all chromium species into the Cr(VI) oxidation state. To overcome the spectral interference caused by the matrix chloride ion in the resulting solutions, we employed cool plasma to successfully suppress chloride-based molecular ion interference during the inductively coupled plasma-mass spectrometry measurement. By significantly eliminating interference from the cationic and anionic components in the matrices prior to the inductively coupled plasma-mass spectrometry measurement, we found that the detection limit reached 0.18 μg L - 1 (based on 3 sigma). We validated this method through the analysis of the total chromium content in two reference materials (NIST 1643c and 2670E) and through measuring the recovery in spiked samples.

  1. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  2. Experimental measurement of ablation effects in plasma armature railguns

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parker, J.V.; Parsons, W.M.

    1986-01-01

    Experimental evidence supporting the importance of ablation in plasma armature railguns is presented. Experiments conducted using the HYVAX and MIDI-2 railguns are described. Several indirect effects of ablation are identified from the experimental results. An improved ablation model of plasma armature dynamics is proposed which incorporates the restrike process.

  3. Experimental measurement of ablation effects in plasma armature railguns

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parker, J.V.; Parsons, W.M.

    1986-11-01

    Experimental evidence supporting the importance of ablation in plasma armature railguns is presented. Experiments conducted using the HYVAX and MIDI-2 railguns are described. Several indirect effects of ablation are identified from the experimental results. An improved ablation model of plasma armature dynamics is proposed which incorporates the restrike process.

  4. Partial microwave-assisted wet digestion of animal tissue using a baby-bottle sterilizer for analyte determination by inductively coupled plasma optical emission spectrometry

    NASA Astrophysics Data System (ADS)

    Matos, Wladiana O.; Menezes, Eveline A.; Gonzalez, Mário H.; Costa, Letícia M.; Trevizan, Lilian C.; Nogueira, Ana Rita A.

    2009-06-01

    A procedure for partial digestion of bovine tissue is proposed using polytetrafluoroethylene (PTFE) micro-vessels inside a baby-bottle sterilizer under microwave radiation for multi-element determination by inductively coupled plasma optical emission spectrometry (ICP OES). Samples were directly weighed in laboratory-made polytetrafluoroethylene vessels. Nitric acid and hydrogen peroxide were added to the uncovered vessels, which were positioned inside the baby-bottle sterilizer, containing 500 mL of water. The hydrogen peroxide volume was fixed at 100 µL. The system was placed in a domestic microwave oven and partial digestion was carried out for the determination of Ca, Cu, Fe, Mg, Mn and Zn by inductively coupled plasma optical emission spectrometry. The single-vessel approach was used in the entire procedure, to minimize contamination in trace analysis. Better recoveries and lower residual carbon content (RCC) levels were obtained under the conditions established through a 2 4-1 fractional factorial design: 650 W microwave power, 7 min digestion time, 50 µL nitric acid and 50 mg sample mass. The digestion efficiency was ascertained according to the residual carbon content determined by inductively coupled plasma optical emission spectrometry. The accuracy of the proposed procedure was checked against two certified reference materials.

  5. Final Report on Jobin Yvon Contained Inductively Coupled Plasma Emission Spectrometer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pennebaker, F.M.

    2003-03-17

    A new Inductively Coupled Plasma -- Emission Spectrometer (ICP-ES) was recently purchased and installed in Lab B-147/151 at SRTC. The contained JY Model Ultima 170-C ICP-ES has been tested and compared to current ADS ICP-ES instrumentation. The testing has included both performance tests to evaluate instrumental ability, and the measurement of matrix standards commonly analyzed by ICP-ES at Savannah River. In developing operating procedures for this instrument, we have implemented the use of internal standards and off-peak background subtraction. Both of these techniques are recommended by EPA SW-846 ICP-ES methods and are common to current ICP-ES operations. Based on themore » testing and changes, the JY Model Ultima 170-C ICP-ES provides improved performance for elemental analysis of radioactive samples in the Analytical Development Section.« less

  6. Observation of collisionless heating of low energy electrons in low pressure inductively coupled argon plasmas

    NASA Astrophysics Data System (ADS)

    Lee, Min-Hyong; Lee, Hyo-Chang; Chung, Chin-Wook

    2008-12-01

    Collisionless heating of low energy electrons was observed in low pressure argon rf-biased inductively coupled plasmas (ICPs) by measurement of the electron energy distribution function (EEDF). When only capacitive power (bias) was supplied, the EEDF in the discharge was a bi-Maxwellian distribution with two electron groups. It was found that the low energy electrons were heated up significantly even with a little inductive power (<20 W) even when the discharge was in E mode. Due to the low gas pressure and low temperature of low energy electrons (close to the energy of the Ramsauer minimum), the collisional heating of low energy electrons appears to be negligible. Therefore, this effective heating of the low energy electrons showed a direct experimental evidence of the collisionless heating by inductive field. The significant heating of low energy electrons in E mode indicates that collisionless heating in the skin layer is an important electron heating mechanism of low pressure ICP even when the discharge is in E mode.

  7. Determination of rare earth elements in tomato plants by inductively coupled plasma mass spectrometry techniques.

    PubMed

    Spalla, S; Baffi, C; Barbante, C; Turetta, C; Turretta, C; Cozzi, G; Beone, G M; Bettinelli, M

    2009-10-30

    In recent years identification of the geographical origin of food has grown more important as consumers have become interested in knowing the provenance of the food that they purchase and eat. Certification schemes and labels have thus been developed to protect consumers and genuine producers from the improper use of popular brand names or renowned geographical origins. As the tomato is one of the major components of what is considered to be the healthy Mediterranean diet, it is important to be able to determine the geographical origin of tomatoes and tomato-based products such as tomato sauce. The aim of this work is to develop an analytical method to determine rare earth elements (RRE) for the control of the geographic origin of tomatoes. The content of REE in tomato plant samples collected from an agricultural area in Piacenza, Italy, was determined, using four different digestion procedures with and without HF. Microwave dissolution with HNO3 + H2O2 proved to be the most suitable digestion procedure. Inductively coupled plasma quadrupole mass spectrometry (ICPQMS) and inductively coupled plasma sector field plasma mass spectrometry (ICPSFMS) instruments, both coupled with a desolvation system, were used to determine the REE in tomato plants in two different laboratories. A matched calibration curve method was used for the quantification of the analytes. The detection limits (MDLs) of the method ranged from 0.03 ng g(-1) for Ho, Tm, and Lu to 2 ng g(-1) for La and Ce. The precision, in terms of relative standard deviation on six replicates, was good, with values ranging, on average, from 6.0% for LREE (light rare earth elements) to 16.5% for HREE (heavy rare earth elements). These detection limits allowed the determination of the very low concentrations of REE present in tomato berries. For the concentrations of REE in tomato plants, the following trend was observed: roots > leaves > stems > berries. Copyright 2009 John Wiley & Sons, Ltd.

  8. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  9. Direct solid analysis of powdered tungsten carbide hardmetal precursors by laser-induced argon spark ablation with inductively coupled plasma atomic emission spectrometry.

    PubMed

    Holá, Markéta; Kanický, Viktor; Mermet, Jean-Michel; Otruba, Vítezslav

    2003-12-01

    The potential of the laser-induced argon spark atomizer (LINA-Spark atomizer) coupled with ICP-AES as a convenient device for direct analysis of WC/Co powdered precursors of sintered hardmetals was studied. The samples were presented for the ablation as pressed pellets prepared by mixing with powdered silver binder containing GeO2 as internal standard. The pellets were ablated with the aid of a Q-switched Nd:YAG laser (1064 nm) focused 16 mm behind the target surface with a resulting estimated power density of 5 GW cm(-2). Laser ablation ICP-AES signals were studied as a function of ablation time, and the duration of time prior to measurement (pre-ablation time) which was necessary to obtain reliable results was about 40 s. Linear calibration plots were obtained up to 10% (m/m) Ti, 9% Ta and 3.5% Nb both without internal standardization and by using germanium as an added internal standard or tungsten as a contained internal standard. The relative uncertainty at the centroid of the calibration line was in the range from +/- 6% to +/- 11% for Nb, Ta and Ti both with and without internal standardisation by Ge. A higher spread of points about the regression was observed for cobalt for which the relative uncertainty at the centroid was in the range from +/- 9% to +/- 14%. Repeatability of results was improved by the use of both Ge and W internal standards. The lowest determinable quantities calculated for calibration plots were 0.060% Co, 0.010% Nb, 0.16% Ta and 0.030% Ti with internal standardization by Ge. The LA-ICP-AES analyses of real samples led to good agreement with the results obtained by solution-based ICP determination with a relative bias not exceeding 10%. The elimination of the dissolution procedure of powdered tungsten (Nb, Ta, Ti) carbide is the principal advantage of the developed LA-ICP-AES method.

  10. Vacuum ultraviolet photon fluxes in argon-containing inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Radovanov, S. B.; Persing, H. M.; Wang, S.; Culver, C. L.; Boffard, J. B.; Lin, C. C.; Wendt, A. E.

    2013-09-01

    Vacuum ultraviolet (VUV) photons emitted from excited atomic states are ubiquitous in material processing plasmas. Damage of materials is induced by energy transfer from the VUV photons to the surface, causing disorder in the surface region, surface reactions, and affecting bonds in the material bulk. Monitoring of the surface flux of VUV photons from inductively coupled plasmas (ICP) and its dependence on discharge parameters is thus highly desirable. Results of non-invasive, direct windowless VUV detection using a photosensitive diode will be presented. Relative VUV fluxes were also obtained using a sodium salicylate coating on the inside of a vacuum window, converting VUV into visible light detected through the vacuum window. The coating is sensitive to wavelengths in the range 80-300 nm, while the photodiode is only sensitive to wavelengths below 120 nm. In argon the VUV emissions are primarily produced by spontaneous decay from 3p5 4 s resonance levels (1s2,1s4) and may be reabsorbed by ground state atoms. Real-time resonance level concentrations were measured and used to predict the VUV photon flux at the detector for a range of different ICP pressures, powers, and for various admixtures of Ar with N2, and H2. This work was supported in part by NSF grant PHY-1068670.

  11. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  12. Determination of 20 trace elements and arsenic species for a realgar-containing traditional Chinese medicine Niuhuang Jiedu tablets by direct inductively coupled plasma-mass spectrometry and high performance liquid chromatography-inductively coupled plasma-mass spectrometry.

    PubMed

    Jin, Pengfei; Liang, Xiaoli; Xia, Lufeng; Jahouh, Farid; Wang, Rong; Kuang, Yongmei; Hu, Xin

    2016-01-01

    Niuhuang Jiedu tablet (NHJDT) is a realgar-containing traditional Chinese medicine. A direct inductively coupled plasma-mass spectrometry (ICP-MS) method for the simultaneous determination of 20 trace elements (Mg, K, Ca, Na, Fe, As, Zn, Sr, Ba, Cu, Mn, Ni, Pb, V, Cr, Se, Co, Mo, Cd, Hg) in NHJDT, as well as in water, gastric fluid and intestinal fluid was established. Meanwhile, a high performance liquid chromatography-inductively coupled plasma-mass spectrometry (HPLC-ICP-MS) method was developed for the determination of arsenite (As(III)), arsenate (As(V)), monomethylarsonic acid (MMA), dimethylarsinic acid (DMA) and for the identification of arsenobetaine (AsB) and arsenocholine (AsC) in these extracts. Both methods were fully validated in the respect of linearity, sensitivity, precision, stability and accuracy. The reliability of the ICP-MS method was further evaluated using a certified standard reference material prepared from dried tomato leaves (NIST, SRM 1572a). The analysis showed that some manufacturers formulated lower amount of realgar than required in the Chinese Pharmacopoeia (ChP) in their preparations. In addition, almost same extraction profiles for total As and inorganic As were found in water and in gastrointestinal fluids, while higher extraction rates for other 19 elements were observed in gastrointestinal fluids. Our findings show that the toxicities of Hg, Cu, Cd and Pb in NHJDP are low, while the real As toxicity in NHJDT should be deeply investigated. Copyright © 2015 Elsevier GmbH. All rights reserved.

  13. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  14. Inductively Coupled Plasma and Electron Cyclotron Resonance Plasma Etching of InGaAlP Compound Semiconductor System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abernathy, C.R.; Hobson, W.S.; Hong, J.

    1998-11-04

    Current and future generations of sophisticated compound semiconductor devices require the ability for submicron scale patterning. The situation is being complicated since some of the new devices are based on a wider diversity of materials to be etched. Conventional IUE (Reactive Ion Etching) has been prevalent across the industry so far, but has limitations for materials with high bond strengths or multiple elements. IrI this paper, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma), for the etching of ternary compound semiconductors (InGaP, AIInP, AlGaP) which are employed for electronic devices like heterojunctionmore » bipolar transistors (HBTs) or high electron mobility transistors (HEMTs), and photonic devices such as light-emitting diodes (LEDs) and lasers. High density plasma sources, opeiating at lower pressure, are expected to meet target goals determined in terms of etch rate, surface morphology, surface stoichiometry, selectivity, etc. The etching mechanisms, which are described in this paper, can also be applied to other III-V (GaAs-based, InP-based) as well as III-Nitride since the InGaAIP system shares many of the same properties.« less

  15. Trace metal analysis by laser ablation-inductively coupled plasmamass spectrometry and x-ray K-edge densitometry of forensic samples

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berry, Jonna Elizabeth

    This dissertation describes a variety of studies on the determination of trace elements in samples with forensic importance. Laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) was used to determine the trace element composition of numerous lipstick samples. Lipstick samples were determined to be homogeneous. Most lipstick samples of similar colors were readily distinguishable at a 95% confidence interval based on trace element composition. Numerous strands of a multi-strand speaker cable were analyzed by LA-ICP-MS. The strands in this study are spatially heterogeneous in trace element composition. In actual forensic applications, the possibility of spatial heterogeneity must be considered, especially in casesmore » where only small samples (e.g., copper wire fragments after an explosion) are available. The effects of many unpredictable variables, such as weather, temperature, and human activity, on the retention of gunshot residue (GSR) around projectile wounds were assessed with LAICP- MS. Skin samples around gunshot and stab wounds and larvae feeding in and around the wounds on decomposing pig carcasses were analyzed for elements consistent with GSR (Sb, Pb, Ba, and Cu). These elements were detected at higher levels in skin and larvae samples around the gunshot wounds compared to the stab wounds for an extended period of time throughout decomposition in both a winter and summer study. After decomposition, radiographic images of the pig bones containing possible damage from bullets revealed metallic particles embedded within a number of bones. Metallic particles within the bones were analyzed with x-ray, K-edge densitometry and determined to contain lead, indicating that bullet residue can be retained throughout decomposition and detected within bones containing projectile trauma.« less

  16. Multi-elemental analysis of aqueous geological samples by inductively coupled plasma-optical emission spectrometry

    USGS Publications Warehouse

    Todorov, Todor I.; Wolf, Ruth E.; Adams, Monique

    2014-01-01

    Typically, 27 major, minor, and trace elements are determined in natural waters, acid mine drainage, extraction fluids, and leachates of geological and environmental samples by inductively coupled plasma-optical emission spectrometry (ICP-OES). At the discretion of the analyst, additional elements may be determined after suitable method modifications and performance data are established. Samples are preserved in 1–2 percent nitric acid (HNO3) at sample collection or as soon as possible after collection. The aqueous samples are aspirated into the ICP-OES discharge, where the elemental emission signals are measured simultaneously for 27 elements. Calibration is performed with a series of matrix-matched, multi-element solution standards.

  17. Documenting utility of paddlefish otoliths for quantification of metals using inductively coupled plasma mass spectrometry

    USGS Publications Warehouse

    Long, James M.; Schaffler, James J.

    2013-01-01

    RATIONALE The otoliths of the inner ear of fishes record the environment of their surrounding water throughout their life. For paddlefish (Polyodon spathula), otoliths have not been routinely used by scientists since their detriments were outlined in the early 1940s. We sought to determine if paddlefish otoliths were useful for resolving elemental information contained within. METHODS Adult paddlefish were collected from two wild, self-sustaining populations in Oklahoma reservoirs in the Arkansas River basin. Juveniles were obtained from a hatchery in the Red River basin of Oklahoma. Otoliths were removed and laser ablation, inductively coupled plasma mass spectrometry (ICP-MS) was used to quantify eight elements (Li, Mg, Mn, Rb, Sr, Y, Ba, and Pb) along the core and edge portions, which were analyzed for differences between otolith regions and among paddlefish sources. RESULTS Differences were found among samples for six of the eight elements examined. Otoliths from Red River basin paddlefish born in a hatchery had significantly lower amounts of Mg and Mn, but higher levels of Rb than otoliths from wild paddlefish in the Arkansas River basin. Concentrations of Y, Sr, and Ba were reduced on the edges of adult paddlefish from both reservoirs compared with the cores. CONCLUSIONS This research shows the utility of using an ICP-MS analysis of paddlefish otoliths. Future research that seeks to determine sources of paddlefish production, such as which reservoir tributaries are most important for reproduction or what proportion of the population is composed of wild versus hatchery-produced individuals, appears promising. Published in 2013. This article is a U.S. Government work and is in the public domain in the USA.

  18. Simulation of a large size inductively coupled plasma generator and comparison with experimental data

    NASA Astrophysics Data System (ADS)

    Lei, Fan; Li, Xiaoping; Liu, Yanming; Liu, Donglin; Yang, Min; Yu, Yuanyuan

    2018-01-01

    A two-dimensional axisymmetric inductively coupled plasma (ICP) model with its implementation in the COMSOL (Multi-physics simulation software) platform is described. Specifically, a large size ICP generator filled with argon is simulated in this study. Distributions of the number density and temperature of electrons are obtained for various input power and pressure settings and compared. In addition, the electron trajectory distribution is obtained in simulation. Finally, using experimental data, the results from simulations are compared to assess the veracity of the two-dimensional fluid model. The purpose of this comparison is to validate the veracity of the simulation model. An approximate agreement was found (variation tendency is the same). The main reasons for the numerical magnitude discrepancies are the assumption of a Maxwellian distribution and a Druyvesteyn distribution for the electron energy and the lack of cross sections of collision frequencies and reaction rates for argon plasma.

  19. Comparative analysis of barium titanate thin films dry etching using inductively coupled plasmas by different fluorine-based mixture gas

    PubMed Central

    2014-01-01

    In this work, the inductively coupled plasma etching technique was applied to etch the barium titanate thin film. A comparative study of etch characteristics of the barium titanate thin film has been investigated in fluorine-based (CF4/O2, C4F8/O2 and SF6/O2) plasmas. The etch rates were measured using focused ion beam in order to ensure the accuracy of measurement. The surface morphology of etched barium titanate thin film was characterized by atomic force microscope. The chemical state of the etched surfaces was investigated by X-ray photoelectron spectroscopy. According to the experimental result, we monitored that a higher barium titanate thin film etch rate was achieved with SF6/O2 due to minimum amount of necessary ion energy and its higher volatility of etching byproducts as compared with CF4/O2 and C4F8/O2. Low-volatile C-F compound etching byproducts from C4F8/O2 were observed on the etched surface and resulted in the reduction of etch rate. As a result, the barium titanate films can be effectively etched by the plasma with the composition of SF6/O2, which has an etch rate of over than 46.7 nm/min at RF power/inductively coupled plasma (ICP) power of 150/1,000 W under gas pressure of 7.5 mTorr with a better surface morphology. PMID:25278821

  20. Heavy metals in aromatic spices by inductively coupled plasma-mass spectrometry.

    PubMed

    Bua, Daniel Giuseppe; Annuario, Giovanni; Albergamo, Ambrogina; Cicero, Nicola; Dugo, Giacomo

    2016-09-01

    Objective of this study was to determine the content of Cd, Hg, As and Pb in common spices traded in the Italian market, using inductively coupled plasma-mass spectrometry (ICP-MS). The results were compared with the maximum limits established by the national Legislative Decree (LD) no. 107 implementing the Council Directive 88/388/EEC and by international organisations, such as Food and Agriculture Organization (FAO) and World Health Organization (WHO). Food safety for spices was assessed considering the tolerable weekly intake (TWI) and the provisional tolerable weekly intake (PTWI), respectively, for Cd and Hg and the 95% lower confidence limit of the benchmark dose of 1% extra risk (BMDL01) for As and Pb. Investigated elements in all samples were within the maximum limits as set by the national and international normative institutions. Nevertheless, the heavy metal content of some spices exceeded the PTWI, TWI and BMDL01, which needs attention when considering consumer's health.

  1. Stable isotope dilution analysis of hydrologic samples by inductively coupled plasma mass spectrometry

    USGS Publications Warehouse

    Garbarino, John R.; Taylor, Howard E.

    1987-01-01

    Inductively coupled plasma mass spectrometry is employed in the determination of Ni, Cu, Sr, Cd, Ba, Ti, and Pb in nonsaline, natural water samples by stable isotope dilution analysis. Hydrologic samples were directly analyzed without any unusual pretreatment. Interference effects related to overlapping isobars, formation of metal oxide and multiply charged ions, and matrix composition were identified and suitable methods of correction evaluated. A comparability study snowed that single-element isotope dilution analysis was only marginally better than sequential multielement isotope dilution analysis. Accuracy and precision of the single-element method were determined on the basis of results obtained for standard reference materials. The instrumental technique was shown to be ideally suited for programs associated with certification of standard reference materials.

  2. Rail gun performance and plasma characteristics due to wall ablation

    NASA Technical Reports Server (NTRS)

    Ray, P. K.

    1986-01-01

    The experiment of Bauer, et al. (1982) is analyzed by considering wall ablation and viscous drag in the plasma. Plasma characteristics are evaluated through a simple fluid-mechanical analysis considering only wall ablation. By equating the energy dissipated in the plasma with the radiation heat loss, the average properties of the plasma are determined as a function of time.

  3. Application of isotope dilution inductively coupled plasma mass spectrometry to the analysis of marine sediments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McLaren, J.W.; Beauchemin, D.; Berman, S.S.

    1987-02-15

    Isotope dilution inductively coupled plasma mass spectrometry (ICP-MS) has been applied to the determination of 11 trace elements (Cr, Ni, Zn, Sr, Mo, Cd, Sn, Sb, Tl, Pb, and U) in the marine sediment reference materials MESS-1 and BCSS-1. Accuracy and, especially, precision are better than those that can be easily achieved by other ICP-MS calibration strategies, as long as isotopic equilibration is achieved and the isotopes used for the ratio measurement are free of isobaric interferences by molecular species. The measurement of the isotope ratios on unspiked samples provides a sensitive diagnostic of such interferences.

  4. High-Resolution Inductively Coupled Plasma Optical Emission Spectrometry for (234)U/(238)Pu Age Dating of Plutonium Materials and Comparison to Sector Field Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Krachler, Michael; Alvarez-Sarandes, Rafael; Rasmussen, Gert

    2016-09-06

    Employing a commercial high-resolution inductively coupled plasma optical emission spectrometry (HR-ICP-OES) instrument, an innovative analytical procedure for the accurate determination of the production age of various Pu materials (Pu powder, cardiac pacemaker battery, (242)Cm heat source, etc.) was developed and validated. This undertaking was based on the fact that the α decay of (238)Pu present in the investigated samples produced (234)U and both mother and daughter could be identified unequivocally using HR-ICP-OES. Benefiting from the high spectral resolution of the instrument (<5 pm) and the isotope shift of the emission lines of both nuclides, (234)U and (238)Pu were selectively and directly determined in the dissolved samples, i.e., without a chemical separation of the two analytes from each other. Exact emission wavelengths as well as emission spectra of (234)U centered around λ = 411.590 nm and λ = 424.408 nm are reported here for the first time. Emission spectra of the isotopic standard reference material IRMM-199, comprising about one-third each of (233)U, (235)U, and (238)U, confirmed the presence of (234)U in the investigated samples. For the assessment of the (234)U/(238)Pu amount ratio, the emission signals of (234)U and (238)Pu were quantified at λ = 424.408 nm and λ = 402.148 nm, respectively. The age of the investigated samples (range: 26.7-44.4 years) was subsequently calculated using the (234)U/(238)Pu chronometer. HR-ICP-OES results were crossed-validated through sector field inductively coupled plasma mass spectrometry (SF-ICPMS) analysis of the (234)U/(238)Pu amount ratio of all samples applying isotope dilution combined with chromatographic separation of U and Pu. Available information on the assumed ages of the analyzed samples was consistent with the ages obtained via the HR-ICP-OES approach. Being based on a different physical detection principle, HR-ICP-OES provides an alternative strategy to the well-established mass

  5. A fully-implicit Particle-In-Cell Monte Carlo Collision code for the simulation of inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Mattei, S.; Nishida, K.; Onai, M.; Lettry, J.; Tran, M. Q.; Hatayama, A.

    2017-12-01

    We present a fully-implicit electromagnetic Particle-In-Cell Monte Carlo collision code, called NINJA, written for the simulation of inductively coupled plasmas. NINJA employs a kinetic enslaved Jacobian-Free Newton Krylov method to solve self-consistently the interaction between the electromagnetic field generated by the radio-frequency coil and the plasma response. The simulated plasma includes a kinetic description of charged and neutral species as well as the collision processes between them. The algorithm allows simulations with cell sizes much larger than the Debye length and time steps in excess of the Courant-Friedrichs-Lewy condition whilst preserving the conservation of the total energy. The code is applied to the simulation of the plasma discharge of the Linac4 H- ion source at CERN. Simulation results of plasma density, temperature and EEDF are discussed and compared with optical emission spectroscopy measurements. A systematic study of the energy conservation as a function of the numerical parameters is presented.

  6. Analysis of plasma-mediated ablation in aqueous tissue

    NASA Astrophysics Data System (ADS)

    Jiao, Jian; Guo, Zhixiong

    2012-06-01

    Plasma-mediated ablation using ultrafast lasers in transparent media such as aqueous tissues is studied. It is postulated that a critical seed free electron density exists due to the multiphoton ionization in order to trigger the avalanche ionization which causes ablation and during the avalanche ionization process the contribution of laser-induced photon ionization is negligible. Based on this assumption, the ablation process can be treated as two separate processes - the multiphoton and avalanche ionizations - at different time stages; so that an analytical solution to the evolution of plasma formation is obtained for the first time. The analysis is applied to plasma-mediated ablation in corneal epithelium and validated via comparison with experimental data available in the literature. The critical seed free-electron density and the time to initiate the avalanche ionization for sub-picosecond laser pulses are analyzed. It is found that the critical seed free-electron density decreases as the pulse width increases, obeying a tp-5.65 rule. This model is further extended to the estimation of crater size in the ablation of tissue-mimic polydimethylsiloxane (PDMS). The results match well with the available experimental measurements.

  7. Online tuning of impedance matching circuit for long pulse inductively coupled plasma source operation—An alternate approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sudhir, Dass; Bandyopadhyay, M., E-mail: mainak@ter-india.org; Chakraborty, A.

    2014-01-15

    Impedance matching circuit between radio frequency (RF) generator and the plasma load, placed between them, determines the RF power transfer from RF generator to the plasma load. The impedance of plasma load depends on the plasma parameters through skin depth and plasma conductivity or resistivity. Therefore, for long pulse operation of inductively coupled plasmas, particularly for high power (∼100 kW or more) where plasma load condition may vary due to different reasons (e.g., pressure, power, and thermal), online tuning of impedance matching circuit is necessary through feedback. In fusion grade ion source operation, such online methodology through feedback is notmore » present but offline remote tuning by adjusting the matching circuit capacitors and tuning the driving frequency of the RF generator between the ion source operation pulses is envisaged. The present model is an approach for remote impedance tuning methodology for long pulse operation and corresponding online impedance matching algorithm based on RF coil antenna current measurement or coil antenna calorimetric measurement may be useful in this regard.« less

  8. Energy deposition into heavy gas plasma via pulsed inductive theta-pinch

    NASA Astrophysics Data System (ADS)

    Pahl, Ryan Alan

    The objective of this research is to study the formation processes of a pulsed inductive plasma using heavy gases, specifically the coupling of stored capacitive energy into plasma via formation in a theta pinch coil. To aid in this research, the Missouri Plasmoid Experiment Mk. I (and later Mk. II) was created. In the first paper, the construction of differential magnetic field probes are discussed. The effects of calibration setup on B-dot probes is studied using a Helmholtz coil driven by a vector network analyzer and a pulsed-power system. Calibration in a pulsed-power environment yielded calibration factors at least 9.7% less than the vector network analyzer. In the second paper, energy deposition into various gases using a pulsed inductive test article is investigated. Experimental data are combined with a series RLC model to quantify the energy loss associated with plasma formation in Argon, Hydrogen, and Xenon at pressures from 10-100 mTorr. Plasma resistance is found to vary from 25.8-51.6 mΩ and plasma inductance varies from 41.3--47.0 nH. The greatest amount of initial capacitively stored energy that could be transferred to the plasma was 6.4 J (8.1%) of the initial 79.2 +/- 0.1 J. In the third paper, the effects of a DC preionization source on plasma formation energy is studied. The preionization source radial location is found to have negligible impact on plasma formation repeatability while voltage is found to be critical at low pressures. Without preionization, plasma formation was not possible. At 20 mTorr, 0.20 W of power was sufficient to stabilize plasma formation about the first zero-crossing of the discharge current. Increasing power to 1.49 W increased inductively coupled energy by 39%. At 200 mTorr, 4.3 mW was sufficient to produce repeatable plasma properties.

  9. Determination of hexavalent chromium in traditional Chinese medicines by high-performance liquid chromatography with inductively coupled plasma mass spectrometry.

    PubMed

    Li, Peng; Li, Li-Min; Xia, Jing; Cao, Shuai; Hu, Xin; Lian, Hong-Zhen; Ji, Shen

    2015-12-01

    An analytical method that combined high-performance liquid chromatography with inductively coupled plasma mass spectrometry has been developed for the determination of hexavalent chromium in traditional Chinese medicines. Hexavalent chromium was extracted using the alkaline solution. The parameters such as the concentration of alkaline and the extraction temperature have been optimized to minimize the interconversion between trivalent chromium and hexavalent chromium. The extracted hexavalent chromium was separated on a weak anion exchange column in isocratic mode, followed by inductively coupled plasma mass spectrometry determination. To obtain a better chromatographic resolution and sensitivity, 75 mM NH4 NO3 at pH 7 was selected as the mobile phase. The linearity of the proposed method was investigated in the range of 0.2-5.0 μg L(-1) (r(2) = 0.9999) for hexavalent chromium. The limits of detection and quantitation are 0.1 and 0.3 μg L(-1) , respectively. The developed method was successfully applied to the determination of hexavalent chromium in Chloriti lapis and Lumbricus with satisfactory recoveries of 95.8-112.8%. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Estimation of the quantification uncertainty from flow injection and liquid chromatography transient signals in inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Laborda, Francisco; Medrano, Jesús; Castillo, Juan R.

    2004-06-01

    The quality of the quantitative results obtained from transient signals in high-performance liquid chromatography-inductively coupled plasma mass spectrometry (HPLC-ICPMS) and flow injection-inductively coupled plasma mass spectrometry (FI-ICPMS) was investigated under multielement conditions. Quantification methods were based on multiple-point calibration by simple and weighted linear regression, and double-point calibration (measurement of the baseline and one standard). An uncertainty model, which includes the main sources of uncertainty from FI-ICPMS and HPLC-ICPMS (signal measurement, sample flow rate and injection volume), was developed to estimate peak area uncertainties and statistical weights used in weighted linear regression. The behaviour of the ICPMS instrument was characterized in order to be considered in the model, concluding that the instrument works as a concentration detector when it is used to monitorize transient signals from flow injection or chromatographic separations. Proper quantification by the three calibration methods was achieved when compared to reference materials, although the double-point calibration allowed to obtain results of the same quality as the multiple-point calibration, shortening the calibration time. Relative expanded uncertainties ranged from 10-20% for concentrations around the LOQ to 5% for concentrations higher than 100 times the LOQ.

  11. Effect of Inductive Coil Geometry on the Thrust Efficiency of a Microwave Assisted Discharge Inductive Plasma Accelerator

    NASA Technical Reports Server (NTRS)

    Hallock, Ashley; Polzin, Kurt; Emsellem, Gregory

    2012-01-01

    Pulsed inductive plasma thrusters [1-3] are spacecraft propulsion devices in which electrical energy is capacitively stored and then discharged through an inductive coil. The thruster is electrodeless, with a time-varying current in the coil interacting with a plasma covering the face of the coil to induce a plasma current. Propellant is accelerated and expelled at a high exhaust velocity (O(10-100 km/s)) by the Lorentz body force arising from the interaction of the magnetic field and the induced plasma current. While this class of thruster mitigates the life-limiting issues associated with electrode erosion, pulsed inductive plasma thrusters require high pulse energies to inductively ionize propellant. The Microwave Assisted Discharge Inductive Plasma Accelerator (MAD-IPA) [4, 5] is a pulsed inductive plasma thruster that addressees this issue by partially ionizing propellant inside a conical inductive coil via an electron cyclotron resonance (ECR) discharge. The ECR plasma is produced using microwaves and permanent magnets that are arranged to create a thin resonance region along the inner surface of the coil, restricting plasma formation, and in turn current sheet formation, to a region where the magnetic coupling between the plasma and the inductive coil is high. The use of a conical theta-pinch coil is under investigation. The conical geometry serves to provide neutral propellant containment and plasma plume focusing that is improved relative to the more common planar geometry of the Pulsed Inductive Thruster (PIT) [2, 3], however a conical coil imparts a direct radial acceleration of the current sheet that serves to rapidly decouple the propellant from the coil, limiting the direct axial electromagnetic acceleration in favor of an indirect acceleration mechanism that requires significant heating of the propellant within the volume bounded by the current sheet. In this paper, we describe thrust stand measurements performed to characterize the performance

  12. Effects of Inductively Coupled Plasma Hydrogen on Long-Wavelength Infrared HgCdTe Photodiodes

    NASA Astrophysics Data System (ADS)

    Boieriu, P.; Buurma, C.; Bommena, R.; Blissett, C.; Grein, C.; Sivananthan, S.

    2013-12-01

    Bulk passivation of semiconductors with hydrogen continues to be investigated for its potential to improve device performance. In this work, hydrogen-only inductively coupled plasma (ICP) was used to incorporate hydrogen into long-wavelength infrared HgCdTe photodiodes grown by molecular-beam epitaxy. Fully fabricated devices exposed to ICP showed statistically significant increases in zero-bias impedance values, improved uniformity, and decreased dark currents. HgCdTe photodiodes on Si substrates passivated with amorphous ZnS exhibited reductions in shunt currents, whereas devices on CdZnTe substrates passivated with polycrystalline CdTe exhibited reduced surface leakage, suggesting that hydrogen passivates defects in bulk HgCdTe and in CdTe.

  13. Development of analytical methods for multiplex bio-assay with inductively coupled plasma mass spectrometry

    PubMed Central

    Ornatsky, Olga I.; Kinach, Robert; Bandura, Dmitry R.; Lou, Xudong; Tanner, Scott D.; Baranov, Vladimir I.; Nitz, Mark; Winnik, Mitchell A.

    2008-01-01

    Advances in the development of highly multiplexed bio-analytical assays with inductively coupled plasma mass spectrometry (ICP-MS) detection are discussed. Use of novel reagents specifically designed for immunological methods utilizing elemental analysis is presented. The major steps of method development, including selection of elements for tags, validation of tagged reagents, and examples of multiplexed assays, are considered in detail. The paper further describes experimental protocols for elemental tagging of antibodies, immunostaining of live and fixed human leukemia cells, and preparation of samples for ICP-MS analysis. Quantitative analysis of surface antigens on model cell lines using a cocktail of seven lanthanide labeled antibodies demonstrated high specificity and concordance with conventional immunophenotyping. PMID:19122859

  14. Development of analytical methods for multiplex bio-assay with inductively coupled plasma mass spectrometry.

    PubMed

    Ornatsky, Olga I; Kinach, Robert; Bandura, Dmitry R; Lou, Xudong; Tanner, Scott D; Baranov, Vladimir I; Nitz, Mark; Winnik, Mitchell A

    2008-01-01

    Advances in the development of highly multiplexed bio-analytical assays with inductively coupled plasma mass spectrometry (ICP-MS) detection are discussed. Use of novel reagents specifically designed for immunological methods utilizing elemental analysis is presented. The major steps of method development, including selection of elements for tags, validation of tagged reagents, and examples of multiplexed assays, are considered in detail. The paper further describes experimental protocols for elemental tagging of antibodies, immunostaining of live and fixed human leukemia cells, and preparation of samples for ICP-MS analysis. Quantitative analysis of surface antigens on model cell lines using a cocktail of seven lanthanide labeled antibodies demonstrated high specificity and concordance with conventional immunophenotyping.

  15. Differentiation of colloidal and dissolved silica: Analytical separation using spectrophotometry and inductively coupled plasma atomic emission spectrometry

    USGS Publications Warehouse

    Lewis-Russ, A.; Ranville, J.; Kashuba, A.T.

    1991-01-01

    A method is described that differentiates between solutions containing silica-dominated colloids and solutions that are essentially free of colloids. Suspensions of tuff particles were treated to remove colloids by centrifugation, filtration or both. Agreement of silica concentrations determined by inductively coupled plasma atomic emission spectrometry and by a spectrophotometric method was taken as an indication of colloid-free solutions. For two tuffs, centrifugation was effective for removing colloids. For the third, highly altered tuff, filtration was more effective for removing colloids.

  16. A comprehensive approach for the determination of extractable and leachable metals in pharmaceutical products by inductively-coupled plasma.

    PubMed

    Zuccarello, Daniel J; Murphy, Michael P; Meyer, Richard F; Winslow, Paul A

    2009-01-01

    A comprehensive digestive approach for determining the extractable and leachable metals in pharmaceutical products by inductively-coupled plasma is investigated. This study examines several acid digestion strategies for packaging materials, containers, and formulated products for complete trace metals analysis. Packaging materials, a food product, and a simulated drug product are evaluated for leachable metals by stressing the materials under accelerated stability conditions. Trace metal profiles of 64 elements for these materials are reported.

  17. THE DEVELOPMENT OF IODINE BASED IMPINGER SOLUTIONS FOR THE EFFICIENT CAPTURE OF HG USING DIRECT INJECTION NEBULIZATION - INDUCTIVELY COUPLED PLASMA MASS SPECTROMETRY ANALYSIS

    EPA Science Inventory

    Inductively coupled plasma mass spectrometry (ICP/MS) with direct injection nebulization (DIN) was used to evaluate novel impinger solution compositions capable of capturing elemental mercury (Hgo) in EPA Method 5 type sampling. An iodine based impinger solutoin proved to be ver...

  18. Frequency-tuning radiofrequency plasma source operated in inductively-coupled mode under a low magnetic field

    NASA Astrophysics Data System (ADS)

    Takahashi, Kazunori; Nakano, Yudai; Ando, Akira

    2017-07-01

    A radiofrequency (rf) inductively-coupled plasma source is operated with a frequency-tuning impedance matching system, where the rf frequency is variable in the range of 20-50 MHz and the maximum power is 100 W. The source consists of a 45 mm-diameter pyrex glass tube wound by an rf antenna and a solenoid providing a magnetic field strength in the range of 0-200 Gauss. A reflected rf power for no plasma case is minimized at the frequency of ˜25 MHz, whereas the frequency giving the minimum reflection with the high density plasma is about 28 MHz, where the density jump is observed when minimizing the reflection. A high density argon plasma above 1× {{10}12} cm-3 is successfully obtained in the source for the rf power of 50-100 W, where it is observed that an external magnetic field of a few tens of Gauss yields the highest plasma density in the present configuration. The frequency-tuning plasma source is applied to a compact and high-speed silicon etcher in an Ar-SF6 plasma; then the etching rate of 8~μ m min-1 is obtained for no bias voltage to the silicon wafer, i.e. for the case that a physical ion etching process is eliminated.

  19. Surface Modification of Polyimide for Improving Adhesion Strength by Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Byun, Tae Joon; Kim, Sung Il; Kim, Youn Joon; Choi, Yoon Suk; Choi, In Sik; Setsuhara, Yuichi; Geon Han, Jeon

    2009-08-01

    This study examined the effect of an inductively coupled plasma (ICP) treatment using an argon and helium gas mixture on the adhesion between polyimide and a copper film. Optical emission spectroscopy (OES) of the ICP revealed the emission intensity of helium and argon at various intensities with the helium mixing ratio. The treated polyimide surface was analyzed using a contact angle analyzer, Atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The contact angle and RMS roughness ranged from 66 to 31° and 2.3 to 4.1 nm, respectively. XPS showed an increase in C-O bonding. The highest peel strength was 0.43 kgf/cm at a 40% of helium mixing ratio, which contained the highest level of activate species. Overall, an ICP treatment of a polyimide surface with a 40% helium gas mixture improves the adhesion strength between copper and polyimide significantly.

  20. Measuring ion velocity distribution functions through high-aspect ratio holes in inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cunge, G., E-mail: gilles.cunge@cea.fr; Darnon, M.; Dubois, J.

    2016-02-29

    Several issues associated with plasma etching of high aspect ratio structures originate from the ions' bombardment of the sidewalls of the feature. The off normal angle incident ions are primarily due to their temperature at the sheath edge and possibly to charging effects. We have measured the ion velocity distribution function (IVDF) at the wafer surface in an industrial inductively coupled plasma reactor by using multigrid retarding field analyzers (RFA) in front of which we place 400 μm thick capillary plates with holes of 25, 50, and 100 μm diameters. The RFA then probes IVDF at the exit of the holes withmore » Aspect Ratios (AR) of 16, 8, and 4, respectively. The results show that the ion flux dramatically drops with the increase in AR. By comparing the measured IVDF with an analytical model, we concluded that the ion temperature is 0.27 eV in our plasma conditions. The charging effects are also observed and are shown to significantly reduce the ion energy at the bottom of the feature but only with a “minor” effect on the ion flux and the shape of the IVDF.« less

  1. Characterization of an inductively coupled plasma source with convergent nozzle

    NASA Astrophysics Data System (ADS)

    Dropmann, Michael; Clements, Kathryn; Edgren, Josh; Laufer, Rene; Herdrich, Georg; Matthews, Lorin; Hyde, Truell

    2015-11-01

    The inductively heated plasma generator (IPG6-B) located in the CASPER labs at Baylor University has recently been characterized for both air, nitrogen and helium. A primary area of research within the intended scope of the instrument is the analysis of material degradation under high heat fluxes such as those imposed by a plasma during atmospheric entry of a spacecraft and at the divertor within various fusion experiment. In order to achieve higher flow velocities and respectively higher heat fluxes, a new exit flange has been designed to allow the installation of nozzles with varying geometries at the exit of the plasma generator. This paper will discuss characterization of the plasma generator for a convergent nozzle accelerating the plasma jet to supersonic velocity. The diagnostics employed include a cavity calorimeter to measure the total plasma power, a Pitot probe to measure stagnation pressure and a heat flux probe to measure the local heat flux. Radial profiles of stagnation pressure and heat flux allowing the determination of the local plasma enthalpy in the plasma jet will be presented. Support from the NSF and the DOE (award numbers PHY-1262031 and PHY-1414523) is gratefully acknowledged.

  2. Uniformity studies of inductively coupled plasma etching in fabrication of HgCdTe detector arrays

    NASA Astrophysics Data System (ADS)

    Bommena, R.; Velicu, S.; Boieriu, P.; Lee, T. S.; Grein, C. H.; Tedjojuwono, K. K.

    2007-04-01

    Inductively coupled plasma (ICP) chemistry based on a mixture of CH 4, Ar, and H II was investigated for the purpose of delineating HgCdTe mesa structures and vias typically used in the fabrication of second and third generation infrared photo detector arrays. We report on ICP etching uniformity results and correlate them with plasma controlling parameters (gas flow rates, total chamber pressure, ICP power and RF power). The etching rate and surface morphology of In-doped MWIR and LWIR HgCdTe showed distinct dependences on the plasma chemistry, total pressure and RF power. Contact stylus profilometry and cross-section scanning electron microscopy (SEM) were used to characterize the anisotropy of the etched profiles obtained after various processes and a standard deviation of 0.06 μm was obtained for etch depth on 128 x 128 format array vias. The surface morphology and the uniformity of the etched surfaces were studied by plan view SEM. Atomic force microscopy was used to make precise assessments of surface roughness.

  3. Using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) to explore geochemical taphonomy of vertebrate fossils in the upper cretaceous two medicine and Judith River formations of Montana

    USGS Publications Warehouse

    Rogers, R.R.; Fricke, H.C.; Addona, V.; Canavan, R.R.; Dwyer, C.N.; Harwood, C.L.; Koenig, A.E.; Murray, R.; Thole, J.T.; Williams, J.

    2010-01-01

    Laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) was used to determine rare earth element (REE) content of 76 fossil bones collected from the Upper Cretaceous (Campanian) Two Medicine (TMF) and Judith River (JRF) Formations of Montana. REE content is distinctive at the formation scale, with TMF samples exhibiting generally higher overall REE content and greater variability in REE enrichment than JRF samples. Moreover, JRF bones exhibit relative enrichment in heavy REE, whereas TMF bones span heavy and light enrichment fields in roughly equal proportions. TMF bones are also characterized by more negative Ce anomalies and greater U enrichment than JRF bones, which is consistent with more oxidizing diagenetic conditions in the TMF. Bonebeds in both formations show general consistency in REE content, with no indication of spatial or temporal mixing within sites. Previous studies, however, suggest that the bonebeds in question are attritional assemblages that accumulated over considerable time spans. The absence of geochemical evidence for mixing is consistent with diagenesis transpiring in settings that remained chemically and hydrologically stable during recrystallization. Lithology-related patterns in REE content were also compared, and TMF bones recovered from fluvial sandstones show relative enrichment in heavy REE when compared with bones recovered from fine-grained floodplain deposits. In contrast, JRF bones, regardless of lithologic context (sandstone versus mudstone), exhibit similar patterns of REE uptake. This result is consistent with previous reconstructions that suggest that channel-hosted microfossil bonebeds of the JRF developed via the reworking of preexisting concentrations embedded in the interfluve. Geochemical data further indicate that reworked elements were potentially delivered to channels in a recrystallized condition, which is consistent with rapid adsorption of REE postmortem. Copyright ?? 2010, SEPM (Society for

  4. Fabrication of lithographically defined optical coupling facets for silicon-on-insulator waveguides by inductively coupled plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yap, K.P.; Lamontagne, B.; Delage, A.

    2006-05-15

    We present a technique to lithographically define and fabricate all required optical facets on a silicon-on-insulator photonic integrated circuit by an inductively coupled plasma etch process. This technique offers 1 {mu}m positioning accuracy of the facets at any location within the chip and eliminates the need of polishing. Facet fabrication consists of two separate steps to ensure sidewall verticality and minimize attack on the end surfaces of the waveguides. Protection of the waveguides by a thermally evaporated aluminum layer before the 40-70 {mu}m deep optical facet etching has been proven essential in assuring the facet smoothness and integrity. Both scanningmore » electron microscopy analysis and optical measurement results show that the quality of the facets prepared by this technique is comparable to the conventional facets prepared by polishing.« less

  5. Self-consistent multidimensional electron kinetic model for inductively coupled plasma sources

    NASA Astrophysics Data System (ADS)

    Dai, Fa Foster

    Inductively coupled plasma (ICP) sources have received increasing interest in microelectronics fabrication and lighting industry. In 2-D configuration space (r, z) and 2-D velocity domain (νθ,νz), a self- consistent electron kinetic analytic model is developed for various ICP sources. The electromagnetic (EM) model is established based on modal analysis, while the kinetic analysis gives the perturbed Maxwellian distribution of electrons by solving Boltzmann-Vlasov equation. The self- consistent algorithm combines the EM model and the kinetic analysis by updating their results consistently until the solution converges. The closed-form solutions in the analytical model provide rigorous and fast computing for the EM fields and the electron kinetic behavior. The kinetic analysis shows that the RF energy in an ICP source is extracted by a collisionless dissipation mechanism, if the electron thermovelocity is close to the RF phase velocities. A criterion for collisionless damping is thus given based on the analytic solutions. To achieve uniformly distributed plasma for plasma processing, we propose a novel discharge structure with both planar and vertical coil excitations. The theoretical results demonstrate improved uniformity for the excited azimuthal E-field in the chamber. Non-monotonic spatial decay in electric field and space current distributions was recently observed in weakly- collisional plasmas. The anomalous skin effect is found to be responsible for this phenomenon. The proposed model successfully models the non-monotonic spatial decay effect and achieves good agreements with the measurements for different applied RF powers. The proposed analytical model is compared with other theoretical models and different experimental measurements. The developed model is also applied to two kinds of ICP discharges used for electrodeless light sources. One structure uses a vertical internal coil antenna to excite plasmas and another has a metal shield to prevent the

  6. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    NASA Astrophysics Data System (ADS)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  7. [The possibilities for determining the shooting distance by means of inductively coupled plasma optical emission spectrometry].

    PubMed

    Svetlolobov, D Yu; Luzanova, I S; Zorin, Yu V; Makarov, I Yu; Lorents, A S

    The objective of the present study was to evaluate the possibilities for determining the shooting distance for the MR-79-9 Makarych non-lethal pistol (diameter 9 mm, rubber bullet, shot energy 50 J) by means of inductively coupled plasma optical emission spectrometry. The experiments were carried under the conditions of a ballistic shooting range making the shots from a distance of 0 to 120 cm. The 15×15 cm pieces of muslin fabric and biomaterials (leather) were used as the targets. The morphological signs of the damages inflicted to the targets were evaluated either with the unassisted eye, a criminalistical magnifying glass or the SMT-4 binocular stereoscopic microscope (Germany). The shot products, the area and boundaries of their dispersion were determined in reflected IR and filtered UV rays. Inductively coupled plasma optical emission spectrometry was applied for the qualitative and quantitative analysis of various shot products from the entry hole zone with the contamination (wipedown) bands and contusion collars being 0.2-0.5 cm (group 1) and 2-3 cm (group 2) in width, with special reference to the identification of Ba, Cu, Cr, Fe, K, Ni, Pb, Sb, Sn and Zn. The results of the study give evidence that the detection of Ba, Pb, and Sb among the products of a shot fired from the MR-79-9 Makarych non-lethal pistol is of especially high informative value for determining the shooting distance whereas the detection of Cr, K, Sn and Ni is of a minimum value for this purpose.

  8. Tandem Laser Induced Breakdown Spectroscopy (LIBS), Laser Ablation Inductively Coupled Plasma Mass Spectroscopy (LA-ICP-MS) and/or Laser Ablation Inductively Coupled Plasma Optical Emission Spectroscopy (LA-ICP-OES) for the analysis of samples of geological interest

    NASA Astrophysics Data System (ADS)

    Oropeza, D.

    2016-12-01

    A highly innovative laser ablation sampling instrument (J200 Tandem LA - LIBS) that combines the capabilities and analytical benefits of LIBS, LA-ICP-MS and LA-ICP-OES was used for micrometer-scale, spatially-resolved, elemental analysis of a wide variety of samples of geological interest. Data collected using ablation systems consisted of nanosecond (Nd:YAG operated 266nm) and femtosecond lasers (1030 and 343nm). An ICCD LIBS detector and Quadrupole based mass spectrometer were selected for LIBS and ICP-MS detection, respectively. This tandem instrument allows simultaneous determination of major and minor elements (for example, Si, Ca, Na, and Al, and trace elements such as Li, Ce, Cr, Sr, Y, Zn, Zr among others). The research also focused on elemental mapping and calibration strategies, specifically the use of emission and mass spectra for multivariate data analysis. Partial Least Square Regression (PLSR) is shown to minimize and compensate for matrix effects in the emission and mass spectra improving quantitative analysis by LIBS and LA-ICP-MS, respectively. The study provides a benchmark to evaluate analytical results for more complex geological sample matrices.

  9. Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Applications in Quantitative Proteomics.

    PubMed

    Chahrour, Osama; Malone, John

    2017-01-01

    Recent advances in inductively coupled plasma mass spectrometry (ICP-MS) hyphenated to different separation techniques have promoted it as a valuable tool in protein/peptide quantification. These emerging ICP-MS applications allow absolute quantification by measuring specific elemental responses. One approach quantifies elements already present in the structure of the target peptide (e.g. phosphorus and sulphur) as natural tags. Quantification of these natural tags allows the elucidation of the degree of protein phosphorylation in addition to absolute protein quantification. A separate approach is based on utilising bi-functional labelling substances (those containing ICP-MS detectable elements), that form a covalent chemical bond with the protein thus creating analogs which are detectable by ICP-MS. Based on the previously established stoichiometries of the labelling reagents, quantification can be achieved. This technique is very useful for the design of precise multiplexed quantitation schemes to address the challenges of biomarker screening and discovery. This review discusses the capabilities and different strategies to implement ICP-MS in the field of quantitative proteomics. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  10. Using inductively coupled plasma-mass spectrometry for calibration transfer between environmental CRMs.

    PubMed

    Turk, G C; Yu, L L; Salit, M L; Guthrie, W F

    2001-06-01

    Multielement analyses of environmental reference materials have been performed using existing certified reference materials (CRMs) as calibration standards for inductively coupled plasma-mass spectrometry. The analyses have been performed using a high-performance methodology that results in comparison measurement uncertainties that are significantly less than the uncertainties of the certified values of the calibration CRM. Consequently, the determined values have uncertainties that are very nearly equivalent to the uncertainties of the calibration CRM. Several uses of this calibration transfer are proposed, including, re-certification measurements of replacement CRMs, establishing traceability of one CRM to another, and demonstrating the equivalence of two CRMs. RM 8704, a river sediment, was analyzed using SRM 2704, Buffalo River Sediment, as the calibration standard. SRM 1632c, Trace Elements in Bituminous Coal, which is a replacement for SRM 1632b, was analyzed using SRM 1632b as the standard. SRM 1635, Trace Elements in Subbituminous Coal, was also analyzed using SRM 1632b as the standard.

  11. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Döbeli, Max; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-10-01

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially 18O substituted La0.6Sr0.4MnO3 target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  12. Development of a multi-variate calibration approach for quantitative analysis of oxidation resistant Mo-Si-B coatings using laser ablation inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Cakara, Anja; Bonta, Maximilian; Riedl, Helmut; Mayrhofer, Paul H.; Limbeck, Andreas

    2016-06-01

    Nowadays, for the production of oxidation protection coatings in ultrahigh temperature environments, alloys of Mo-Si-B are employed. The properties of the material, mainly the oxidation resistance, are strongly influenced by the Si to B ratio; thus reliable analytical methods are needed to assure exact determination of the material composition for the respective applications. For analysis of such coatings, laser ablation inductively coupled mass spectrometry (LA-ICP-MS) has been reported as a versatile method with no specific requirements on the nature of the sample. However, matrix effects represent the main limitation of laser-based solid sampling techniques and usually the use of matrix-matched standards for quantitative analysis is required. In this work, LA-ICP-MS analysis of samples with known composition and varying Mo, Si and B content was carried out. Between known analyte concentrations and derived LA-ICP-MS signal intensities no linear correlation could be found. In order to allow quantitative analysis independent of matrix effects, a multiple linear regression model was developed. Besides the three target analytes also the signals of possible argides (40Ar36Ar and 98Mo40Ar) as well as detected impurities of the Mo-Si-B coatings (108Pd) were considered. Applicability of the model to unknown samples was confirmed using external validation. Relative deviations from the values determined using conventional liquid analysis after sample digestion between 5 and 10% for the main components Mo and Si were observed.

  13. Axially and radially viewed inductively coupled plasmas — a critical review

    NASA Astrophysics Data System (ADS)

    Brenner, I. B.; Zander, A. T.

    2000-08-01

    The present status of axially viewed inductively coupled plasmas (ICP) is reviewed with special emphasis placed on the analytical performance of currently available systems. Descriptions are given of the various designs of the plasma-spectrometer configuration. Conventional figures of merit such as limits of detection, background behavior, interferences due to easily ionized elements (EIE), Ca and acids, and the Mg II 280.270 nm/Mg I 285.213 nm intensity ratio, are used to compare the performance of axially viewed and radially viewed ICPs. Various modes of sample introduction, including conventional pneumatic and ultrasonic nebulization (USN), thermospray and a direct injection probe will be described. For axially viewed ICPs, limits of detection (LOD) are improved by factors varying from approximately 2 to 30. Additional improvements by factors of 2-20 can be obtained using USN. The improvement factors generally depend on energy potentials of the spectral lines and the element. Although limits of detection in the presence of Ca and Na are degraded relative to an aqueous solution 10-30-fold, USN LODs using an axially viewed ICP are improved relative to those obtained using a pneumatic nebulizer for solutions containing Ca and Na. With normal aerosol load and under robust plasma conditions (as evidenced by Mg II/Mg I intensity ratios >8), EIE, Ca and mineral acid induced interferences are relatively small and are similar in axial and conventional radial configurations. However, interferences due to Ca are larger than those caused by Na due to the larger amount of energy required to dissociate the matrix. Matrix effects increase considerably when an USN is employed. For robust plasmas, ICP operating conditions and performance for multi-element quantitative analysis do not differ significantly from those of conventional radial configurations. In cases where robustness decreases, matrix interferences should be taken into account when establishing optimum conditions for

  14. Ion Clouds in the Inductively Coupled Plasma Torch: A Closer Look through Computations.

    PubMed

    Aghaei, Maryam; Lindner, Helmut; Bogaerts, Annemie

    2016-08-16

    We have computationally investigated the introduction of copper elemental particles in an inductively coupled plasma torch connected to a sampling cone, including for the first time the ionization of the sample. The sample is inserted as liquid particles, which are followed inside the entire torch, i.e., from the injector inlet up to the ionization and reaching the sampler. The spatial position of the ion clouds inside the torch as well as detailed information on the copper species fluxes at the position of the sampler orifice and the exhausts of the torch are provided. The effect of on- and off-axis injection is studied. We clearly show that the ion clouds of on-axis injected material are located closer to the sampler with less radial diffusion. This guarantees a higher transport efficiency through the sampler cone. Moreover, our model reveals the optimum ranges of applied power and flow rates, which ensure the proper position of ion clouds inside the torch, i.e., close enough to the sampler to increase the fraction that can enter the mass spectrometer and with minimum loss of material toward the exhausts as well as a sufficiently high plasma temperature for efficient ionization.

  15. Langmuir Probe Measurements of Inductively Coupled Plasma in CF4/AR/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i)), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad lip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  16. Langmuir Probe Measurements of Inductively Coupled Plasmas in CF4/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF (radio frequency) power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad dip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  17. Experimental observation of electron bounce resonance through electron energy distribution measurement in a finite size inductively coupled plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gu, Seuli; Kang, Hyun-Ju; Kim, Yu-Sin

    2016-06-15

    The electron bounce resonance was experimentally investigated in a low pressure planar inductively coupled plasma. The electron energy probability functions (EEPFs) were measured at different chamber heights and the energy diffusion coefficients were calculated by the kinetic model. It is found that the EEPFs begin to flatten at the first electron bounce resonance condition, and the plateau shifts to a higher electron energy as the chamber height increases. The plateau which indicates strong electron heating corresponds not only to the electron bounce resonance condition but also to the peaks of the first component of the energy diffusion coefficients. As amore » result, the plateau formation in the EEPFs is mainly due to the electron bounce resonance in a finite inductive discharge.« less

  18. Use of a parallel path nebulizer for capillary-based microseparation techniques coupled with an inductively coupled plasma mass spectrometer for speciation measurements

    NASA Astrophysics Data System (ADS)

    Yanes, Enrique G.; Miller-Ihli, Nancy J.

    2004-06-01

    A low flow, parallel path Mira Mist CE nebulizer designed for capillary electrophoresis (CE) was evaluated as a function of make-up solution flow rate, composition, and concentration, as well as the nebulizer gas flow rate. This research was conducted in support of a project related to the separation and quantification of cobalamin (vitamin B-12) species using microseparation techniques combined with inductively coupled plasma mass spectrometry (ICP-MS) detection. As such, Co signals were monitored during the nebulizer characterization process. Transient effects in the ICP were studied to evaluate the suitability of using gradients for microseparations and the benefit of using methanol for the make-up solution was demonstrated. Co signal response changed significantly as a function of changing methanol concentrations of the make-up solution and maximum signal enhancement was seen at 20% methanol with a 15 μl/min flow rate. Evaluation of the effect of changing the nebulizer gas flow rates showed that argon flows from 0.8 to 1.2 l/min were equally effective. The Mira Mist CE parallel path nebulizer was then evaluated for interfacing capillary microseparation techniques including capillary electrophoresis (CE) and micro high performance liquid chromatography (μHPLC) to inductively coupled plasma mass spectrometry (ICP-MS). A mixture of four cobalamin species standards (cyanocobalamin, hydroxocobalamin, methylcobalamin, and 5' deoxyadenosylcobalamin) and the corrinoid analogue cobinamide dicyanide were successfully separated using both CE-ICP-MS and μHPLC-ICP-MS using the parallel path nebulizer with a make-up solution containing 20% methanol with a flow rate of 15 μl/min.

  19. Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis

    2011-02-01

    The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.

  20. Gas and liquid chromatography with inductively coupled plasma mass spectrometry detection for environmental speciation analysis — advances and limitations

    NASA Astrophysics Data System (ADS)

    Szpunar, Joanna; McSheehy, Shona; Połeć, Kasia; Vacchina, Véronique; Mounicou, Sandra; Rodriguez, Isaac; Łobiński, Ryszard

    2000-07-01

    Recent advances in the coupling of gas chromatography (GC) and high performance liquid chromatography (HPLC) with inductively coupled plasma mass spectrometry (ICP MS) and their role in trace element speciation analysis of environmental materials are presented. The discussion is illustrated with three research examples concerning the following topics: (i) development and coupling of multicapillary microcolumn GC with ICP MS for speciation of organotin in sediment and biological tissue samples; (ii) speciation of arsenic in marine algae by size-exclusion-anion-exchange HPLC-ICP MS; and (iii) speciation of cadmium in plant cell cultures by size-exclusion HPLC-ICP MS. Particular attention is paid to the problem of signal identification in ICP MS chromatograms; the potential of electrospray MS/MS for this purpose is highlighted.

  1. Wall ablation of heated compound-materials into non-equilibrium discharge plasmas

    NASA Astrophysics Data System (ADS)

    Wang, Weizong; Kong, Linghan; Geng, Jinyue; Wei, Fuzhi; Xia, Guangqing

    2017-02-01

    The discharge properties of the plasma bulk flow near the surface of heated compound-materials strongly affects the kinetic layer parameters modeled and manifested in the Knudsen layer. This paper extends the widely used two-layer kinetic ablation model to the ablation controlled non-equilibrium discharge due to the fact that the local thermodynamic equilibrium (LTE) approximation is often violated as a result of the interaction between the plasma and solid walls. Modifications to the governing set of equations, to account for this effect, are derived and presented by assuming that the temperature of the electrons deviates from that of the heavy particles. The ablation characteristics of one typical material, polytetrafluoroethylene (PTFE) are calculated with this improved model. The internal degrees of freedom as well as the average particle mass and specific heat ratio of the polyatomic vapor, which strongly depends on the temperature, pressure and plasma non-equilibrium degree and plays a crucial role in the accurate determination of the ablation behavior by this model, are also taken into account. Our assessment showed the significance of including such modifications related to the non-equilibrium effect in the study of vaporization of heated compound materials in ablation controlled arcs. Additionally, a two-temperature magneto-hydrodynamic (MHD) model accounting for the thermal non-equilibrium occurring near the wall surface is developed and applied into an ablation-dominated discharge for an electro-thermal chemical launch device. Special attention is paid to the interaction between the non-equilibrium plasma and the solid propellant surface. Both the mass exchange process caused by the wall ablation and plasma species deposition as well as the associated momentum and energy exchange processes are taken into account. A detailed comparison of the results of the non-equilibrium model with those of an equilibrium model is presented. The non-equilibrium results

  2. Uranium quantification in semen by inductively coupled plasma mass spectrometry

    USGS Publications Warehouse

    Todorov, Todor I.; Ejnik, John W.; Guandalini, Gustavo S.; Xu, Hanna; Hoover, Dennis; Anderson, Larry W.; Squibb, Katherine; McDiarmid, Melissa A.; Centeno, Jose A.

    2013-01-01

    In this study we report uranium analysis for human semen samples. Uranium quantification was performed by inductively coupled plasma mass spectrometry. No additives, such as chymotrypsin or bovine serum albumin, were used for semen liquefaction, as they showed significant uranium content. For method validation we spiked 2 g aliquots of pooled control semen at three different levels of uranium: low at 5 pg/g, medium at 50 pg/g, and high at 1000 pg/g. The detection limit was determined to be 0.8 pg/g uranium in human semen. The data reproduced within 1.4–7% RSD and spike recoveries were 97–100%. The uranium level of the unspiked, pooled control semen was 2.9 pg/g of semen (n = 10). In addition six semen samples from a cohort of Veterans exposed to depleted uranium (DU) in the 1991 Gulf War were analyzed with no knowledge of their exposure history. Uranium levels in the Veterans’ semen samples ranged from undetectable (<0.8 pg/g) to 3350 pg/g. This wide concentration range for uranium in semen is consistent with known differences in current DU body burdens in these individuals, some of whom have retained embedded DU fragments.

  3. Inductively coupled plasma mass spectrometry and electrospray mass spectrometry for speciation analysis: applications and instrumentation

    NASA Astrophysics Data System (ADS)

    Rosen, Amy L.; Hieftje, Gary M.

    2004-02-01

    To gain an understanding of the function, toxicity and distribution of trace elements, it is necessary to determine not only the presence and concentration of the elements of interest, but also their speciation, by identifying and characterizing the compounds within which each is present. For sensitive detection of compounds containing elements of interest, inductively coupled plasma mass spectrometry (ICP-MS) is a popular method, and for identification of compounds via determination of molecular weight, electrospray ionization mass spectrometry (ESI-MS) is gaining increasing use. ICP-MS and ESI-MS, usually coupled to a separation technique such as chromatography or capillary electrophoresis, have already been applied to a large number of research problems in such diverse fields as environmental chemistry, nutritional science, and bioinorganic chemistry, but a great deal of work remains to be completed. Current areas of research to which ICP-MS and ESI-MS have been applied are discussed, and the existing instrumentation used to solve speciation problems is described.

  4. Assay of calcium borogluconate veterinary medicines for calcium gluconate, boric acid, phosphorus, and magnesium by using inductively coupled plasma emission spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lyons, D.J.; Spann, K.P.

    1985-03-01

    An inductively coupled plasma spectrometric method is described for the determination of 4 elements (Ca, B, P, and Mg) in calcium borogluconate veterinary medicines. Samples are diluted, acidified, and sprayed directly into the plasma. Reproducibility relative confidence intervals for a single sample assay are +/- 1.4% (calcium), +/- 1.8% (boron), +/- 2.6% (phosphorus), and +/- 1.4% (magnesium). The total element concentrations for each of 4 elements compared favorably with concentrations determined by alternative methods. Formulation estimates of levels of calcium gluconate, boric acid, phosphorus, and magnesium salts can be made from the analytical data.

  5. Improvements to CHI Plasma Start-up and Ramp-up in NSTX

    NASA Astrophysics Data System (ADS)

    Jarboe, T. R.; Raman, R.; Nelson, B. A.; Mueller, D.; Bell, M. G.; Roquemore, L.; Kugel, H. W.; Soukhanovskii, V.

    2009-11-01

    Experiments in NSTX have now demonstrated the savings of central solenoid inductive flux after coupling of toroidal plasmas produced by the technique of Coaxial Helicity Injection (CHI) to inductive sustainment and ramp-up of the toroidal plasma current. In these discharges, the central solenoid with zero pre-charge was used to apply an inductive loop voltage to the decaying CHI started discharges. The coupled discharges ramped up to 800kA without the benefit of auxiliary heating. Inductive flux savings was realized as a result of an effort to reduce the influx of low-Z impurities during the plasma start-up phase. This was achieved through the use of 400ms long CHI discharges produced using a DC rectifier power supply to ablate low-Z surface impurities from the lower divertor electrodes, followed by the use of Lithium evaporative coatings and an effort to reduce spurious arcs in the upper divertor region by controlling the extent of CHI plasma growth in the vessel. Previous work on NSTX has shown that CHI started discharges after coupling to neutral beam heated discharges can transition to an H-mode. These important new results from NSTX demonstrate that CHI is a viable solenoid-free plasma startup method for future STs and Tokamaks. This work supported by U.S. DOE Contracts # DE-AC02-09CH11466 and DE-FG02-99ER54519 AM08.

  6. Performance Effects of Adding a Parallel Capacitor to a Pulse Inductive Plasma Accelerator Powertrain

    NASA Technical Reports Server (NTRS)

    Polzin, Kurt A.; Sivak, Amy D.; Balla, Joseph V.

    2011-01-01

    Pulsed inductive plasma accelerators are electrodeless space propulsion devices where a capacitor is charged to an initial voltage and then discharged through a coil as a high-current pulse that inductively couples energy into the propellant. The field produced by this pulse ionizes the propellant, producing a plasma near the face of the coil. Once a plasma is formed if can be accelerated and expelled at a high exhaust velocity by the Lorentz force arising from the interaction of an induced plasma current and the magnetic field. While there are many coil geometries that can be employed to inductively accelerate a plasma, in this paper the discussion is limit to planar geometries where the coil take the shape of a flat spiral. A recent review of the developmental history of planar-geometry pulsed inductive thrusters can be found in Ref. [1]. Two concepts that have employed this geometry are the Pulsed Inductive Thruster (PIT) and the Faraday Accelerator with Radio-frequency Assisted Discharge (FARAD).

  7. Measurements of collisionless heating effects in the H-mode of an inductively coupled plasma system

    NASA Astrophysics Data System (ADS)

    Zaka-Ul-Islam, Mujahid; Graham, Bill; Gans, Timo; Niemi, Kari; O'Connell, Deborah

    2013-09-01

    Inductively coupled plasma systems (ICPs) for processing applications are often operated at low pressures, in the near-collisionless regime. In this regime, the electron mean free path is comparable or larger than the plasma dimensions. The electron dynamics in such ICPs has been investigated here, using phase and space resolved optical emission spectroscopy (PROES) and Langmuir probe measurements. The PROES measurements are also used to calculate the Fourier harmonics components of the 2D excitation (in the radial axial plane). The experimental system is a standard GEC cell with the axial gap of ~4 cm, powered by 13.56 MHz RF power supply. The gas pressure was varied between 0.5 - 2 Pa. The PROES measurements and Fourier harmonics components confirm many of the previous simulation results in comparable operational regimes. The results show that in the 2D (radial-axial) plane, the plasma power is deposited in a spatially non-uniform and non-linear manner, with axial layers of positive and negative power absorption. The contribution of these nonlinear effects decreases with an increase in the pressure, as observed in previous experimental and simulation results.

  8. Numerical study of heating and evaporation processes of quartz particles in RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Grishin, Yu M.; Miao, Long

    2017-05-01

    Numerical simulations of heat and evaporation processes of quartz particles in Ar radio frequency inductively coupled plasma (ICP) are investigated. The quartz particles are supplied by the carrier gas into the ICP within gas-cooling. It is shown that with the increase of amplitude of discharge current above critical value there is a toroidal vortex in the ICP torch at the first coil. The conditions for the formation of vortex and the parameters of the vortex tube have been evaluated and determined. The influence of vortex, discharge current, coil numbers and feed rate of carrier gas on the evaporation efficiency of quartz particles have been demonstrated. It was found that the optimal discharge current is close to the critical value when the quartz particles with initial sizes up to 130 μm can be fully vaporized in the ICP torch with thermal power of 10kW. The heat and evaporation processes of quartz particles in the ICP torch have significant importance for the study of one-step plasma chemical reaction method directly producing silicon from silicide (SiO2) in the argon-hydrogen plasma.

  9. A generalized electron energy probability function for inductively coupled plasmas under conditions of nonlocal electron kinetics

    NASA Astrophysics Data System (ADS)

    Mouchtouris, S.; Kokkoris, G.

    2018-01-01

    A generalized equation for the electron energy probability function (EEPF) of inductively coupled Ar plasmas is proposed under conditions of nonlocal electron kinetics and diffusive cooling. The proposed equation describes the local EEPF in a discharge and the independent variable is the kinetic energy of electrons. The EEPF consists of a bulk and a depleted tail part and incorporates the effect of the plasma potential, Vp, and pressure. Due to diffusive cooling, the break point of the EEPF is eVp. The pressure alters the shape of the bulk and the slope of the tail part. The parameters of the proposed EEPF are extracted by fitting to measure EEPFs (at one point in the reactor) at different pressures. By coupling the proposed EEPF with a hybrid plasma model, measurements in the gaseous electronics conference reference reactor concerning (a) the electron density and temperature and the plasma potential, either spatially resolved or at different pressure (10-50 mTorr) and power, and (b) the ion current density of the electrode, are well reproduced. The effect of the choice of the EEPF on the results is investigated by a comparison to an EEPF coming from the Boltzmann equation (local electron kinetics approach) and to a Maxwellian EEPF. The accuracy of the results and the fact that the proposed EEPF is predefined renders its use a reliable alternative with a low computational cost compared to stochastic electron kinetic models at low pressure conditions, which can be extended to other gases and/or different electron heating mechanisms.

  10. Analytical performance of a low-gas-flow torch optimized for inductively coupled plasma atomic emission spectrometry

    USGS Publications Warehouse

    Montaser, A.; Huse, G.R.; Wax, R.A.; Chan, S.-K.; Golightly, D.W.; Kane, J.S.; Dorrzapf, A.F.

    1984-01-01

    An inductively coupled Ar plasma (ICP), generated in a lowflow torch, was investigated by the simplex optimization technique for simultaneous, multielement, atomic emission spectrometry (AES). The variables studied included forward power, observation height, gas flow (outer, intermediate, and nebulizer carrier) and sample uptake rate. When the ICP was operated at 720-W forward power with a total gas flow of 5 L/min, the signal-to-background ratios (S/B) of spectral lines from 20 elements were either comparable or inferior, by a factor ranging from 1.5 to 2, to the results obtained from a conventional Ar ICP. Matrix effect studies on the Ca-PO4 system revealed that the plasma generated in the low-flow torch was as free of vaporizatton-atomizatton interferences as the conventional ICP, but easily ionizable elements produced a greater level of suppression or enhancement effects which could be reduced at higher forward powers. Electron number densities, as determined via the series until line merging technique, were tower ht the plasma sustained in the low-flow torch as compared with the conventional ICP. ?? 1984 American Chemical Society.

  11. Statistical evaluation of an inductively coupled plasma atomic emission spectrometric method for routine water quality testing

    USGS Publications Warehouse

    Garbarino, J.R.; Jones, B.E.; Stein, G.P.

    1985-01-01

    In an interlaboratory test, inductively coupled plasma atomic emission spectrometry (ICP-AES) was compared with flame atomic absorption spectrometry and molecular absorption spectrophotometry for the determination of 17 major and trace elements in 100 filtered natural water samples. No unacceptable biases were detected. The analysis precision of ICP-AES was found to be equal to or better than alternative methods. Known-addition recovery experiments demonstrated that the ICP-AES determinations are accurate to between plus or minus 2 and plus or minus 10 percent; four-fifths of the tests yielded average recoveries of 95-105 percent, with an average relative standard deviation of about 5 percent.

  12. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    NASA Astrophysics Data System (ADS)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  13. Capacitively-coupled inductive sensor

    DOEpatents

    Ekdahl, Carl A.

    1984-01-01

    A capacitively coupled inductive shunt current sensor which utilizes capacitive coupling between flanges having an annular inductive channel formed therein. A voltage dividing capacitor is connected between the coupling capacitor and ground to provide immediate capacitive division of the output signal so as to provide a high frequency response of the current pulse to be detected. The present invention can be used in any desired outer conductor such as the outer conductor of a coaxial transmission line, the outer conductor of an electron beam transmission line, etc.

  14. Modeling and Simulation of Ablation-Controlled Plasmas

    NASA Astrophysics Data System (ADS)

    Kundrapu, Madhusudhan N.

    Ablation and plasma formation in high energy laser target interactions and arc discharges are studied numerically. Each of the two processes is modeled separately due to the type of energy source and the resulting flow eld. Ablation of the target material and plasma formation are coupled to obtain evaporation rate, temperature distribution, velocity eld, and species concentration self-consistently. Laser ablation is studied in the perspective of directed energy applications, where beam size varies from few centimeters to tens of centimeters with energies extending up to 10 kW/cm2. Because of this high energy deposition, the evaporated material expands to supersonic speeds into the free space. Due to the large spot sizes and associated supersonic flow, one dimensional Euler equations are considered to be sufficient for modeling the plume. Instead, more emphasis was given to evaporation model, by introducing Knudsen layer kinetics at the plume target interface, and plasma shielding. The evaporation rate is validated with results from the experiments and simulations are carried out to nd the in fluence of laser beam frequency on evaporation rates. The evaporation model used in this work is found to be more accurate than the widely used model based on sonic speed assumption. The optimum beam wavelength for Al surfaces is found to be 850 nm. Attenuation of telemetry data by plasma is a concern for the testing of directed energy systems. Electrostatic approach for the mitigation of communication attenuation is analyzed to obtain the fluency limits up to which the approach can be implemented. It is found from sheath calculations that uninterrupted telemetry can be achieved through Al plasma for fluences below 4 J/cm2 at a background pressure of 1 atm, using a maximum bias voltage of 10 kV . Arc discharge ablation is modeled for the synthesis of nanoparticles. The electric arc generated between the electrodes, placed inside a Helium chamber, evaporates the catalyst

  15. Spectroscopic Measurements of Collision-less Coupling Between Explosive Debris Plasmas and Ambient, Magnetized Background Plasmas

    NASA Astrophysics Data System (ADS)

    Bondarenko, Anton; Schaeffer, Derek; Everson, Erik; Vincena, Stephen; van Compernolle, Bart; Constantin, Carmen; Clark, Eric; Niemann, Christoph

    2013-10-01

    Emission spectroscopy is currently being utilized in order to assess collision-less momentum and energy coupling between explosive debris plasmas and ambient, magnetized background plasmas of astrophysical relevance. In recent campaigns on the Large Plasma Device (LAPD) (nelec =1012 -1013 cm-3, Telec ~ 5 eV, B0 = 200 - 400 G) utilizing the new Raptor laser facility (1053 nm, 100 J per pulse, 25 ns FWHM), laser-ablated carbon debris plasmas were generated within ambient, magnetized helium background plasmas and prominent spectral lines of carbon and helium ions were studied in high spectral (0 . 01 nm) and temporal (50 ns) resolution. Time-resolved velocity components extracted from Doppler shift measurements of the C+4 227 . 1 nm spectral line along two perpendicular axes reveal significant deceleration as the ions stream and gyrate within the helium background plasma, indicating collision-less momentum coupling. The He+1 320 . 3 nm and 468 . 6 nm spectral lines of the helium background plasma are observed to broaden and intensify in response to the carbon debris plasma, indicative of strong electric fields (Stark broadening) and energetic electrons. The experimental results are compared to 2D hybrid code simulations.

  16. Quantitative real-time monitoring of multi-elements in airborne particulates by direct introduction into an inductively coupled plasma mass spectrometer

    NASA Astrophysics Data System (ADS)

    Suzuki, Yoshinari; Sato, Hikaru; Hiyoshi, Katsuhiro; Furuta, Naoki

    2012-10-01

    A new calibration system for real-time determination of trace elements in airborne particulates was developed. Airborne particulates were directly introduced into an inductively coupled plasma mass spectrometer, and the concentrations of 15 trace elements were determined by means of an external calibration method. External standard solutions were nebulized by an ultrasonic nebulizer (USN) coupled with a desolvation system, and the resulting aerosol was introduced into the plasma. The efficiency of sample introduction via the USN was calculated by two methods: (1) the introduction of a Cr standard solution via the USN was compared with introduction of a Cr(CO)6 standard gas via a standard gas generator and (2) the aerosol generated by the USN was trapped on filters and then analyzed. The Cr introduction efficiencies obtained by the two methods were the same, and the introduction efficiencies of the other elements were equal to the introduction efficiency of Cr. Our results indicated that our calibration method for introduction efficiency worked well for the 15 elements (Ti, V, Cr, Mn, Co, Ni, Cu, Zn, As, Mo, Sn, Sb, Ba, Tl and Pb). The real-time data and the filter-collection data agreed well for elements with low-melting oxides (V, Co, As, Mo, Sb, Tl, and Pb). In contrast, the real-time data were smaller than the filter-collection data for elements with high-melting oxides (Ti, Cr, Mn, Ni, Cu, Zn, Sn, and Ba). This result implies that the oxides of these 8 elements were not completely fused, vaporized, atomized, and ionized in the initial radiation zone of the inductively coupled plasma. However, quantitative real-time monitoring can be realized after correction for the element recoveries which can be calculated from the ratio of real-time data/filter-collection data.

  17. Profiling metals in Cordyceps sinensis by using inductively coupled plasma mass spectrometry.

    PubMed

    Wei, Xin; Hu, Hankun; Zheng, Baogeng; Arslan, Zikri; Huang, Hung-Chung; Mao, Weidong; Liu, Yi-Ming

    2017-01-28

    Cordyceps sinensis ( C. sinensis ) is a natural product that has diverse nutritional and medicinal values. Since the availability of natural C. sinensis becomes limited its authentication and quality control is of high significance. Herein we report on profiling of metals in C. sinensis by using inductively coupled plasma mass spectrometry (ICP-MS). The analysis reveals that C. sinensis contains a wide array of essential elements, including P, Mg, Zn, Cu, Fe, etc. Toxic metals detected are Cd, Pb, and As. In all five samples analyzed Pb contents are below 2.0 ppm. Arsenic level in C. sinensis caterpillar is significantly higher than that in its mycelium and varies from 3.0 to 32 ppm likely due to soil contamination. It's for the first time demonstrated in this work that clustering analysis on the proposed metal profiles consisting of 24 elements is very useful to identify "abnormal" C. sinensis samples, thus adding another dimension to the effective means for authentication and quality assessment of this highly demanded previous natural product.

  18. Inductively coupled plasma atomic emission spectrometric determination of tin in canned food.

    PubMed

    Sumitani, H; Suekane, S; Nakatani, A; Tatsuka, K

    1993-01-01

    Various canned foods were digested sequentially with HNO3 and HCl, diluted to 100 mL, and filtered, and then tin was determined by inductively coupled plasma atomic emission spectrometry (ICP/AES). Samples of canned Satsuma mandarin, peach, apricot, pineapple, apple juice, mushroom, asparagus, evaporated milk, short-necked clam, spinach, whole tomato, meat, and salmon were evaluated. Sample preparations did not require time-consuming dilutions, because ICP/AES has wide dynamic range. The standard addition method was used to determine tin concentration. Accuracy of the method was tested by analyzing analytical standards containing tin at 2 levels (50 and 250 micrograms/g). The amounts of tin found for the 50 and 250 micrograms/g levels were 50.5 and 256 micrograms/g, respectively, and the repeatability coefficients of variation were 4.0 and 3.8%, respectively. Recovery of tin from 13 canned foods spiked at 2 levels (50 and 250 micrograms/g) ranged from 93.9 to 109.4%, with a mean of 99.2%. The quantitation limit for tin standard solution was about 0.5 microgram/g.

  19. Pulsed Inductive Plasma Acceleration: Performance Optimization Criteria

    NASA Technical Reports Server (NTRS)

    Polzin, Kurt A.

    2014-01-01

    Optimization criteria for pulsed inductive plasma acceleration are developed using an acceleration model consisting of a set of coupled circuit equations describing the time-varying current in the thruster and a one-dimensional momentum equation. The model is nondimensionalized, resulting in the identification of several scaling parameters that are varied to optimize the performance of the thruster. The analysis reveals the benefits of underdamped current waveforms and leads to a performance optimization criterion that requires the matching of the natural period of the discharge and the acceleration timescale imposed by the inertia of the working gas. In addition, the performance increases when a greater fraction of the propellant is initially located nearer to the inductive acceleration coil. While the dimensionless model uses a constant temperature formulation in calculating performance, the scaling parameters that yield the optimum performance are shown to be relatively invariant if a self-consistent description of energy in the plasma is instead used.

  20. [Determination of 24 minerals in human milk by inductively coupled plasma mass spectrometry with microwave digestion].

    PubMed

    Sun, Zhongqing; Yue, Bing; Yang, Zhenyu; Li, Xiaowei; Wu, Yongning; Yin, Shian

    2013-05-01

    To determine the levels of 24 minerals in human milk by inductively coupled plasma mass spectrometry with microwave digestion. The samples were digested by microwave. The contents of minerals were determined by inductively coupled plasma mass spectrometry. The standard reference minerals of 1849a and 1568a from National Institute of Science and Technology were used for quality control. The accuracy and reproduability for this method were evaluated with mix standards and 1849a and 1568a standard reference materials. The ranges of the levels of sodium, magnesium, phosphorus, potassium, calcium, aluminum, chromium, arsenic, selenium, iron, zinc, manganese, copper, molybdenum, vanadium, cobalt, nickel, gallium, cadmium, silver, strontium, cesium, barium, lead in human milk was 34.97-415.83 mg/kg, 19.00-39.52 mg/kg, 102.13-274.53 mg/kg, 351.19-713.99 mg/kg, 180.08-349.64 mg/kg, 0.06-0.44 mg/kg, 0.9-7.37 microg/kg, 0.92-2.72 microg/kg, 0.20-21.15 microg/kg, 0.10-0.70 mg/kg, 0.56-3.25 mg/kg, 3.00-16.12 micro.g/kg, 62.16-591.69 microg/kg, 0.02-6.91 microg/kg, 5.99-13.70 microg/kg, 0.07-2.11 microg/kg, 0.77-209.26 microg/kg, 0.005-0.28 microg/kg, 0.02-0.23 microg/kg, 0.02-0.71 microg/kg, 36.89-132.26 microg/kg, 0.01-4.72 microg/kg, 0.83-28.16 microg/kg, 2.5-5.3 microg/kg, respectively. The levels of minerals in human milk in present study were consisted with other similar studies. The experiment examined the levels of minerals in human milk satisfactorily. The method has high accuracy and good reproducibility, which could be used for understanding the levels of minerals in human milk.

  1. Introduction of organic/hydro-organic matrices in inductively coupled plasma optical emission spectrometry and mass spectrometry: a tutorial review. Part II. Practical considerations.

    PubMed

    Leclercq, Amélie; Nonell, Anthony; Todolí Torró, José Luis; Bresson, Carole; Vio, Laurent; Vercouter, Thomas; Chartier, Frédéric

    2015-07-23

    Inductively coupled plasma optical emission spectrometry (ICP-OES) and mass spectrometry (ICP-MS) are increasingly used to carry out analyses in organic/hydro-organic matrices. The introduction of such matrices into ICP sources is particularly challenging and can be the cause of numerous drawbacks. This tutorial review, divided in two parts, explores the rich literature related to the introduction of organic/hydro-organic matrices in ICP sources. Part I provided theoretical considerations associated with the physico-chemical properties of such matrices, in an attempt to understand the induced phenomena. Part II of this tutorial review is dedicated to more practical considerations on instrumentation, instrumental and operating parameters, as well as analytical strategies for elemental quantification in such matrices. Two important issues are addressed in this part: the first concerns the instrumentation and optimization of instrumental and operating parameters, pointing out (i) the description, benefits and drawbacks of different kinds of nebulization and desolvation devices and the impact of more specific instrumental parameters such as the injector characteristics and the material used for the cone; and, (ii) the optimization of operating parameters, for both ICP-OES and ICP-MS. Even if it is at the margin of this tutorial review, Electrothermal Vaporization and Laser Ablation will also be shortly described. The second issue is devoted to the analytical strategies for elemental quantification in such matrices, with particular insight into the isotope dilution technique, particularly used in speciation analysis by ICP-coupled separation techniques. Copyright © 2015 Elsevier B.V. All rights reserved.

  2. Preparation And Analysis Of Specimens Of Ablative Materials

    NASA Technical Reports Server (NTRS)

    Solomon, William C.

    1994-01-01

    Procedure for chemical analysis of specimens of silicone-based ablative thermal-insulation materials SLA-561 and MA25 involves acid digestion of specimens to prepare them for analysis by inductively-coupled-plasma/atomic-emission spectroscopy (ICP/AES). In comparison with atomic-absorption spectroscopy (AAS), ICP/AES is faster and more accurate than AAS. Results of analyses stored in data base, used to trace variations in concentrations of chemical elements in materials during long-term storage, and used in timely manner in investigations of failures. Acid-digestion portion of procedure applied to other thermal-insulation materials containing room-temperature-vulcanizing silicones and enables instrumental analysis of these materials.

  3. Collisionless Coupling between Explosive Debris Plasma and Magnetized Ambient Plasma

    NASA Astrophysics Data System (ADS)

    Bondarenko, Anton

    2016-10-01

    The explosive expansion of a dense debris plasma cloud into relatively tenuous, magnetized, ambient plasma characterizes a wide variety of astrophysical and space phenomena, including supernova remnants, interplanetary coronal mass ejections, and ionospheric explosions. In these rarified environments, collective electromagnetic processes rather than Coulomb collisions typically mediate the transfer of momentum and energy from the debris plasma to the ambient plasma. In an effort to better understand the detailed physics of collisionless coupling mechanisms in a reproducible laboratory setting, the present research jointly utilizes the Large Plasma Device (LAPD) and the Phoenix laser facility at UCLA to study the super-Alfvénic, quasi-perpendicular expansion of laser-produced carbon (C) and hydrogen (H) debris plasma through preformed, magnetized helium (He) ambient plasma via a variety of diagnostics, including emission spectroscopy, wavelength-filtered imaging, and magnetic field induction probes. Large Doppler shifts detected in a He II ion spectral line directly indicate initial ambient ion acceleration transverse to both the debris plasma flow and the background magnetic field, indicative of a fundamental process known as Larmor coupling. Characterization of the laser-produced debris plasma via a radiation-hydrodynamics code permits an explicit calculation of the laminar electric field in the framework of a ``hybrid'' model (kinetic ions, charge-neutralizing massless fluid electrons), thus allowing for a simulation of the initial response of a distribution of He II test ions. A synthetic Doppler-shifted spectrum constructed from the simulated velocity distribution of the accelerated test ions excellently reproduces the spectroscopic measurements, confirming the role of Larmor coupling in the debris-ambient interaction.

  4. Investigation of a measure of robustness in inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Makonnen, Yoseif; Beauchemin, Diane

    2015-01-01

    In industrial/commercial settings where operators often have minimal expertise in inductively coupled plasma (ICP) mass spectrometry (MS), there is a prevalent need for a response factor indicating robust plasma conditions, which is analogous to the Mg II/Mg I ratio in ICP optical emission spectrometry (OES), whereby a Mg II/Mg I ratio of 10 constitutes robust conditions. While minimizing the oxide ratio usually corresponds to robust conditions, there is no specific target value that is widely accepted as indicating robust conditions. Furthermore, tuning for low oxide ratios does not necessarily guarantee minimal matrix effects, as they really address polyatomic interferences. From experiments, conducted in parallel for both MS and OES, there were some element pairs of similar mass and very different ionization potential that were exploited for such a purpose, the rationale being that, if these elements were ionized to the same extent, then that could be indicative of a robust plasma. The Be II/Li I intensity ratio was directly related to the Mg II/Mg I ratio in OES. Moreover, the 9Be+/7Li+ ratio was inversely related to the CeO+/Ce+ and LaO+/La+ oxide ratios in MS. The effects of different matrices (i.e. 0.01-0.1 M Na) were also investigated and compared to a conventional argon plasma optimized for maximum sensitivity. The suppression effect of these matrices was significantly reduced, if not eliminated in the case of 0.01 M Na, when the 9Be+/7Li+ ratio was around 0.30 on the Varian 820 MS instrument. Moreover, a very similar ratio (0.28) increased robustness to the same extent on a completely different ICP-MS instrument (PerkinElmer NEXION). Much greater robustness was achieved using a mixed-gas plasma with nitrogen in the outer gas and either nitrogen or hydrogen as a sheathing gas, as the 9Be+/7Li+ ratio was then around 1.70. To the best of our knowledge, this is the first report on using a simple analyte intensity ratio, 9Be+/7Li+, to gauge plasma robustness.

  5. Modeling of the plasma extraction efficiency of an inductively coupled plasma-mass spectrometer interface using the direct simulation Monte Carlo method

    NASA Astrophysics Data System (ADS)

    Kivel, Niko; Potthast, Heiko-Dirk; Günther-Leopold, Ines; Vanhaecke, Frank; Günther, Detlef

    The interface between the atmospheric pressure plasma ion source and the high vacuum mass spectrometer is a crucial part of an inductively coupled plasma-mass spectrometer. It influences the efficiency of the mass transfer into the mass spectrometer, it also contributes to the formation of interfering ions and to mass discrimination. This region was simulated using the Direct Simulation Monte Carlo method with respect to the formation of shock waves, mass transport and mass discrimination. The modeling results for shock waves and mass transport are in overall agreement with the literature. Insights into the effects and geometrical features causing mass discrimination could be gained. The overall observed collision based mass discrimination is lower than expected from measurements on real instruments, supporting the assumptions that inter-particle collisions play a minor role in this context published earlier. A full representation of the study, for two selected geometries, is given in form of a movie as supplementary data.

  6. Ablation and radiation coupled viscous hypersonic shock layers, volume 1

    NASA Technical Reports Server (NTRS)

    Engel, C. D.

    1971-01-01

    The results for a stagnation-line analysis of the radiative heating of a phenolic-nylon ablator are presented. The analysis includes flow field coupling with the ablator surface, equilibrium chemistry, a step-function diffusion model and a coupled line and continuum radiation calculation. This report serves as the documentation, i e. users manual and operating instructions for the computer programs listed in the report.

  7. Determination of rare earth elements in geological materials by inductively coupled argon plasma/atomic emission spectrometry

    USGS Publications Warehouse

    Crock, J.G.; Lichte, F.E.

    1982-01-01

    Inductively coupled argon plasma/optical emission spectrometery (ICAP/OES) is useful as a simultaneous, multielement analytical technique for the determination of trace elements in geological materials. A method for the determination of trace-level rare earth elements (REE) in geological materials using an ICAP 63-channel emission spectrometer is described. Separation and preconcentration of the REE and yttrium from a sample digest are achieved by a nitric acid gradient cation exchange and hydrochloric acid anion exchange. Precision of 1-4% relative standard deviation and comparable accuracy are demonstrated by the triplicate analysis of three splits of BCR-1 and BHVO-1. Analyses of other geological materials including coals, soils, and rocks show comparable precision and accuracy.

  8. Impact of Coupled Radiation and Ablation on the Aerothermodynamics of Meteor Entries

    NASA Technical Reports Server (NTRS)

    Johnston, Christopher O.; Stern, Eric C.

    2017-01-01

    A high-fidelity approach for simulating the aerothermodynamic environments of meteor entries is developed. Two primary components of this model are coupled radiation and coupled ablation. Coupled radiation accounts for the impact of radiation on the flow field energy equations, while coupled ablation explicitly models the injection of ablation products within the flow field and radiation simulations. For a meteoroid with a velocity of 20 km/s, coupled radiation reduces the stagnation point radiative heating by over 60%. For altitudes below 40 km, the impact of coupled radiation on the flow field structure is shown to be fundamentally different, as a result of the large optical thicknesses, than that seen for reentry vehicles, which do not reach such altitudes at velocities greater than 10 km/s. The impact of coupled ablation (with coupled radiation) is shown to provide at least a 70% reduction in the radiative heating relative to the coupled-radiation-only cases. This large reduction is partially the result of the low ionization energies, relative to air species, of ablation products. The low ionization energies of ablation products, such as Mg and Ca, provide strong photoionization and atomic line absorption in regions of the spectrum that air species do not. MgO and CaO are also shown to provide significant absorption. Turbulence is shown to impact the distribution of ablation products through the shock- layer, which results in up to a 100% increase in the radiative heating downstream of the stagnation point. To create a database of heat transfer coefficients the developed model was applied to a range of cases. This database considered velocities ranging from 14 to 20 km/s, altitudes ranging from 20 to 50 km, and nose radii ranging from 1 to 100 m. The heat transfer coefficients from these simulations are below 0.045 for the range of cases (with turbulence), which is significantly lower than the canonical value of 0.1.

  9. Biofunctionalized Hybrid Magnetic Gold Nanoparticles as Catalysts for Photothermal Ablation of Colorectal Liver Metastases.

    PubMed

    White, Sarah B; Kim, Dong-Hyun; Guo, Yang; Li, Weiguo; Yang, Yihe; Chen, Jeane; Gogineni, Venkateswara R; Larson, Andrew C

    2017-12-01

    Purpose To demonstrate that anti-MG1 conjugated hybrid magnetic gold nanoparticles (HNPs) act as a catalyst during photothermal ablation (PTA) of colorectal liver metastases, and thus increase ablation zones. Materials and Methods All experiments were performed with approval of the institutional animal care and use committee. Therapeutic and diagnostic multifunctional HNPs conjugated with anti-MG1 monoclonal antibodies were synthesized, and the coupling efficiency was determined. Livers of 19 Wistar rats were implanted with 5 × 10 6 rat colorectal liver metastasis cell line cells. The rats were divided into three groups according to injection: anti-MG1-coupled HNPs (n = 6), HNPs only (n = 6), and cells only (control group, n = 7). Voxel-wise R2 and R2* magnetic resonance (MR) imaging measurements were obtained before, immediately after, and 24 hours after injection. PTA was then performed with a fiber-coupled near-infrared (808 nm) diode laser with laser power of 0.56 W/cm 2 for 3 minutes, while temperature changes were measured. Tumors were assessed for necrosis with hematoxylin-eosin staining. Organs were analyzed with inductively coupled plasma mass spectrometry to assess biodistribution. Therapeutic efficacy and tumor necrosis area were compared by using a one-way analysis of variance with post hoc analysis for statistically significant differences. Results The coupling efficiency was 22 μg/mg (55%). Significant differences were found between preinfusion and 24-hour postinfusion measurements of both T2 (repeated measures analysis of variance, P = .025) and T2* (P < .001). Significant differences also existed for T2* measurements between the anti-MG1 HNP and HNP-only groups (P = .034). Mean temperature ± standard deviation with PTA in the anti-MG1-coated HNP, HNP, and control groups was 50.2°C ± 7.8, 51°C ± 4.4, and 39.5°C ± 2.0, respectively. Inductively coupled plasma mass spectrometry revealed significant tumor targeting and splenic sequestration

  10. Laser Induced Breakdown Spectroscopy (LIBS)

    DTIC Science & Technology

    2010-03-31

    mass spectrometry and laser induced breakdown spectroscopy, Spe T Trejos, A Flores and JR. Almirall, Micro-spectrochemical analysis of document paper...and gel inks by laser ablation inductively coupled plasma mass spectrometry and laser induced breakdown spectroscopy, Spectrochimica Acta Part B...abstracts): 1. *Schenk, E.R. “Elemental analysis of unprocessed cotton by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and laser

  11. Laser ablated copper plasmas in liquid and gas ambient

    NASA Astrophysics Data System (ADS)

    Kumar, Bhupesh; Thareja, Raj K.

    2013-05-01

    The dynamics of copper ablated plasma plumes generated using laser ablation of copper targets in both liquid (de-ionized water) and gas (air) ambients is reported. Using time and space resolved visible emission spectroscopy (450-650 nm), the plasma plumes parameters are investigated. The electron density (ne) determined using Stark broadening of the Cu I (3d104d1 2D3/2-3d104p1 2P3/2 at 521.8 nm) line is estimated and compared for both plasma plumes. The electron temperature (Te) was estimated using the relative line emission intensities of the neutral copper transitions. Field emission scanning electron microscopy and energy dispersive x-ray spectral analysis of the ablated copper surface indicated abundance of spherical nanoparticles in liquid while those in air are amalgamates of irregular shapes. The nanoparticles suspended in the confining liquid form aggregates and exhibit a surface plasmon resonance at ˜590 nm.

  12. Spectroscopic Measurements of Collision-less Coupling Between Laser-Produced, Super-Alfvénic Debris Plasmas and Magnetized, Ambient Plasmas

    NASA Astrophysics Data System (ADS)

    Bondarenko, Anton; Everson, E.; Schaeffer, D.; Constantin, C.; Vincena, S.; Van Compernolle, B.; Clark, S.; Niemann, C.

    2013-06-01

    Emission spectroscopy is currently being utilized in order to assess collision-less momentum and energy coupling between super-Alfvénic debris plasmas and magnetized, ambient plasmas of astrophysical relevance. In a recent campaign on the Large Plasma Device (LAPD) utilizing the Phoenix laboratory Raptor laser (130 J, 25 ns FWHM), laser-ablated carbon debris plasmas were generated within magnetized, ambient helium plasmas (nelec ≈ 3×1012 cm-3, Telec ≈ 5.5 eV, B0 = 200 G), and prominent spectral lines of carbon and helium ions were studied in high resolution (˜ 0.01 nm). Time-resolved Doppler shift and width measurements of a C V ion spectral line reveal significant deceleration as the ions stream through the background plasma, which may indirectly indicate momentum coupling. Spectral lines of He II ions are observed to intensify by orders of magnitude and broaden, indicating energy transfer from the debris plasma to the background plasma.

  13. Laser Ablation Molecular Isotopic Spectrometry for Molecules Formation Chemistry in Femtosecond-Laser Ablated Plasmas.

    PubMed

    Hou, Huaming; Mao, Xianglei; Zorba, Vassilia; Russo, Richard E

    2017-07-18

    Recently, laser ablated molecular isotopic spectrometry (LAMIS) has expanded its capability to explore molecules formation mechanism in laser-induced plasma in addition to isotope analysis. LAMIS is a powerful tool for tracking the origination of atoms that is involved in formation of investigated molecules by labeling atoms with their isotopic substitution. The evolutionary formation pathways of organic molecules, especially of C 2 dimers and CN radicals, were frequently reported. However, very little is known about the formation pathways for metallic radicals and heterodimers in laser ablated plasma. This research focuses on elucidating the formation pathways of AlO radicals in femtosecond laser ablated plasma from 18 O-labeled Al 2 O 3 pellet. Plasmas expanding with strong forward bias in the direction normal to the sample surface were generated in the wake of a weakly ionized channel created by a femtosecond laser. The formation mechanism of AlO and influence of air were investigated with multiple plasma diagnostic methods such as monochromatic fast gating imaging, spatiotemporal resolved optical emission spectroscopy, and LAMIS. An advanced LAMIS fitting procedure was used to deduce the spatiotemporal distributions of Al 18 O and Al 16 O number densities and also their ratios. We found that the Al 16 O/Al 18 O number density ratio is higher for plasma portion closer to the sample surface, which suggests that chemical reactions between the plasma plume and ambient air are more intense at the tail of the plasma. The results also reveals that direct association of free Al and O atoms is the main mechanism for the formation of AlO at the early stage of the plasma. To the contrast, chemical reactions between plasma materials and ambient oxygen molecules and the isotope exchange effect are the dominant mechanisms of the formation of AlO and evolution of Al 16 O/Al 18 O number density ratio at the late stage of the plasma.

  14. Effect of a Second, Parallel Capacitor on the Performance of a Pulse Inductive Plasma Thruster

    NASA Technical Reports Server (NTRS)

    Polzin, Kurt A.; Balla, Joseph V.

    2010-01-01

    Pulsed inductive plasma accelerators are electrodeless space propulsion devices where a capacitor is charged to an initial voltage and is then discharged through an inductive coil that couples energy into the propellant, ionizing and accelerating it to produce thrust. A model that employs a set of circuit equations (as illustrated in Fig. 1a) coupled to a one-dimensional momentum equation has been previously used by Lovberg and Dailey [1] and Polzin et al. [2-4] to model the plasma acceleration process in pulsed inductive thrusters. In this paper an extra capacitor, inductor, and resistor are added to the system in the manner illustrated in the schematic shown in Fig. 1b. If the second capacitor has a smaller value than the initially charged capacitor, it can serve to increase the current rise rate through the inductive coil. Increasing the current rise rate should serve to better ionize the propellant. The equation of motion is solved to find the effect of an increased current rise rate on the acceleration process. We examine the tradeoffs between enhancing the breakdown process (increasing current rise rate) and altering the plasma acceleration process. These results provide insight into the performance of modified circuits in an inductive thruster, revealing how this design permutation can affect an inductive thruster's performance.

  15. Determination of Total Arsenic and Speciation in Apple Juice by Liquid Chromatography-Inductively Coupled Plasma Mass Spectrometry: An Experiment for the Analytical Chemistry Laboratory

    ERIC Educational Resources Information Center

    He, Ping; Colon, Luis A.; Aga, Diana S.

    2016-01-01

    A two-part laboratory experiment was designed for upper-level analytical chemistry students to provide hands-on experience in the use of high performance liquid chromatography (HPLC) for separation and inductively coupled plasma mass spectrometry (ICP-MS) for detection. In the first part of the experiment, the students analyze total arsenic in…

  16. Simultaneous determination of macronutrients, micronutrients and trace elements in mineral fertilizers by inductively coupled plasma optical emission spectrometry

    NASA Astrophysics Data System (ADS)

    de Oliveira Souza, Sidnei; da Costa, Silvânio Silvério Lopes; Santos, Dayane Melo; dos Santos Pinto, Jéssica; Garcia, Carlos Alexandre Borges; Alves, José do Patrocínio Hora; Araujo, Rennan Geovanny Oliveira

    2014-06-01

    An analytical method for simultaneous determination of macronutrients (Ca, Mg, Na and P), micronutrients (Cu, Fe, Mn and Zn) and trace elements (Al, As, Cd, Pb and V) in mineral fertilizers was optimized. Two-level full factorial design was applied to evaluate the optimal proportions of reagents used in the sample digestion on hot plate. A Doehlert design for two variables was used to evaluate the operating conditions of the inductively coupled plasma optical emission spectrometer in order to accomplish the simultaneous determination of the analyte concentrations. The limits of quantification (LOQs) ranged from 2.0 mg kg- 1 for Mn to 77.3 mg kg- 1 for P. The accuracy and precision of the proposed method were evaluated by analysis of standard reference materials (SRMs) of Western phosphate rock (NIST 694), Florida phosphate rock (NIST 120C) and Trace elements in multi-nutrient fertilizer (NIST 695), considered to be adequate for simultaneous determination. Twenty-one samples of mineral fertilizers collected in Sergipe State, Brazil, were analyzed. For all samples, the As, Ca, Cd and Pb concentrations were below the LOQ values of the analytical method. For As, Cd and Pb the obtained LOQ values were below the maximum limit allowed by the Brazilian Ministry of Agriculture, Livestock and Food Supply (Ministério da Agricultura, Pecuária e Abastecimento - MAPA). The optimized method presented good accuracy and was effectively applied to quantitative simultaneous determination of the analytes in mineral fertilizers by inductively coupled plasma optical emission spectrometry (ICP OES).

  17. Experimental evaluation of analyte excitation mechanisms in the inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Lehn, Scott A.; Hieftje, Gary M.

    2003-10-01

    The inductively coupled plasma (ICP) is a justifiably popular source for atomic emission spectrometry. However, despite its popularity, the ICP is still only partially understood. Even the mechanisms of analyte excitation remain unclear; some energy levels are quite clearly populated by charge transfer while others might be populated by electron-ion recombination, by electron impact, or by Penning processes. Distinguishing among these alternatives is possible by means of a steady-state kinetics approach that examines correlations between the emission of a selected atom, ion, or level and the local number densities of species assumed to produce the excitation. In an earlier investigation, strong correlations were found between either calcium atom or ion emission and selected combinations of calcium atom or ion number densities and electron number densities in the plasma. However, all radially resolved data employed in the earlier study were produced from Abel inversion and from measurements that were crude by today's standards. Now, by means of tomographic imaging, laser-saturated atomic fluorescence, and Thomson and Rayleigh scattering, it is possible to measure the required radially resolved data without Abel inversion and with far greater fidelity. The correlations previously studied for calcium have been investigated with these more reliable data. Ion-electron recombination, either radiative or with argon as a third body, was determined to be the most likely excitation mechanism for calcium atom, while electron impact appeared to be the most important process to produce excite-state calcium ions. These results were consistent with the previous study. However, the present study suggests that collisional deactivation, rather than radiative decay, is the most likely mode of returning both calcium atoms and ions to the ground state.

  18. Bioimaging of metallothioneins in ocular tissue sections by laser ablation-ICP-MS using bioconjugated gold nanoclusters as specific tags.

    PubMed

    Cruz-Alonso, María; Fernandez, Beatriz; Álvarez, Lydia; González-Iglesias, Héctor; Traub, Heike; Jakubowski, Norbert; Pereiro, Rosario

    2017-12-18

    An immunohistochemical method is described to visualize the distribution of metallothioneins 1/2 (MT 1/2) and metallothionein 3 (MT 3) in human ocular tissue. It is making use of (a) antibodies conjugated to gold nanoclusters (AuNCs) acting as labels, and (b) laser ablation (LA) coupled to inductively coupled plasma - mass spectrometry (ICP-MS). Water-soluble fluorescent AuNCs (with an average size of 2.7 nm) were synthesized and then conjugated to antibody by carbodiimide coupling. The surface of the modified AuNCs was then blocked with hydroxylamine to avoid nonspecific interactions with biological tissue. Immunoassays for MT 1/2 and MT 3 in ocular tissue sections (5 μm thick) from two post mortem human donors were performed. Imaging studies were then performed by fluorescence using confocal microscopy, and LA-ICP-MS was performed in the retina to measure the signal for gold. Signal amplification by the >500 gold atoms in each nanocluster allowed the antigens (MT 1/2 and MT 3) to be imaged by LA-ICP-MS using a laser spot size as small as 4 μm. The image patterns found in retina are in good agreement with those obtained by conventional fluorescence immunohistochemistry which was used as an established reference method. Graphical abstract Gold nanoclusters (AuNCs) conjugated to a primary specific antibody serve as a label for amplified bioimaging of metallothioneins (MTs) by laser ablation coupled to inductively coupled plasma - mass spectrometry (ICP-MS) in human ocular tissue sections.

  19. Long-wave plasma radiofrequency ablation for treatment of xanthelasma palpebrarum.

    PubMed

    Baroni, Adone

    2018-03-01

    Xanthelasma palpebrarum is the most common type of xanthoma affecting the eyelids. It is characterized by asymptomatic soft yellowish macules, papules, or plaques over the upper and lower eyelids. Many treatments are available for management of xanthelasma palpebrarum, the most commonly used include surgical excision, ablative CO 2 or erbium lasers, nonablative Q-switched Nd:YAG laser, trichloroacetic acid peeling, and radiofrequency ablation. This study aims to evaluate the effectiveness of RF ablation in the treatment of xanthelasma palpebrarum, with D.A.S. Medical portable device (Technolux, Italia), a radiofrequency tool working with long-wave plasma energy and without anesthesia. Twenty patients, 15 female and 5 male, affected by xanthelasma palpebrarum, were enrolled for long-wave plasma radiofrequency ablation treatment. The treatment consisted of 3/4 sessions that were carried out at intervals of 30 days. Treatments were well tolerated by all patients with no adverse effects and optimal aesthetic results. The procedure is very fast and can be performed without anesthesia because of the low and tolerable pain stimulation. Long-wave plasma radiofrequency ablation is an effective option for treatment of xanthelasma palpebrarum and adds an additional tool to the increasing list of medical devices for aesthetic treatments. © 2018 Wiley Periodicals, Inc.

  20. A critical review of inductively coupled plasma-mass spectrometry for geoanalysis, geochemistry and hydrology, Part 1. Analytical performance

    USGS Publications Warehouse

    Brenner, I.B.; Taylor, Howard E.

    1992-01-01

    Present-day inductively coupled plasma-mass spectrometry (ICP-MS) instrumentation is described briefly. Emphasis is placed on performance characteristics for geoanalysis, geochemistry, and hydrology. Applications where ICP-MS would be indispensable are indicated. Determination of geochemically diagnostic trace elements (such as the rare earth elements [REE], U and Th), of isotope ratios for fingerprinting, tracer and other geo-isotope applications, and benchmark isotope dilution determinations are considered to be typical priority applications for ICP-MS. It is concluded that ICP-MS furnishes unique geoanalytical and environmental data that are not readily provided by conventional spectroscopic (emission and absorption) techniques.

  1. A Variable Frequency, Mis-Match Tolerant, Inductive Plasma Source

    NASA Astrophysics Data System (ADS)

    Rogers, Anthony; Kirchner, Don; Skiff, Fred

    2014-10-01

    Presented here is a survey and analysis of an inductively coupled, magnetically confined, singly ionized Argon plasma generated by a square-wave, variable frequency plasma source. The helicon-style antenna is driven directly by the class ``D'' amplifier without matching network for increased efficiency while maintaining independent control of frequency and applied power at the feed point. The survey is compared to similar data taken using a traditional exciter--power amplifier--matching network source. Specifically, the flexibility of this plasma source in terms of the independent control of electron plasma temperature and density is discussed in comparison to traditional source arrangements. Supported by US DOE Grant DE-FG02-99ER54543.

  2. Depleted uranium analysis in blood by inductively coupled plasma mass spectrometry

    USGS Publications Warehouse

    Todorov, T.I.; Xu, H.; Ejnik, J.W.; Mullick, F.G.; Squibb, K.; McDiarmid, M.A.; Centeno, J.A.

    2009-01-01

    In this study we report depleted uranium (DU) analysis in whole blood samples. Internal exposure to DU causes increased uranium levels as well as change in the uranium isotopic composition in blood specimen. For identification of DU exposure we used the 235U/238U ratio in blood samples, which ranges from 0.00725 for natural uranium to 0.002 for depleted uranium. Uranium quantification and isotopic composition analysis were performed by inductively coupled plasma mass spectrometry. For method validation we used eight spiked blood samples with known uranium concentrations and isotopic composition. The detection limit for quantification was determined to be 4 ng L-1 uranium in whole blood. The data reproduced within 1-5% RSD and an accuracy of 1-4%. In order to achieve a 235U/238U ratio range of 0.00698-0.00752% with 99.7% confidence limit a minimum whole blood uranium concentration of 60 ng L??1 was required. An additional 10 samples from a cohort of veterans exposed to DU in Gulf War I were analyzed with no knowledge of their medical history. The measured 235U/ 238U ratios in the blood samples were used to identify the presence or absence of DU exposure within this patient group. ?? 2009 The Royal Society of Chemistry.

  3. Trace elemental composition of curry by inductively coupled plasma optical emission spectrometry (ICP-OES).

    PubMed

    Gonzálvez, A; Armenta, S; De La Guardia, M

    2008-01-01

    A methodology based on inductively coupled plasma optical emission spectrometry (ICP-OES) after microwave-assisted acid digestion was developed to determine the content of traces elements in curry samples from the Spanish market. The methodology was validated in terms of accuracy by the analysis of citrus and tomato leaf reference materials achieving comparable results with the certified values. The trace metal content of curry samples was compared with data available from previously published reports concerning Indian samples, especially in terms of heavy metal composition, in order to guarantee the quality of the commercially available spices in the European countries. Values found for the analysis of arsenic, lead and cadmium were significantly lower than the maximum limit allowed by European Union statutory limits for heavy metals and lower than those obtained for Indian curry leaves reported by Indian research teams by using neutron activation and γ-ray analysis.

  4. Characterisation of zinc-binding domains of peroxisomal RING finger proteins using size exclusion chromatography/inductively coupled plasma-mass spectrometry.

    PubMed

    Koellensperger, Gunda; Daubert, Simon; Erdmann, Ralf; Hann, Stephan; Rottensteiner, Hanspeter

    2007-11-01

    We determined the zinc binding stoichiometry of peroxisomal RING finger proteins by measuring sulfur/metal ratios using inductively coupled plasma-mass spectrometry coupled to size exclusion chromatography, a strategy that provides a fast and quantitative overview on the binding of metals in proteins. As a quality control, liquid chromatography-electrospray ionisation-time of flight-mass spectrometry was used to measure the molar masses of the intact proteins. The RING fingers of Pex2p, Pex10p, and Pex12p showed a stoichiometry of 2.0, 2.1, and 1.2 mol zinc/mol protein, respectively. Thus, Pex2p and Pex10p possess a typical RING domain with two coordinated zinc atoms, whereas that of Pex12p coordinates only a single zinc atom.

  5. Determination of 241Am in sediments by isotope dilution high resolution inductively coupled plasma mass spectrometry (ID HR ICP-MS).

    PubMed

    Agarande, M; Benzoubir, S; Bouisset, P; Calmet, D

    2001-08-01

    Trace levels (pg kg(-1)) of 241Am in sediments were determined by isotope dilution high resolution inductively coupled plasma mass spectrometry (ID HR ICP-MS) using a microconcentric nebulizer. 241Am was isolated from major elements like Ca and Fe by different selective precipitations. In further steps. Am was first separated from other transuranic elements and purified by anion exchange and extraction chromatography prior to the mass spectrometric measurements. The ID HR ICP-MS results are compared with isotope dilution alpha spectrometry.

  6. Simulation of laser interaction with ablative plasma and hydrodynamic behavior of laser supported plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tong Huifeng; Yuan Hong; Tang Zhiping

    When an intense laser beam irradiates on a solid target, ambient air ionizes and becomes plasma, while part of the target rises in temperature, melts, vaporizes, ionizes, and yet becomes plasma. A general Godunov finite difference scheme WENO (Weighted Essentially Non-Oscillatory Scheme) with fifth-order accuracy is used to simulate 2-dimensional axis symmetrical laser-supported plasma flow field in the process of laser ablation. The model of the calculation of ionization degree of plasma and the interaction between laser beam and plasma are considered in the simulation. The numerical simulations obtain the profiles of temperature, density, and velocity at different times whichmore » show the evolvement of the ablative plasma. The simulated results show that the laser energy is strongly absorbed by plasma on target surface and that the velocity of laser supported detonation (LSD) wave is half of the ideal LSD value derived from Chapman-Jouguet detonation theory.« less

  7. High Resolution Studies of the Origins of Polyatomic Ions in Inductively Coupled Plasma-Mass Spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ferguson, Jill Wisnewski

    2006-01-01

    The inductively coupled plasma (ICP) is an atmospheric pressure ionization source. Traditionally, the plasma is sampled via a sampler cone. A supersonic jet develops behind the sampler, and this region is pumped down to a pressure of approximately one Torr. A skimmer cone is located inside this zone of silence to transmit ions into the mass spectrometer. The position of the sampler and skimmer cones relative to the initial radiation and normal analytical zones of the plasma is key to optimizing the useful analytical signal [1]. The ICP both atomizes and ionizes the sample. Polyatomic ions form through ion-molecule interactionsmore » either in the ICP or during ion extraction [l]. Common polyatomic ions that inhibit analysis include metal oxides (MO +), adducts with argon, the gas most commonly used to make up the plasma, and hydride species. While high resolution devices can separate many analytes from common interferences, this is done at great cost in ion transmission efficiency--a loss of 99% when using high versus low resolution on the same instrument [2]. Simple quadrupole devices, which make up the bulk of ICP-MS instruments in existence, do not present this option. Therefore, if the source of polyatomic interferences can be determined and then manipulated, this could potentially improve the figures of merit on all ICP-MS devices, not just the high resolution devices often utilized to study polyatomic interferences.« less

  8. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    NASA Astrophysics Data System (ADS)

    Hirsch, Jens; Gaudig, Maria; Bernhard, Norbert; Lausch, Dominik

    2016-06-01

    The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF6 and O2 are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 1015 cm-3 minority carrier density (MCD) after an atomic layer deposition (ALD) with Al2O3. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique to substitute the industrial state of the art wet chemical textures in the solar cell mass production.

  9. [Research on cells ablation characters by laser plasma].

    PubMed

    Han, Jing-hua; Zhang, Xin-gang; Cai, Xiao-tang; Duan, Tao; Feng, Guo-ying; Yang, Li-ming; Zhang, Ya-jun; Wang, Shao-peng; Li, Shi-wen

    2012-08-01

    The study on the mechanism of laser ablated cells is of importance to laser surgery and killing harmful cells. Three radiation modes were researched on the ablation characteristics of onion epidermal cells under: laser direct irradiation, focused irradiation and the laser plasma radiation. Based on the thermodynamic properties of the laser irradiation, the cell temperature rise and phase change have been analyzed. The experiments show that the cells damage under direct irradiation is not obvious at all, but the focused irradiation can cause cells to split and moisture removal. The removal shape is circular with larger area and rough fracture edges. The theoretical analysis found out that the laser plasma effects play a key role in the laser ablation. The thermal effects, radiation ionization and shock waves can increase the deposition of laser pulses energy and impact peeling of the cells, which will greatly increase the scope and efficiency of cell killing and is suitable for the cell destruction.

  10. Numerical studies of wall–plasma interactions and ionization phenomena in an ablative pulsed plasma thruster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Lei; School of Astronautics, Beihang University, Beijing 100191; Zeng, Guangshang

    2016-07-15

    Wall–plasma interactions excited by ablation controlled arcs are very critical physical processes in pulsed plasma thrusters (PPTs). Their effects on the ionization processes of ablated vapor into discharge plasma directly determine PPT performances. To reveal the physics governing the ionization phenomena in PPT discharge, a modified model taking into account the pyrolysis effect of heated polytetrafluoroethylene propellant on the wall–plasma interactions was developed. The feasibility of the modified model was analyzed by creating a one-dimensional simulation of a rectangular ablative PPT. The wall–plasma interaction results based on this modified model were found to be more realistic than for the unmodifiedmore » model; this reflects the dynamic changes of the inflow parameters during discharge in our model. Furthermore, the temporal and spatial variations of the different plasma species in the discharge chamber were numerically studied. The numerical studies showed that polytetrafluoroethylene plasma was mainly composed of monovalent ions; carbon and fluorine ions were concentrated in the upstream and downstream discharge chamber, respectively. The results based on this modified model were in good agreement with the experimental formation times of the various plasma species. A large number of short-lived and highly ionized carbon and fluorine species (divalent and trivalent ions) were created during initial discharge. These highly ionized species reached their peak density earlier than the singly ionized species.« less

  11. Influence of Coupled Radiation and Ablation on the Aerothermodynamic Environment of Planetary Entry Vehicles

    NASA Technical Reports Server (NTRS)

    Johnston, Christopher O.; Gnoffo, Peter A.; Mazaheri, Alireza

    2013-01-01

    A review of recently published coupled radiation and ablation capabilities involving the simulation of hypersonic flowfields relevant to Earth, Mars, or Venus entry is presented. The three fundamental mechanisms of radiation coupling are identified as radiative cooling, precursor photochemistry, and ablation-radiation interaction. The impact of these mechanisms are shown to be significant for a 3 m radius sphere entering Earth at hypothetical Mars return conditions (approximately 15 km/s). To estimate the influence precursor absorption on the radiative flux for a wide range of conditions, a simplified approach is developed that requires only the non-precursor solution. Details of a developed coupled ablation approach, which is capable of treating both massively ablating flowfields in the sublimation regime and weakly ablating diffusion Climited oxidation cases, are presented. A review of the two primary uncoupled ablation approximations, identified as the blowing correction and film coefficient approximations, is made and their impact for Earth and Mars entries is shown to be significant for recession and convective heating predictions. Fully coupled ablation and radiation simulations are presented for the Mars return sphere throughout its entire trajectory. Applying to the Mars return sphere the Pioneer- Venus heritage carbon phenolic heatshield, which has properties available in the open literature, the differences between steady state ablation and coupling to a material response code are shown to be significant.

  12. Addition of Si-Containing Gases for Anisotropic Etching of III-V Materials in Chlorine-Based Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Gatilova, Lina; Bouchoule, Sophie; Patriarche, Gilles; Guilet, Stephane

    2011-08-01

    We discuss the possibility of obtaining high-aspect-ratio etching of InP materials in Cl2- and HBr-based inductively coupled plasmas (ICP) with the addition of Si-containing gases (SiH4 or SiCl4). A vertical and smooth etching profile is demonstrated in SiCl4/H2 plasma. The effect of adding of a small amount of SiH4 to a previously optimised Cl2/H2 chemistry is presented, and new SiH4/Cl2 and SiH4/HBr chemistries are proposed. Ex-situ energy-dispersive X-ray spectroscopy coupled to transmission electron microscopy (EDX-TEM) is used to analyze the composition of the thin passivation layer deposited on the etched sidewalls. We show that it consists of a Si-rich silicon oxide (Si/O˜1) in Cl2/H2/SiH4 chemistry, and is changed to nano-crystalline (nc-) Si in SiH4/Cl2 chemistry depending on the SiH4 percentage. Moreover, we show that deep anisotropic etching of InP independent of the electrode coverplate material can be obtained via a SiOx passivation mechanism with the addition of Si-containing gases.

  13. Implicit Coupling Approach for Simulation of Charring Carbon Ablators

    NASA Technical Reports Server (NTRS)

    Chen, Yih-Kanq; Gokcen, Tahir

    2013-01-01

    This study demonstrates that coupling of a material thermal response code and a flow solver with nonequilibrium gas/surface interaction for simulation of charring carbon ablators can be performed using an implicit approach. The material thermal response code used in this study is the three-dimensional version of Fully Implicit Ablation and Thermal response program, which predicts charring material thermal response and shape change on hypersonic space vehicles. The flow code solves the reacting Navier-Stokes equations using Data Parallel Line Relaxation method. Coupling between the material response and flow codes is performed by solving the surface mass balance in flow solver and the surface energy balance in material response code. Thus, the material surface recession is predicted in flow code, and the surface temperature and pyrolysis gas injection rate are computed in material response code. It is demonstrated that the time-lagged explicit approach is sufficient for simulations at low surface heating conditions, in which the surface ablation rate is not a strong function of the surface temperature. At elevated surface heating conditions, the implicit approach has to be taken, because the carbon ablation rate becomes a stiff function of the surface temperature, and thus the explicit approach appears to be inappropriate resulting in severe numerical oscillations of predicted surface temperature. Implicit coupling for simulation of arc-jet models is performed, and the predictions are compared with measured data. Implicit coupling for trajectory based simulation of Stardust fore-body heat shield is also conducted. The predicted stagnation point total recession is compared with that predicted using the chemical equilibrium surface assumption

  14. Comparison method for uranium determination in ore sample by inductively coupled plasma optical emission spectrometry (ICP-OES).

    PubMed

    Sert, Şenol

    2013-07-01

    A comparison method for the determination (without sample pre-concentration) of uranium in ore by inductively coupled plasma optical emission spectrometry (ICP-OES) has been performed. The experiments were conducted using three procedures: matrix matching, plasma optimization, and internal standardization for three emission lines of uranium. Three wavelengths of Sm were tested as internal standard for the internal standardization method. The robust conditions were evaluated using applied radiofrequency power, nebulizer argon gas flow rate, and sample uptake flow rate by considering the intensity ratio of the Mg(II) 280.270 nm and Mg(I) 285.213 nm lines. Analytical characterization of method was assessed by limit of detection and relative standard deviation values. The certificated reference soil sample IAEA S-8 was analyzed, and the uranium determination at 367.007 nm with internal standardization using Sm at 359.260 nm has been shown to improve accuracy compared with other methods. The developed method was used for real uranium ore sample analysis.

  15. Inductively coupled BCl 3/Cl 2 /Ar plasma etching of Al-rich AlGaN

    DOE PAGES

    Douglas, Erica A.; Sanchez, Carlos A.; Kaplar, Robert J.; ...

    2016-12-01

    Varying atomic ratios in compound semiconductors is well known to have large effects on the etching properties of the material. The use of thin device barrier layers, down to 25 nm, adds to the fabrication complexity by requiring precise control over etch rates and surface morphology. The effects of bias power and gas ratio of BCl 3 to Cl 2 for inductively coupled plasma etching of high Al content AlGaN were contrasted with AlN in this study for etch rate, selectivity, and surface morphology. Etch rates were greatly affected by both bias power and gas chemistry. Here we detail themore » effects of small variations in Al composition for AlGaN and show substantial changes in etch rate with regards to bias power as compared to AlN.« less

  16. Laser ablation with applied magnetic field for electric propulsion

    NASA Astrophysics Data System (ADS)

    Batishcheva, Alla; Batishchev, Oleg; Cambier, Jean-Luc

    2012-10-01

    Using ultrafast lasers with tera-watt-level power allows efficient ablation and ionization of solid-density materials [1], creating dense and hot (˜100eV) plasma. We propose ablating small droplets in the magnetic nozzle configurations similar to mini-helicon plasma source [2]. Such approach may improve the momentum coupling compared to ablation of solid surfaces and facilitate plasma detachment. Results of 2D modeling of solid wire ablation in the applied magnetic field are presented and discussed. [4pt] [1] O. Batishchev et al, Ultrafast Laser Ablation for Space Propulsion, AIAA technical paper 2008-5294, -16p, 44th JPC, Hartford, 2008.[0pt] [2] O. Batishchev and J.L. Cambier, Experimental Study of the Mini-Helicon Thruster, Air Force Research Laboratory Report, AFRL-RZ-ED-TR-2009-0020, 2009.

  17. Accurate determination of non-metallic impurities in high purity tetramethylammonium hydroxide using inductively coupled plasma tandem mass spectrometry

    NASA Astrophysics Data System (ADS)

    Fu, Liang; Xie, Hualin; Shi, Shuyun; Chen, Xiaoqing

    2018-06-01

    The content of non-metallic impurities in high-purity tetramethylammonium hydroxide (HPTMAH) aqueous solution has an important influence on the yield, electrical properties and reliability of the integrated circuit during the process of chip etching and cleaning. Therefore, an efficient analytical method to directly quantify the content of non-metallic impurities in HPTMAH aqueous solutions is necessary. The present study was aimed to develop a novel method that can accurately determine seven non-metallic impurities (B, Si, P, S, Cl, As, and Se) in an aqueous solution of HPTMAH by inductively coupled plasma tandem mass spectrometry (ICP-MS/MS). The samples were measured using a direct injection method. In the MS/MS mode, oxygen and hydrogen were used as reaction gases in the octopole reaction system (ORS) to eliminate mass spectral interferences during the analytical process. The detection limits of B, Si, P, S, Cl, As, and Se were 0.31, 0.48, 0.051, 0.27, 3.10, 0.008, and 0.005 μg L-1, respectively. The samples were analyzed by the developed method and the sector field inductively coupled plasma mass spectrometry (SF-ICP-MS) was used for contrastive analysis. The values of these seven elements measured using ICP-MS/MS were consistent with those measured by SF-ICP-MS. The proposed method can be utilized to analyze non-metallic impurities in HPTMAH aqueous solution. Table S2 Multiple potential interferences on the analytes. Table S3 Parameters of calibration curve and the detection limit (DL). Table S4 Results obtained for 25% concentration high-purity grade TMAH aqueous solution samples (μg L-1, mean ± standard deviation, n = 10).

  18. Rapid and simple determination of selenium in blood serum by inductively coupled plasma-mass spectrometry (ICP-MS).

    PubMed

    Labat, L; Dehon, B; Lhermitte, M

    2003-05-01

    An inductively coupled plasma mass spectrometer (ICP-MS) with a rapid sample-preparative procedure was used for the determination of selenium in blood serum. Blood serum was prepared by dilution in an acidic solution consisting of nitric acid (1%), X-triton (0.1%) and 1-butanol (0.8%). A calibration curve was established for 1-40 microg mL(-1) (r(2)>0.99). The limit of detection was 0.5 microg mL(-1). Repeatability and intermediate precision were satisfactory with relative standard deviations (RSD) of 2.0% and 3.2%, respectively. This method was easily applied to reference materials with satisfactory accuracy. Good correlation (r(2)=0.96) was observed between ICP-MS and atomic absorption spectrometry (AAS) for the determination of (82)Se in blood serum from 23 patients. These results suggest that the sample preparative procedure coupled with ICP-MS can be used for the routine determination of (82)Se in human blood serum.

  19. Isotope-ratio measurements of lead in NIST standard reference materials by multiple-collector inductively coupled plasma mass spectrometry.

    PubMed

    Platzner, I; Ehrlich, S; Halicz, L

    2001-07-01

    The capability of a second-generation Nu Instruments multiple collector inductively coupled plasma mass spectrometer (MC-ICP-MS) has been evaluated for precise and accurate isotope-ratio determinations of lead. Essentially the mass spectrometer is a double-focusing instrument of Nier-Johnson analyzer geometry equipped with a newly designed variable-dispersion ion optical device, enabling the measured ion beams to be focused into a fixed array of Faraday collectors and an ion-counting assembly. NIST SRM Pb 981, 982, and 983 isotopic standards were used. Addition of thallium to the lead standards and subsequent simultaneous measurement of the thallium and lead isotopes enabled correction for mass discrimination, by use of the exponential correction law and 205Tl/203Tl = 2.3875. Six measurements of SRM Pb-982 furnished the results 206Pb/204Pb = 36.7326(68), 207Pb/204Pb = 17.1543(30), 208Pb/204Pb = 36.7249(69), 207Pb/206Pb = 0.46700(1), and 208Pb/206Pb = 0.99979(2); the NIST-certified values were 36.738(37), 17.159(25), 36.744(50), 0.46707(20), and 1.00016(36), respectively. Direct isotope lead analysis in silicates can be performed without any chemical separation. NIST SRM 610 glass was dissolved and introduced into the MC-ICP-MS by means of a micro concentric nebulizer. The ratios observed were in excellent agreement with previously reported data obtained by TIMS and laser ablation MC-ICP-MS, despite the high Ca/Pb concentration ratio (200/1) and the presence of many other elements at levels comparable with that of lead. Approximately 0.2 microg lead are sufficient for isotope analysis with ratio uncertainties between 240 and 530 ppm.

  20. Determination of lead, cadmium and mercury in blood for assessment of environmental exposure: A comparison between inductively coupled plasma mass spectrometry and atomic absorption spectrometry

    NASA Astrophysics Data System (ADS)

    Palmer, Christopher D.; Lewis, Miles E.; Geraghty, Ciaran M.; Barbosa, Fernando; Parsons, Patrick J.

    2006-08-01

    A biomonitoring method for the determination of Pb, Cd, and Hg at background levels in whole blood by inductively coupled plasma-mass spectrometry is described. While this method was optimized for assessing Pb, Cd and Hg at environmental levels, it also proved suitable for assessing concentrations associated with occupational exposure. The method requires as little as 200 μl of blood that is diluted 1 + 49 for direct analysis in the inductively coupled plasma-mass spectrometer. Method performance is compared to well-established AAS methods. Initial method validation was accomplished using National Institute of Standards and Technology (NIST) Standard Reference Material 966, Toxic Metals in Bovine Blood. Method detection limits (3s) are 0.05 μg dl - 1 for Pb, 0.09 μg l - 1 for Cd; and 0.17 μg l - 1 for Hg. Repeatability ranged from 1.4% to 2.8% for Pb; 3% to 10% for Cd; and 2.6% to 8.8% for Hg. In contrast, AAS method detection limits were 1 μg dl - 1 , 0.54 μg l - 1 , and 0.6 μg l - 1 , for Pb, Cd, and Hg, respectively. Further performance assessments were conducted over a 2-year period via participation in four international External Quality Assessment Schemes (EQAS) operated specifically for toxic metals in blood. This includes schemes operated by (a) the New York State Department of Health's Wadsworth Center, Albany, NY, USA (b) L'Institut National de Santé Publique du Québec, Centre de Toxicologie du Québec, Canada, (c) Friedrich-Alexander University, Erlangen, Germany, and (d) the University of Surrey, Guildford, UK Trace Elements scheme. The EQAS data reflect analytical performance for blind samples analyzed independently by both inductively coupled plasma-mass spectrometry and AAS methods.

  1. Analysis of iodinated X-ray contrast agents in water samples by ion chromatography and inductively-coupled plasma mass spectrometry.

    PubMed

    Sacher, Frank; Raue, Brigitte; Brauch, Heinz-Jürgen

    2005-08-26

    In this paper, an analytical method for the determination of six iodinated X-ray contrast agents (amidotrizoic acid, iohexol, iomeprol, iopamidol, iopromide, and ioxitalamic acid), iodide, and iodate in water samples is presented. The method is based on a separation of the analytes by ion chromatography (IC) and a subsequent detection by inductively-coupled plasma mass spectrometry (ICP-MS). The method was optimised with respect to separation conditions (column type and eluent composition) and extensively validated. Without pre-concentration of the samples, limits of detection below 0.2 microg/l could be achieved whereby reproducibility was below 6% for all compounds under investigation.

  2. E-H mode transition of a high-power inductively coupled plasma torch at atmospheric pressure with a metallic confinement tube

    NASA Astrophysics Data System (ADS)

    Altenberend, Jochen; Chichignoud, Guy; Delannoy, Yves

    2012-08-01

    Inductively coupled plasma torches need high ignition voltages for the E-H mode transition and are therefore difficult to operate. In order to reduce the ignition voltage of an RF plasma torch with a metallic confinement tube the E-H mode transition was studied. A Tesla coil was used to create a spark discharge and the E-H mode transition of the plasma was then filmed using a high-speed camera. The electrical potential of the metallic confinement tube was measured using a high-voltage probe. It was found that an arc between the grounded injector and the metallic confinement tube is maintained by the electric field (E-mode). The transition to H-mode occurred at high magnetic fields when the arc formed a loop. The ignition voltage could be reduced by connecting the metallic confinement tube with a capacitor to the RF generator.

  3. Spectral emission from the alkali inductively-coupled plasma: Theory and experiment

    NASA Astrophysics Data System (ADS)

    Bazurto, R.; Huang, M.; Camparo, J.

    2018-04-01

    The weakly-ionized, alkali inductively-coupled plasma (ICP) has a long history as the light source for optical pumping. Today, its most significant application is perhaps in the rubidium atomic frequency standard (RAFS), arguably the workhorse of atomic timekeeping in space, where it is crucial to the RAFS' functioning and performance (and routinely referred to as the RAFS' "rf-discharge lamp"). In particular, the photon flux from the lamp determines the signal-to-noise ratio of the device, and variations in ICP brightness define the long-term frequency stability of the atomic clock as a consequence of the ac-Stark shift (i.e., the light-shift). Given the importance of Rb atomic clocks to diverse satellite navigation systems (e.g., GPS, Galileo, BeiDou) - and thereby the importance of alkali ICPs to these systems - it is somewhat surprising to find that the physical processes occurring within the discharge are not well understood. As a consequence, researchers do not understand how to improve the spectral emission from the lamp except at a trial-and-error level, nor do they fully understand the nonlinear mechanisms that result in ICP light instability. Here, we take a first step in developing an intuitive, semi-quantitative model of the alkali rf-discharge lamp, and we perform a series of experiments to validate the theory's predictions.

  4. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  5. Comparative study of atomic fluorescence spectroscopy and inductively coupled plasma mass spectrometry for mercury and arsenic multispeciation.

    PubMed

    Gómez-Ariza, José Luis; Lorenzo, Fernando; García-Barrera, Tamara

    2005-05-01

    Mercury and arsenic are two elements of undoubted importance owing to their toxic character. Although speciation of these elements has been developed separately, in this work for the first time the speciation of As and Hg using two atomic fluorescence detectors in a sequential ensemble is presented. A coupling based on the combination of high-performance liquid chromatography (where mercury and arsenic species are separated) and two atomic fluorescence detectors in series, with several online treatments, including photooxidation (UV) and hydride generation, has allowed the determination of mercury and arsenic compounds simultaneously. The detection limits for this device were 16, 3, 17, 12 and 8 ng mL(-1) for As(III), monomethylarsinic acid, As(V), Hg2+ and methylmercury, respectively. This coupling was compared with an analogous one based on inductively coupled plasma-mass spectrometry (ICP-MS) detection, with detection limits of 0.7, 0.5, 0.8, 0.9 and 1.1 ng mL(-1), respectively. Multispeciation based on ICP-MS exhibits better sensitivity than the coupling based on tandem atomic fluorescence, but this second device is a very robust system and exhibits obvious advantages related to the low cost of acquisition and maintenance, as well as easy handling, which makes it a suitable system for routine laboratories.

  6. [The determination of the natural content of chemical elements in human biological objects (liver, kidney, stomach) by mass spectrometry with inductively coupled plasma].

    PubMed

    Luzanova, I S; Svetlolobov, D Iu; Zorin, Iu V

    2014-01-01

    The objective of the present work was to continue the studies of the sites of concentration of the chemical elements corresponding to normal homeostasis in human biological objects by mass spectrometry with inductively coupled plasma. The study yielded the data on the natural content of 27 elements in the cadaveric liver, kidney, and stomach. It is recommended to use these findings as the reference parameters corresponding to normal homeostasis.

  7. Fluorescence quenching and the "ring-mode" to "red-mode" transition in alkali inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huang, M.; Bazurto, R.; Camparo, J.

    2018-01-01

    The ring-mode to red-mode transition in alkali metal inductively coupled plasmas (ICPs) (i.e., rf-discharge lamps) is perhaps the most important physical phenomenon affecting these devices as optical pumping light sources for atomic clocks and magnetometers. It sets the limit on useful ICP operating temperature, thereby setting a limit on ICP light output for atomic-clock/magnetometer signal generation, and it is a temperature region of ICP operation associated with discharge instability. Previous work has suggested that the mechanism driving the ring-mode to red-mode transition is associated with radiation trapping, but definitive experimental evidence validating that hypothesis has been lacking. Based on that hypothesis, one would predict that the introduction of an alkali-fluorescence quenching gas (i.e., N2) into the ICP would increase the ring-mode to red-mode transition temperature. Here, we test that prediction, finding direct evidence supporting the radiation-trapping hypothesis.

  8. Effect of Inductive Coil Geometry and Current Sheet Trajectory of a Conical Theta Pinch Pulsed Inductive Plasma Accelerator

    NASA Technical Reports Server (NTRS)

    Hallock, Ashley K.; Polzin, Kurt A.; Bonds, Kevin W.; Emsellem, Gregory D.

    2011-01-01

    Results are presented demonstrating the e ect of inductive coil geometry and current sheet trajectory on the exhaust velocity of propellant in conical theta pinch pulsed induc- tive plasma accelerators. The electromagnetic coupling between the inductive coil of the accelerator and a plasma current sheet is simulated, substituting a conical copper frustum for the plasma. The variation of system inductance as a function of plasma position is obtained by displacing the simulated current sheet from the coil while measuring the total inductance of the coil. Four coils of differing geometries were employed, and the total inductance of each coil was measured as a function of the axial displacement of two sep- arate copper frusta both having the same cone angle and length as the coil but with one compressed to a smaller size relative to the coil. The measured relationship between total coil inductance and current sheet position closes a dynamical circuit model that is used to calculate the resulting current sheet velocity for various coil and current sheet con gura- tions. The results of this model, which neglects the pinching contribution to thrust, radial propellant con nement, and plume divergence, indicate that in a conical theta pinch ge- ometry current sheet pinching is detrimental to thruster performance, reducing the kinetic energy of the exhausting propellant by up to 50% (at the upper bound for the parameter range of the study). The decrease in exhaust velocity was larger for coils and simulated current sheets of smaller half cone angles. An upper bound for the pinching contribution to thrust is estimated for typical operating parameters. Measurements of coil inductance for three di erent current sheet pinching conditions are used to estimate the magnetic pressure as a function of current sheet radial compression. The gas-dynamic contribution to axial acceleration is also estimated and shown to not compensate for the decrease in axial electromagnetic acceleration

  9. Mass cytometry: technique for real time single cell multitarget immunoassay based on inductively coupled plasma time-of-flight mass spectrometry.

    PubMed

    Bandura, Dmitry R; Baranov, Vladimir I; Ornatsky, Olga I; Antonov, Alexei; Kinach, Robert; Lou, Xudong; Pavlov, Serguei; Vorobiev, Sergey; Dick, John E; Tanner, Scott D

    2009-08-15

    A novel instrument for real time analysis of individual biological cells or other microparticles is described. The instrument is based on inductively coupled plasma time-of-flight mass spectrometry and comprises a three-aperture plasma-vacuum interface, a dc quadrupole turning optics for decoupling ions from neutral components, an rf quadrupole ion guide discriminating against low-mass dominant plasma ions, a point-to-parallel focusing dc quadrupole doublet, an orthogonal acceleration reflectron analyzer, a discrete dynode fast ion detector, and an 8-bit 1 GHz digitizer. A high spectrum generation frequency of 76.8 kHz provides capability for collecting multiple spectra from each particle-induced transient ion cloud, typically of 200-300 micros duration. It is shown that the transients can be resolved and characterized individually at a peak frequency of 1100 particles per second. Design considerations and optimization data are presented. The figures of merit of the instrument are measured under standard inductively coupled plasma (ICP) operating conditions (<3% cerium oxide ratio). At mass resolution (full width at half-maximum) M/DeltaM > 900 for m/z = 159, the sensitivity with a standard sample introduction system of >1.4 x 10(8) ion counts per second per mg L(-1) of Tb and an abundance sensitivity of (6 x 10(-4))-(1.4 x 10(-3)) (trailing and leading masses, respectively) are shown. The mass range (m/z = 125-215) and abundance sensitivity are sufficient for elemental immunoassay with up to 60 distinct available elemental tags. When <15 elemental tags are used, a higher sensitivity mode at lower resolution (M/DeltaM > 500) can be used, which provides >2.4 x 10(8) cps per mg L(-1) of Tb, at (1.5 x 10(-3))-(5.0 x 10(-3)) abundance sensitivity. The real-time simultaneous detection of multiple isotopes from individual 1.8 microm polystyrene beads labeled with lanthanides is shown. A real time single cell 20 antigen expression assay of model cell lines and leukemia

  10. Speciation of arsenic in marine food (Anemonia sulcata) by liquid chromatography coupled to inductively coupled plasma mass spectrometry and organic mass spectrometry.

    PubMed

    Contreras-Acuña, M; García-Barrera, T; García-Sevillano, M A; Gómez-Ariza, J L

    2013-03-22

    Arsenic species have been investigated in Anemonia sulcata, which is frequently consumed food staple in Spain battered in wheat flour and fried with olive oil. Speciation in tissue extracts was carried out by anion/cation exchange chromatography with inductively coupled plasma mass spectrometry (HPLC-(AEC/CEC)-ICP-MS). Three methods for the extraction of arsenic species were investigated (ultrasonic bath, ultrasonic probe and focused microwave) and the optimal one was applied. Arsenic speciation was carried out in raw and cooked anemone and the dominant species are dimethylarsinic acid (DMA(V)) followed by arsenobetaine (AB), As(V), monomethylarsonic acid (MA(V)), tetramethylarsonium ion (TETRA) and trimethylarsine oxide (TMAO). In addition, arsenocholine (AsC), glyceryl phosphorylarsenocholine (GPAsC) and dimethylarsinothioic acid (DMAS) were identified by liquid chromatography coupled to triple quadrupole mass spectrometry (HPLC-MS). These results are interesting since GPAsC has been previously reported in marine organisms after experimental exposure to AsC, but not in natural samples. In addition, this paper reports for the first time the identification of DMAS in marine food. Copyright © 2013 Elsevier B.V. All rights reserved.

  11. Simultaneous observation of nascent plasma and bubble induced by laser ablation in water with various pulse durations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tamura, Ayaka, E-mail: atamura@hiroshima-u.ac.jp; Matsumoto, Ayumu; Nishi, Naoya

    2015-05-07

    We investigate the effects of pulse duration on the dynamics of the nascent plasma and bubble induced by laser ablation in water. To examine the relationship between the nascent plasma and the bubble without disturbed by shot-to-shot fluctuation, we observe the images of the plasma and the bubble simultaneously by using two intensified charge coupled device detectors. We successfully observe the images of the plasma and bubble during the pulsed-irradiation, when the bubble size is as small as 20 μm. The light-emitting region of the plasma during the laser irradiation seems to exceed the bubble boundary in the case of themore » short-pulse (30-ns pulse) irradiation, while the size of the plasma is significantly smaller than that of the bubble in the case of the long-pulse (100-ns pulse) irradiation. The results suggest that the extent of the plasma quenching in the initial stage significantly depends on the pulse duration. Also, we investigate how the plasma-bubble relationship in the very early stage affects the shape of the atomic spectral lines observed at the later delay time of 600 ns. The present work gives important information to obtain high quality spectra in the application of underwater laser-induced breakdown spectroscopy, as well as to clarify the mechanism of liquid-phase laser ablation.« less

  12. Characteristics of n-GaN After Cl2/Ar and Cl2/N2 Inductively Coupled Plasma Etching

    NASA Astrophysics Data System (ADS)

    Han, Yan-Jun; Xue, Song; Guo, Wen-Ping; Sun, Chang-Zheng; Hao, Zhi-Biao; Luo, Yi

    2003-10-01

    A systematic study on the effect of inductively coupled plasma (ICP) etching on n-type GaN is presented. The optical and electrical properties and surface stoichiometry of n-type GaN are evaluated using room-temperature photoluminescence (PL) and current-voltage (I-V) characteristic measurements, and X-ray photoelectron spectroscopy (XPS), respectively. Investigation of the effect of additive gas (N2 and Ar) and RF power on these characteristics has also been carried out. It is shown that the decrease in the O/Ga ratio after ICP etching can suppress the deterioration of the near-band-edge emission intensity. Furthermore, N vacancy (VN) with a shallow donor nature and Ga vacancy (VGa) with a deep acceptor nature are generated after ICP etching upon the addition of Ar and N2 to Cl2 plasma, respectively. Lower ohmic contact resistance could be obtained when VN or ion-bombardment-induced defect is dominant at the surface. Improved etching conditions have been obtained based on these results.

  13. Bi-stage time evolution of nano-morphology on inductively coupled plasma etched fused silica surface caused by surface morphological transformation

    NASA Astrophysics Data System (ADS)

    Jiang, Xiaolong; Zhang, Lijuan; Bai, Yang; Liu, Ying; Liu, Zhengkun; Qiu, Keqiang; Liao, Wei; Zhang, Chuanchao; Yang, Ke; Chen, Jing; Jiang, Yilan; Yuan, Xiaodong

    2017-07-01

    In this work, we experimentally investigate the surface nano-roughness during the inductively coupled plasma etching of fused silica, and discover a novel bi-stage time evolution of surface nano-morphology. At the beginning, the rms roughness, correlation length and nano-mound dimensions increase linearly and rapidly with etching time. At the second stage, the roughening process slows down dramatically. The switch of evolution stage synchronizes with the morphological change from dual-scale roughness comprising long wavelength underlying surface and superimposed nano-mounds to one scale of nano-mounds. A theoretical model based on surface morphological change is proposed. The key idea is that at the beginning, etched surface is dual-scale, and both larger deposition rate of etch inhibitors and better plasma etching resistance at the surface peaks than surface valleys contribute to the roughness development. After surface morphology transforming into one-scale, the difference of plasma resistance between surface peaks and valleys vanishes, thus the roughening process slows down.

  14. Measurement of low radioactivity background in a high voltage cable by high resolution inductively coupled plasma mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vacri, M. L. di; Nisi, S.; Balata, M.

    2013-08-08

    The measurement of naturally occurring low level radioactivity background in a high voltage (HV) cable by high resolution inductively coupled plasma mass spectrometry (HR ICP MS) is presented in this work. The measurements were performed at the Chemistry Service of the Gran Sasso National Laboratory. The contributions to the radioactive background coming from the different components of the heterogeneous material were separated. Based on the mass fraction of the cable, the whole contamination was calculated. The HR ICP MS results were cross-checked by gamma ray spectroscopy analysis that was performed at the low background facility STELLA (Sub Terranean Low Levelmore » Assay) of the LNGS underground lab using HPGe detectors.« less

  15. Gunshot residue (GSR) analysis by single particle inductively coupled plasma mass spectrometry (spICP-MS).

    PubMed

    Heringer, Rodrigo D; Ranville, James F

    2018-05-25

    Single particle inductively coupled plasma mass spectrometry (spICP-MS) was investigated as a screening-level technique for the analysis and characterization of inorganic gunshot residue (IGSR) nanoparticles. spICP-MS works with undigested samples whereby nanoparticles (NPs) in a suspension are individually atomized and ionized as they reach the plasma, each resulting in a pulse of analyte ions that can be quantified. The method is rapid, and signals from hundreds of NPs can be collected in 1-2min per sample. The technique is quantitative for NP mass and number concentration when only one element (single element mode) is measured using a quadrupole MS. Likewise, a qualitative elemental fingerprint can be obtained for individual NPs when peak-hopping between two elements (dual element mode). For this proof of concept study, each shooter's hand was sampled with ultrapure water or swab to obtain NPs suspensions. Measurements of antimony, barium, and lead were performed using both analysis modes. With no sample preparation and fully automated sample introduction, it is possible to analyze more than 100 samples in a day. Results show that this technique opens a new perspective for future research on GSR sample identification and characterization and can complement SEM/EDX analysis. Copyright © 2018 Elsevier B.V. All rights reserved.

  16. Inductively coupled wireless RF coil arrays.

    PubMed

    Bulumulla, S B; Fiveland, E; Park, K J; Foo, T K; Hardy, C J

    2015-04-01

    As the number of coils increases in multi-channel MRI receiver-coil arrays, RF cables and connectors become increasingly bulky and heavy, degrading patient comfort and slowing workflow. Inductive coupling of signals provides an attractive "wireless" approach, with the potential to reduce coil weight and cost while simplifying patient setup. In this work, multi-channel inductively coupled anterior arrays were developed and characterized for 1.5T imaging. These comprised MR receiver coils inductively (or "wirelessly") linked to secondary or "sniffer" coils whose outputs were transmitted via preamps to the MR system cabinet. The induced currents in the imaging coils were blocked by passive diode circuits during RF transmit. The imaging arrays were totally passive, obviating the need to deliver power to the coils, and providing lightweight, untethered signal reception with easily positioned coils. Single-shot fast spin echo images were acquired from 5 volunteers using a 7-element inductively coupled coil array and a conventionally cabled 7-element coil array of identical geometry, with the inductively-coupled array showing a relative signal-to-noise ratio of 0.86 +/- 0.07. The concept was extended to a larger 9-element coil array to demonstrate the effect of coil element size on signal transfer and RF-transmit blocking. Copyright © 2015 Elsevier Inc. All rights reserved.

  17. Casingless down-hole for sealing an ablation volume and obtaining a sample for analysis

    DOEpatents

    Noble, Donald T.; Braymen, Steven D.; Anderson, Marvin S.

    1996-10-01

    A casing-less down hole sampling system for acquiring a subsurface sample for analysis using an inductively coupled plasma system is disclosed. The system includes a probe which is pushed into the formation to be analyzed using a hydraulic ram system. The probe includes a detachable tip member which has a soil point mad a barb, with the soil point aiding the penetration of the earth, and the barb causing the tip member to disengage from the probe and remain in the formation when the probe is pulled up. The probe is forced into the formation to be tested, and then pulled up slightly, to disengage the tip member and expose a column of the subsurface formation to be tested. An instrumentation tube mounted in the probe is then extended outward from the probe to longitudinally extend into the exposed column. A balloon seal mounted on the end of the instrumentation tube allows the bottom of the column to be sealed. A source of laser radiation is emitted from the instrumentation tube to ablate a sample from the exposed column. The instrumentation tube can be rotated in the probe to sweep the laser source across the surface of the exposed column. An aerosol transport system carries the ablated sample from the probe to the surface for testing in an inductively coupled plasma system. By testing at various levels in the down-hole as the probe is extracted from the soil, a profile of the subsurface formation may be obtained.

  18. Casingless down-hole for sealing an ablation volume and obtaining a sample for analysis

    DOEpatents

    Noble, D.T.; Braymen, S.D.; Anderson, M.S.

    1996-10-01

    A casing-less down hole sampling system for acquiring a subsurface sample for analysis using an inductively coupled plasma system is disclosed. The system includes a probe which is pushed into the formation to be analyzed using a hydraulic ram system. The probe includes a detachable tip member which has a soil point and a barb, with the soil point aiding the penetration of the earth, and the barb causing the tip member to disengage from the probe and remain in the formation when the probe is pulled up. The probe is forced into the formation to be tested, and then pulled up slightly, to disengage the tip member and expose a column of the subsurface formation to be tested. An instrumentation tube mounted in the probe is then extended outward from the probe to longitudinally extend into the exposed column. A balloon seal mounted on the end of the instrumentation tube allows the bottom of the column to be sealed. A source of laser radiation is emitted from the instrumentation tube to ablate a sample from the exposed column. The instrumentation tube can be rotated in the probe to sweep the laser source across the surface of the exposed column. An aerosol transport system carries the ablated sample from the probe to the surface for testing in an inductively coupled plasma system. By testing at various levels in the down-hole as the probe is extracted from the soil, a profile of the subsurface formation may be obtained. 9 figs.

  19. Automation of preparation of nonmetallic samples for analysis by atomic absorption and inductively coupled plasma spectrometry

    NASA Technical Reports Server (NTRS)

    Wittmann, A.; Willay, G.

    1986-01-01

    For a rapid preparation of solutions intended for analysis by inductively coupled plasma emission spectrometry or atomic absorption spectrometry, an automatic device called Plasmasol was developed. This apparatus used the property of nonwettability of glassy C to fuse the sample in an appropriate flux. The sample-flux mixture is placed in a composite crucible, then heated at high temperature, swirled until full dissolution is achieved, and then poured into a water-filled beaker. After acid addition, dissolution of the melt, and filling to the mark, the solution is ready for analysis. The analytical results obtained, either for oxide samples or for prereduced iron ores show that the solutions prepared with this device are undistinguished from those obtained by manual dissolutions done by acid digestion or by high temperature fusion. Preparation reproducibility and analytical tests illustrate the performance of Plasmasol.

  20. [Study on the determination of 14 inorganic elements in coffee by inductively coupled plasma mass spectrometry].

    PubMed

    Nie, Xi-Du; Fu, Liang

    2013-07-01

    Samples of coffee were digested by microwave digestion, and inorganic elements amounts of Na, Mg, P, Ca, Cr, Mn, Fe, Co, Cu, Zn, As, Se, Mo and Pb in sample solutions were determined by inductively coupled plasma mass spectrometry (ICP-MS). HNO3 + H2O2 was used to achieve the complete decomposition of the organic matrix in a closed-vessel microwave oven. The working parameters of the instrument were optimized. The results showed that the relative standard deviation (RSD) was less than 3.84% for all the elements, and the recovery was found to be 92.00% -106.52% by adding standard recovery experiment. This method was simple, sensitive and precise and can perform simultaneous multi-elements determination of coffee, which could satisfy the sample examination request and provide scientific rationale for determining inorganic elements of coffee.

  1. One-dimensional time-dependent fluid model of a very high density low-pressure inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Chaplin, Vernon H.; Bellan, Paul M.

    2015-12-01

    A time-dependent two-fluid model has been developed to understand axial variations in the plasma parameters in a very high density (peak ne≳ 5 ×1019 m-3 ) argon inductively coupled discharge in a long 1.1 cm radius tube. The model equations are written in 1D with radial losses to the tube walls accounted for by the inclusion of effective particle and energy sink terms. The ambipolar diffusion equation and electron energy equation are solved to find the electron density ne(z ,t ) and temperature Te(z ,t ) , and the populations of the neutral argon 4s metastable, 4s resonant, and 4p excited state manifolds are calculated to determine the stepwise ionization rate and calculate radiative energy losses. The model has been validated through comparisons with Langmuir probe ion saturation current measurements; close agreement between the simulated and measured axial plasma density profiles and the initial density rise rate at each location was obtained at pA r=30 -60 mTorr . We present detailed results from calculations at 60 mTorr, including the time-dependent electron temperature, excited state populations, and energy budget within and downstream of the radiofrequency antenna.

  2. Ion-pair chromatography coupled to inductively coupled plasma-mass spectrometry (IPC-ICP-MS) as a method for thiomolybdate speciation in natural waters.

    PubMed

    Lohmayer, Regina; Reithmaier, Gloria Maria Susanne; Bura-Nakić, Elvira; Planer-Friedrich, Britta

    2015-03-17

    Molybdenum precipitates preferentially under reducing conditions; therefore, its occurrence in sediment records is used as an indicator of paleoredox conditions. Although thiomolybdates (MoO4-xSx(2-) with x = 1-4) supposedly are necessary intermediates in the process of molybdenum precipitation under anoxic conditions, there is no information about their abundance in natural environments, because of a lack of element-specific methods with sufficiently low detection limits. Here, we optimized ion-pair chromatographic separation for coupling to an inductively coupled plasma-mass spectrometry detector (IPC-ICP-MS). 2-Propanol (10%-25% gradient) replaced the previously used acetonitrile (25%-75%) as the solvent, to reduce the carbon load into the plasma. In synthetic solutions, formation of thiomolybdates was found to occur spontaneously in the presence of excess sulfide and the degree of thiolation was highest at pH 7. Excess hydroxyl led to a transformation of thiomolybdates to molybdate. Under acidic to neutral conditions, precipitation of molybdenum and hydrolysis of tetrathiomolybdate were observed. Flash-freezing was found to be suitable to stabilize tetrathiomolybdate, with <4% transformation over more than two months. High ionic strengths matrices (>2 mM) negatively affected the detection of molybdate, which eluted mainly in the dead volume, but had no negative effect on higher thiolated molybdates. Detection limits were ∼10 nM. With the newly developed IPC-ICP-MS method, thiomolybdates were found to form spontaneously in euxinic marine waters after adding a molybdate spike and occur naturally in sulfidic geothermal waters.

  3. Copper diffusion in Ti Si N layers formed by inductively coupled plasma implantation

    NASA Astrophysics Data System (ADS)

    Ee, Y. C.; Chen, Z.; Law, S. B.; Xu, S.; Yakovlev, N. L.; Lai, M. Y.

    2006-11-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into TixSiy substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 °C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers.

  4. Analysis of Rare Earth Elements in Geologic Samples using Inductively Coupled Plasma Mass Spectrometry; US DOE Topical Report - DOE/NETL-2016/1794

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bank, Tracy L.; Roth, Elliot A.; Tinker, Phillip

    2016-04-17

    Inductively Coupled Plasma Mass Spectrometry (ICP-MS) is used to measure the concentrations of rare earth elements (REE) in certified standard reference materials including shale and coal. The instrument used in this study is a Perkin Elmer Nexion 300D ICP-MS. The goal of the study is to identify sample preparation and operating conditions that optimized recovery of each element of concern. Additionally, the precision and accuracy of the technique are summarized and the drawbacks and limitations of the method are outlined.

  5. Theoretical and experimental studies of a planar inductive coupled rf plasma source as the driver in simulator facility (ISTAPHM) of interactions of waves with the edge plasma on tokamaks

    NASA Astrophysics Data System (ADS)

    Ghanei, V.; Nasrabadi, M. N.; Chin, O.-H.; Jayapalan, K. K.

    2017-11-01

    This research aims to design and build a planar inductive coupled RF plasma source device which is the driver of the simulator project (ISTAPHM) of the interactions between ICRF Antenna and Plasma on tokamak by using the AMPICP model. For this purpose, a theoretical derivation of the distribution of the RF magnetic field in the plasma-filled reactor chamber is presented. An experimental investigation of the field distributions is described and Langmuir measurements are developed numerically. A comparison of theory and experiment provides an evaluation of plasma parameters in the planar ICP reactor. The objective of this study is to characterize the plasma produced by the source alone. We present the results of the first analysis of the plasma characteristics (plasma density, electron temperature, electron-ion collision frequency, particle fluxes and their velocities, stochastic frequency, skin depth and electron energy distribution functions) as function of the operating parameters (injected power, neutral pressure and magnetic field) as measured with fixed and movable Langmuir probes. The plasma is currently produced only by the planar ICP. The exact goal of these experiments is that the produced plasma by external source can exist as a plasma representative of the edge of tokamaks.

  6. SYSTEM OPTIMIZATION FOR THE AUTOMATIC SIMULTANEOUS DETERMINATION OF ARSENIC, SELENIUM, AND ANTIMONY, USING HYDRIDE GENERATION INTRODUCTION TO AN INDUCTIVELY COUPLED PLASMA.

    USGS Publications Warehouse

    Pyen, Grace S.; Browner, Richard F.; Long, Stephen

    1986-01-01

    A fixed-size simplex has been used to determine the optimum conditions for the simultaneous determination of arsenic, selenium, and antimony by hydride generation and inductively coupled plasma emission spectrometry. The variables selected for the simplex were carrier gas flow rate, rf power, viewing height, and reagent conditions. The detection limit for selenium was comparable to the preoptimized case, but there were twofold and fourfold improvements in the detection limits for arsenic and antimony, respectively. Precision of the technique was assessed with the use of artificially prepared water samples.

  7. Elimination of boron memory effect in inductively coupled plasma-mass spectrometry by ammonia gas injection into the spray chamber during analysis

    NASA Astrophysics Data System (ADS)

    Al-Ammar, Assad S.; Gupta, Rajesh K.; Barnes, Ramon M.

    2000-06-01

    Injection of 10-20 ml/min of ammonia gas into an inductively coupled plasma-mass spectrometry (ICP-MS) spray chamber during boron determination eliminates the memory effect of a 1 μg/ml B solution within a 2-min washing time. Ammonia gas injection also reduces the boron blank by a factor of four and enhances the sensitivity by 33-90%. Boron detection limits are improved from 12 and 14 to 3 and 4 ng/ml, respectively, for two ICP-MS instruments. Trace boron concentrations in certified reference materials agree well using ammonia gas injection.

  8. [Determination of arsenic speciation in Scomberomorus niphonius by capillary electrophoresis-inductively coupled plasma mass spectrometry].

    PubMed

    Chen, Fa-rong; Zheng, Li; Wang, Zhi-Guang; Sun, Jie; Han, Li-Hui; Wang, Xiao-ru

    2014-06-01

    A method for the detection of arsenocholine (AsC), arsenobetaine (AsB), As(III), dimethylarsinic (DMA), monomethylarsonic (MMA) and As (V) by capillary electrophoresis-inductively coupled plasma mass spectrometry (CE-ICP-MS) was established. The results showed that the six species of arsenic were separated within 20 min under the optimized conditions. Good linearities of 6 arsenic species were observed in the range from 2 to 50 μg x L(-1) with the linear correlation greater than 0.996, the detection limits were 0.10-1.08 μg x L(-1) and the RSDs (n = 5) of the peak areas were smaller than 7%. The method was successfully adopted to the determination of the species in Scomberomorus niphonius. The recoveries were between 93% and 98%, and we found the arsenobetaine (AsB) was the main species in the sample. The method was suitable for the analysis of other biological samples with the advantages of good stability, less sample consumption, short analysis time and convenience.

  9. Urinary elimination of molybdenum by healthy subjects as determined by inductively coupled plasma mass spectrometry.

    PubMed

    Allain, P; Berre, S; Prémel-Cabic, A; Mauras, Y; Cledes, A; Cournot, A

    The concentration of molybdenum was measured by inductively coupled plasma mass spectrometry (ICPMS) in the urines of two groups of healthy people living in two areas of France, Brest and Paris, about 500 km away. The concentration of Mo in the 24-hour urines of 10 healthy subjects from the Brest region was 25 +/- 10 micrograms/l, 38 +/- 20 micrograms/24 h and 21 +/- 9 micrograms/g creatinine. The concentration of Mo in the morning urines of 23 healthy men of the Paris region was 41 +/- 34 micrograms/l and 21 +/- 15 micrograms/g creatinine. Thus the mean elimination of Mo per gram of creatinine was the same in the two groups (21 +/- 9 and 21 +/- 15). Since the three main isotopes of Mo m/z = 95, 96 and 98, corresponding to an abundance percentage of 16, 17 and 24.5, respectively, were simultaneously analyzed in each sample and led to similar results, the ICPMS method seems reliable.

  10. Effects of a chirped bias voltage on ion energy distributions in inductively coupled plasma reactors

    NASA Astrophysics Data System (ADS)

    Lanham, Steven J.; Kushner, Mark J.

    2017-08-01

    The metrics for controlling reactive fluxes to wafers for microelectronics processing are becoming more stringent as feature sizes continue to shrink. Recent strategies for controlling ion energy distributions to the wafer involve using several different frequencies and/or pulsed powers. Although effective, these strategies are often costly or present challenges in impedance matching. With the advent of matching schemes for wide band amplifiers, other strategies to customize ion energy distributions become available. In this paper, we discuss results from a computational investigation of biasing substrates using chirped frequencies in high density, electronegative inductively coupled plasmas. Depending on the frequency range and chirp duration, the resulting ion energy distributions exhibit components sampled from the entire frequency range. However, the chirping process also produces transient shifts in the self-generated dc bias due to the reapportionment of displacement and conduction with frequency to balance the current in the system. The dynamics of the dc bias can also be leveraged towards customizing ion energy distributions.

  11. Determination of trace-level haloacetic acids in drinking water by ion chromatography-inductively coupled plasma mass spectrometry.

    PubMed

    Liu, Yongjian; Mou, Shifen; Chen, Dengyun

    2004-06-11

    A new method for the determination of nine haloacetic acids (HAAs) with ion chromatography (IC) coupled to inductively coupled plasma mass spectrometry (ICP-MS) was developed. With the very hydrophilic anion-exchange column and steep gradient of sodium hydroxide, the nine HAAs could be well separated in 15 min. After suppression with an ASRS suppressor that was introduced in between IC and ICP-MS, the background was much decreased, the interference caused by sodium ion present in eluent was removed, and the sensitivities of HAAs were greatly improved. The chlorinated and brominated HAAs could be detected as 35ClO and 79Br without interference of the matrix due to the elemental selective ICP-MS. The detection limits for mono-, di-, trichloroacetic acids were between 15.6 and 23.6 microg/l. For the other six bromine-containing HAAs, the detection limits were between 0.34 and 0.99 microg/l. With the pretreatment of OnGuard Ag cartridge to remove high concentration of chloride in sample, the developed method could be applied to the determination of HAAs in many drinking water matrices.

  12. Reduction of matrix effects in inductively coupled plasma mass spectrometry by flow injection with an unshielded torch.

    PubMed

    Gross, Cory T; McIntyre, Sally M; Houk, R S

    2009-06-15

    Solution samples with matrix concentrations above approximately 0.1% generally present difficulties for analysis by inductively coupled plasma mass spectrometry (ICP-MS) because of cone clogging and matrix effects. Flow injection (FI) is coupled to ICP-MS to reduce deposition from samples such as 1% sodium salts (as NaCl) and seawater (approximately 3% dissolved salts). Surprisingly, matrix effects are also less severe during flow injection, at least for some matrix elements on the particular instrument used. Sodium chloride at 1% Na and undiluted seawater cause only 2 to 29% losses of signal for typical analyte elements. A heavy matrix element (Bi) at 0.1% also induces only approximately 14% loss of analyte signal. However, barium causes a much worse matrix effect, that is, approximately 90% signal loss at 5000 ppm Na. Also, matrix effects during FI are much more severe when a grounded metal shield is inserted between the load coil and the torch, which is the most common mode of operation for the particular ICP-MS device used.

  13. Exploration of robust operating conditions in inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Tromp, John W.; Pomares, Mario; Alvarez-Prieto, Manuel; Cole, Amanda; Ying, Hai; Salin, Eric D.

    2003-11-01

    'Robust' conditions, as defined by Mermet and co-workers for inductively coupled plasma (ICP)-atomic emission spectrometry, minimize matrix effects on analyte signals, and are obtained by increasing power and reducing nebulizer gas flow. In ICP-mass spectrometry (MS), it is known that reduced nebulizer gas flow usually leads to more robust conditions such that matrix effects are reduced. In this work, robust conditions for ICP-MS have been determined by optimizing for accuracy in the determination of analytes in a multi-element solution with various interferents (Al, Ba, Cs, K, Na), by varying power, nebulizer gas flow, sample introduction rate and ion lens voltage. The goal of the work was to determine which operating parameters were the most important in reducing matrix effects, and whether different interferents yielded the same robust conditions. Reduction in nebulizer gas flow and in sample input rate led to a significantly decreased interference, while an increase in power seemed to have a lesser effect. Once the other parameters had been adjusted to their robust values, there was no additional improvement in accuracy attainable by adjusting the ion lens voltage. The robust conditions were universal, since, for all the interferents and analytes studied, the optimum was found at the same operating conditions. One drawback to the use of robust conditions was the slightly reduced sensitivity; however, in the context of 'intelligent' instruments, the concept of 'robust conditions' is useful in many cases.

  14. Stability and trapping of magnetic resonance imaging contrast agents during high-intensity focused ultrasound ablation therapy.

    PubMed

    Hijnen, Nicole M; Elevelt, Aaldert; Grüll, Holger

    2013-07-01

    The purpose of this study was to investigate the use of Gd-DTPA shortly before magnetic resonance guided high-intensity focused ultrasound MR-HIFU thermal ablation therapy with respect to dissociation, trapping, and long-term deposition of gadolinium (Gd) in the body. Magnetic resonance-HIFU ablation treatment was conducted in vivo on both rat muscle and subcutaneous tumor (9L glioma) using a clinical 3T MR-HIFU system equipped with a small-animal coil setup. A human equivalent dose of gadopentetate dimeglumine (Gd-DTPA) (0.6 mmol/kg of body weight) was injected via a tail vein catheter just before ablation (≤5 minutes). Potential trapping of the contrast agent in the ablated area was visualized through the acquisition of R1 maps of the target location before and after therapy. The animals were sacrificed 2 hours or 14 days after the injection (n = 4 per group, a total of 40 animals). Subsequently, the Gd content in the tissue and carcass was determined using inductively coupled plasma techniques to investigate the biodistribution. Temporal trapping of Gd-DTPA in the coagulated tissue was observed on the R1 maps acquired within 2 hours after the ablation, an effect confirmed by the inductively coupled plasma analysis (3 times more Gd was found in the treated muscle volume than in the control muscle tissue). Two weeks after the therapy, the absolute amount of Gd present in the coagulated tissue was low compared with the amount present in the kidneys 14 days after the injection (ablated muscle, 0.009% ± 0.002% ID/g; kidney, 0.144% ± 0.165% ID/g). There was no significant increase in Gd content in the principal target organs for translocated Gdions (liver, spleen, and bone) or in the entire carcasses between the HIFU- and sham-treated animals. Finally, an in vivo relaxivity of 4.6 mmols was found in the HIFU-ablated volume, indicating intact Gd-DTPA. Magnetic resonance-HIFU treatment does not induce the dissociation of Gd-DTPA. In small-tissue volumes, no

  15. NHEXAS PHASE I ARIZONA STUDY--STANDARD OPERATING PROCEDURE FOR OPERATION, CALIBRATION AND MAINTENANCE OF THE JOBIN-YVON MODEL 70 INDUCTIVELY COUPLED PLASMA ATOMIC ABSORPTION SPECTROMETER (BCO-L-7.1)

    EPA Science Inventory

    The purpose of this SOP is to detail the operation and maintenance of an Instruments, SA Inc., Jobin-Yvon Model 70 (JY-70) inductively coupled plasma atomic emissions spectrometry (ICP-AES). This procedure was followed to ensure consistent data retrieval during the Arizona NHEXA...

  16. Ablative and transport fractionation of trace elements during laser sampling of glass and copper

    NASA Astrophysics Data System (ADS)

    Outridge, P. M.; Doherty, W.; Gregoire, D. C.

    1997-12-01

    The fractionation of trace elements due to ablation and transport processes was quantified during Q-switched infrared laser sampling of glass and copper reference materials. Filter-trapping of the ablated product at different points in the sample introduction system showed ablation and transport sometimes caused opposing fractionation effects, leading to a confounded measure of overall (ablative + transport) fractionation. An unexpected result was the greater ablative fractionation of some elements (Au, Ag, Bi, Te in glass and Au, Be, Bi, Ni, Te in copper) at a higher laser fluence of 1.35 × 10 4W cm -2 than at 0.62 × 10 4W cm -2, which contradicted predictions from modelling studies of ablation processes. With glass, there was an inverse logarithmic relationship between the extent of ablative and overall fractionation and element oxide melting point (OMPs), with elements with OMPs < 1000° C exhibiting overall concentration increases of 20-1340%. Fractionation during transport was quantitatively important for most certified elements in copper, and for the most volatile elements (Au, Ag, Bi, Te) in glass. Elements common to both matrices showed 50-100% higher ablative fractionation in copper, possibly because of greater heat conductance away from the ablation site causing increased element volatilisation or zone refinement. These differences between matrices indicate that non-matrix-matched standardisation is likely to provide inaccurate calibration of laser ablation inductively coupled plasma-mass spectrometry analyses of at least some elements.

  17. Application of infrared thermography for online monitoring of wall temperatures in inductively coupled plasma torches with conventional and low-flow gas consumption

    NASA Astrophysics Data System (ADS)

    Engelhard, Carsten; Scheffer, Andy; Maue, Thomas; Hieftje, Gary M.; Buscher, Wolfgang

    2007-10-01

    Inductively coupled plasma (ICP) sources typically used for trace elemental determination and speciation were investigated with infrared (IR) thermography to obtain spatially resolved torch temperature distributions. Infrared thermographic imaging is an excellent tool for the monitoring of temperatures in a fast and non-destructive way. This paper presents the first application of IR thermography to inductively coupled plasma torches and the possibility to investigate temperatures and thermal patterns while the ICP is operating and despite background emission from the plasma itself. A fast and easy method is presented for the determination of temperature distributions and stress features within ICP torches. Two different ICP operating torches were studied: a commercially available Fassel-type ICP unit with 14 L min - 1 total Ar consumption and a SHIP torch with the unusually low Ar flow of 0.6 L min - 1 . Spatially resolved infrared images of both torches were obtained and laterally resolved temperature profiles were extracted. After temperature-resolved calibration of the emissivity (between 0.5 and 0.35 at 873-1323 K) and transmission (20% between 3.75 and 4.02 μm) of the fused quartz used in the torch construction, an image correction was applied. Inhomogeneous temperature distributions with locally defined stress areas in the conventional Fassel-type torch were revealed. As a general trend, it was found that the SHIP torch exhibited higher temperatures ( Tmax = 1580 K) than the conventional torch ( Tmax = 730 K). In the former case, torch sites with efficient and inefficient cooling were discovered and the external flow of cooling air (24-48 m s - 1 ) was identified as the limiting factor.

  18. Development of Desolvation System for Single-cell Analysis Using Droplet Injection Inductively Coupled Plasma Atomic Emission Spectroscopy.

    PubMed

    Ishihara, Yukiko; Aida, Mari; Nomura, Akito; Miyahara, Hidekazu; Hokura, Akiko; Okino, Akitoshi

    2015-01-01

    With a view to enhance the sensitivity of analytical instruments used in the measurement of trace elements contained in a single cell, we have now equipped the previously reported micro-droplet injection system (M-DIS) with a desolvation system. This modified M-DIS was coupled to inductively coupled plasma atomic emission spectroscopy (ICP-AES) and evaluated for its ability to measure trace elements. A flow rate of 100 mL/min for the additional gas and a measurement point -7.5 mm above the load coil (ALC) have been determined to be the optimal parameters for recording the emission intensity of the Ca(II) spectral lines. To evaluate the influence of the desolvation system, we recorded the emission intensities of the Ca(I), Ca(II), and H-β spectral lines with and without inclusion of the desolvation system. The emission intensity of the H-β spectral line reduces and the magnitude of the Ca(II)/Ca(I) emission intensity ratio increases four-fold with inclusion of the desolvation system. Finally, the elements Ca, Mg, and Fe present in a single cell of Pseudococcomyxa simplex are simultaneously determined by coupling the M-DIS equipped with the desolvation system to ICP-AES.

  19. Surface modification of biomaterials by pulsed laser ablation deposition and plasma/gamma polymerization

    NASA Astrophysics Data System (ADS)

    Rau, Kaustubh R.

    ablation was developed for the 248 nm laser irradiation of silicone. The model demonstrated a good fit to the experimental data and showed that silicone underwent ablation by a thermal mechanism. In addition to PLAD studies, functionalization of stainless steel was carried out by a combined plasma/gamma method involving deposition of a hexane plasma polymer by RF plasma polymerization, followed by gamma radiation graft polymerization of methacrylic acid. The hydrograft modified surfaces were further modified by chemisorption reactions with poly(ethylene imine) to produce amine-rich surfaces. Bovine serum albumin was then bound via amino groups using glutaraldehyde coupling. A streaming potential cell was also built and used to measure the zeta potential of these ionic surfaces.

  20. A validated inductively coupled plasma mass spectrometry (ICP-MS) method for the quantification of total platinum content in plasma, plasma ultrafiltrate, urine and peritoneal fluid.

    PubMed

    Lemoine, Lieselotte; Thijssen, Elsy; Noben, Jean-Paul; Adriaensens, Peter; Carleer, Robert; Speeten, Kurt Van der

    2018-04-15

    Oxaliplatin is a platinum (Pt) 1 containing antineoplastic agent that is applied in current clinical practice for the treatment of colon and appendiceal neoplasms. A fully validated, highly sensitive, high throughput inductively coupled plasma mass spectrometry (ICP-MS) method is provided to quantify the total Pt content in plasma, plasma ultrafiltrate, urine and peritoneal fluid. In this ICP-MS approach, the only step of sample preparation is a 1000-fold dilution in 0.5% nitric acid, allowing the analysis of 17 samples per hour. Detection of Pt was achieved over a linear range of 0.01-100 ng/mL. The limit of quantification was 18.0 ng/mL Pt in plasma, 8.0 ng/mL in ultrafiltrate and 6.1 ng/mL in urine and peritoneal fluid. The ICP-MS method was further validated for inter-and intraday precision and accuracy (≤15%), recovery, robustness and stability. Short-term storage of the biofluids, for 14 days, can be performed at -4 °C, -24 °C and -80 °C. As to long-term stability, up to 5 months, storage at -80 °C is encouraged. Furthermore, a timeline assessing the total and unbound Pt fraction in plasma and ultrafiltrate over a period of 45 h is provided. Following an incubation period of 5 h at 37 °C, 19-21% of Pt was recovered in the ultrafiltrate, emphasizing the extensive and rapid binding of oxaliplatin-derived Pt to plasma proteins. The described method can easily be implemented in a routine setting for pharmacokinetic studies in patients treated with oxaliplatin-based hyperthermic intraperitoneal perioperative chemotherapy. Copyright © 2018 Elsevier B.V. All rights reserved.

  1. Authentication of Kalix (N.E. Sweden) vendace caviar using inductively coupled plasma-based analytical techniques: evaluation of different approaches.

    PubMed

    Rodushkin, I; Bergman, T; Douglas, G; Engström, E; Sörlin, D; Baxter, D C

    2007-02-05

    Different analytical approaches for origin differentiation between vendace and whitefish caviars from brackish- and freshwaters were tested using inductively coupled plasma double focusing sector field mass spectrometry (ICP-SFMS) and multi-collector inductively coupled plasma mass spectrometry (MC-ICP-MS). These approaches involve identifying differences in elemental concentrations or sample-specific isotopic composition (Sr and Os) variations. Concentrations of 72 elements were determined by ICP-SFMS following microwave-assisted digestion in vendace and whitefish caviar samples from Sweden (from both brackish and freshwater), Finland and USA, as well as in unprocessed vendace roe and salt used in caviar production. This data set allows identification of elements whose contents in caviar can be affected by salt addition as well as by contamination during production and packaging. Long-term method reproducibility was assessed for all analytes based on replicate caviar preparations/analyses and variations in element concentrations in caviar from different harvests were evaluated. The greatest utility for differentiation was demonstrated for elements with varying concentrations between brackish and freshwaters (e.g. As, Br, Sr). Elemental ratios, specifically Sr/Ca, Sr/Mg and Sr/Ba, are especially useful for authentication of vendace caviar processed from brackish water roe, due to the significant differences between caviar from different sources, limited between-harvest variations and relatively high concentrations in samples, allowing precise determination by modern analytical instrumentation. Variations in the 87Sr/86Sr ratio for vendace caviar from different harvests (on the order of 0.05-0.1%) is at least 10-fold less than differences between caviar processed from brackish and freshwater roe. Hence, Sr isotope ratio measurements (either by ICP-SFMS or by MC-ICP-MS) have great potential for origin differentiation. On the contrary, it was impossible to

  2. Carbon-, sulfur-, and phosphorus-based charge transfer reactions in inductively coupled plasma-atomic emission spectrometry

    NASA Astrophysics Data System (ADS)

    Grindlay, Guillermo; Gras, Luis; Mora, Juan; de Loos-Vollebregt, Margaretha T. C.

    2016-01-01

    In this work, the influence of carbon-, sulfur-, and phosphorus-based charge transfer reactions on the emission signal of 34 elements (Ag, Al, As, Au, B, Ba, Be, Ca, Cd, Co, Cr, Cu, Fe, Ga, Hg, I, In, Ir, K, Li, Mg, Mn, Na, Ni, P, Pb, Pd, Pt, S, Sb, Se, Sr, Te, and Zn) in axially viewed inductively coupled plasma-atomic emission spectrometry has been investigated. To this end, atomic and ionic emission signals for diluted glycerol, sulfuric acid, and phosphoric acid solutions were registered and results were compared to those obtained for a 1% w w- 1 nitric acid solution. Experimental results show that the emission intensities of As, Se, and Te atomic lines are enhanced by charge transfer from carbon, sulfur, and phosphorus ions. Iodine and P atomic emission is enhanced by carbon- and sulfur-based charge transfer whereas the Hg atomic emission signal is enhanced only by carbon. Though signal enhancement due to charge transfer reactions is also expected for ionic emission lines of the above-mentioned elements, no experimental evidence has been found with the exception of Hg ionic lines operating carbon solutions. The effect of carbon, sulfur, and phosphorus charge transfer reactions on atomic emission depends on (i) wavelength characteristics. In general, signal enhancement is more pronounced for electronic transitions involving the highest upper energy levels; (ii) plasma experimental conditions. The use of robust conditions (i.e. high r.f. power and lower nebulizer gas flow rates) improves carbon, sulfur, and phosphorus ionization in the plasma and, hence, signal enhancement; and (iii) the presence of other concomitants (e.g. K or Ca). Easily ionizable elements reduce ionization in the plasma and consequently reduce signal enhancement due to charge transfer reactions.

  3. Inductive-dynamic magnetosphere-ionosphere coupling via MHD waves

    NASA Astrophysics Data System (ADS)

    Tu, Jiannan; Song, Paul; Vasyliūnas, Vytenis M.

    2014-01-01

    In the present study, we investigate magnetosphere-ionosphere/thermosphere (M-IT) coupling via MHD waves by numerically solving time-dependent continuity, momentum, and energy equations for ions and neutrals, together with Maxwell's equations (Ampère's and Faraday's laws) and with photochemistry included. This inductive-dynamic approach we use is fundamentally different from those in previous magnetosphere-ionosphere (M-I) coupling models: all MHD wave modes are retained, and energy and momentum exchange between waves and plasma are incorporated into the governing equations, allowing a self-consistent examination of dynamic M-I coupling. Simulations, using an implicit numerical scheme, of the 1-D ionosphere/thermosphere system responding to an imposed convection velocity at the top boundary are presented to show how magnetosphere and ionosphere are coupled through Alfvén waves during the transient stage when the IT system changes from one quasi steady state to another. Wave reflection from the low-altitude ionosphere plays an essential role, causing overshoots and oscillations of ionospheric perturbations, and the dynamical Hall effect is an inherent aspect of the M-I coupling. The simulations demonstrate that the ionosphere/thermosphere responds to magnetospheric driving forces as a damped oscillator.

  4. A comparison of lead-isotope measurements on exploration-type samples using inductively coupled plasma and thermal ionization mass spectrometry

    USGS Publications Warehouse

    Gulson, B.L.; Meier, A.L.; Church, S.E.; Mizon, K.J.

    1989-01-01

    Thermal ionization mass spectrometry (TI-MS) has long been the method of choice for Pb-isotope determinations. More recently, however, inductively coupled plasma mass spectrometry (ICP-MS) has been used to determine Pb-isotope ratios for mineral exploration. The ICP-MS technique, although not as precise as TI-MS, may promote a wider application of Ph-isotope ratio methods because it allows individual isotopes to be determined more rapidly, generally without need for chemical separation (e.g., Smith et al., 1984; Hinners et al., 1987). To demonstrate the utility of the ICP-MS method, we have conducted a series of Pb-isotope measurements on several suites of samples using both TI-MS and ICP-MS. ?? 1989.

  5. Development of vacuum ultraviolet absorption spectroscopy system for wide measurement range of number density using a dual-tube inductively coupled plasma light source

    NASA Astrophysics Data System (ADS)

    Kuwahara, Akira; Matsui, Makoto; Yamagiwa, Yoshiki

    2012-12-01

    A vacuum ultraviolet absorption spectroscopy system for a wide measurement range of atomic number densities is developed. Dual-tube inductively coupled plasma was used as a light source. The probe beam profile was optimized for the target number density range by changing the mass flow rate of the inner and outer tubes. This system was verified using cold xenon gas. As a result, the measurement number density range was extended from the conventional two orders to five orders of magnitude.

  6. Neutral Gas Temperature Estimates in an Inductively Coupled CF4 Plasma by Fitting Diatomic Emission Spectra

    NASA Technical Reports Server (NTRS)

    Cruden, Brett A.; Rao, M. V. V. S.; Sharma, Surendra P.; Meyyappan, M.

    2001-01-01

    This work examines the accuracy of plasma neutral temperature estimates by fitting the rotational band envelope of different diatomic species in emission. Experiments are performed in an inductively coupled CF4 plasma generated in a Gaseous Electronics Conference reference cell. Visible and ultraviolet emission spectra are collected at a power of 300 W (approximately 0.7 W/cc) and pressure of 30 mtorr. The emission bands of several molecules (CF, CN, C2, CO, and SiF) are fit simultaneously for rotational and vibrational temperatures and compared. Four different rotational temperatures are obtained: 1250 K for CF and CN, 1600 K for CO, 1800 K for C2, and 2300 K for SiF. The vibrational temperatures obtained vary from 1750-5950 K, with the higher vibrational temperatures generally corresponding to the lower rotational temperatures. These results suggest that the different species have achieved different degrees of equilibration between the rotational and vibrational modes and may not be equilibrated with the translational temperatures. The different temperatures are also related to the likelihood that the species are produced by ion bombardment of the surface, with etch products like SiF, CO, and C2 having higher temperatures than species expected to have formed in the gas phase.

  7. One-dimensional time-dependent fluid model of a very high density low-pressure inductively coupled plasma

    DOE PAGES

    Chaplin, Vernon H.; Bellan, Paul M.

    2015-12-28

    A time-dependent two-fluid model has been developed to understand axial variations in the plasma parameters in a very high density (peak n e~ > 5x10 19 m –3) argon inductively coupled discharge in a long 1.1 cm radius tube. The model equations are written in 1D, with radial losses to the tube walls accounted for by the inclusion of effective particle and energy sink terms. The ambipolar diffusion equation and electron energy equation are solved to find the electron density n e(z,t) and temperature T e(z,t), and the populations of the neutral argon 4s metastable, 4s resonant, and 4p excitedmore » state manifolds are calculated in order to determine the stepwise ionization rate and calculate radiative energy losses. The model has been validated through comparisons with Langmuir probe ion saturation current measurements; close agreement between the simulated and measured axial plasma density profiles and the initial density rise rate at each location was obtained at p Ar = 30-60 mTorr. Lastly, we present detailed results from calculations at 60 mTorr, including the time-dependent electron temperature, excited state populations, and energy budget within and downstream of the radiofrequency (RF) antenna.« less

  8. Analysis of trace metals in water by inductively coupled plasma emission spectrometry using sodium dibenzyldithiocarbamate for preconcentration

    USGS Publications Warehouse

    Smith, C.L.; Motooka, J.M.; Willson, W.R.

    1984-01-01

    Since concentrations of trace elements in most natural waters seldom exceed the ??g/L level, analysis of trace elements in natural waters by inductively coupled plasma emission spectrometry (ICP) requires a preconcentration procedure. The elements Ag, Bi, Cd, Co, Cu, Fe, Mo, Ni, Pb, Sn, V, W, and Zn were separated and concentrated from 500 mL of water by coprecipitating them with sodium dibenzyldithiocarbamate (NaDBDTC) using nickel or silver as a carrier. The precipitated trace elements were collected on a membrane filter, redissolved from the filter with hot nitric and hydrochloric acids, and analyzed using ICP. Recoveries for all the trace elements except tungsten exceeded 80%. Coprecipitation of trace elements with NaDBDTC eliminated the use of difficult-to-inject organic solvents, and NaDBDTC coprecipitated a wider array of trace elements than ammoniumpyrrolidinedithiocarbamate (APDC), another commonly used coprecipitate.

  9. Pb and Sr isotope measurements by inductively coupled plasma mass spectrometer: efficient time management for precision improvement

    NASA Astrophysics Data System (ADS)

    Monna, F.; Loizeau, J.-L.; Thomas, B. A.; Guéguen, C.; Favarger, P.-Y.

    1998-08-01

    One of the factors limiting the precision of inductively coupled plasma mass spectrometry is the counting statistics, which depend upon acquisition time and ion fluxes. In the present study, the precision of the isotopic measurements of Pb and Sr is examined. The time of measurement is optimally shared for each isotope, using a mathematical simulation, to provide the lowest theoretical analytical error. Different algorithms of mass bias correction are also taken into account and evaluated in term of improvement of overall precision. Several experiments allow a comparison of real conditions with theory. The present method significantly improves the precision, regardless of the instrument used. However, this benefit is more important for equipment which originally yields a precision close to that predicted by counting statistics. Additionally, the procedure is flexible enough to be easily adapted to other problems, such as isotopic dilution.

  10. Kinetic Temperature and Electron Density Measurement in an Inductively Coupled Plasma Torch using Degenerate Four-Wave Mixing

    NASA Technical Reports Server (NTRS)

    Schafer, Julia; Lyons, Wendy; Tong, WIlliam G.; Danehy, Paul M.

    2008-01-01

    Laser wave mixing is presented as an effective technique for spatially resolved kinetic temperature measurements in an atmospheric-pressure radio-frequency inductively-coupled plasma. Measurements are performed in a 1 kW, 27 MHz RF plasma using a continuous-wave, tunable 811.5-nm diode laser to excite the 4s(sup 3)P2 approaches 4p(sup 3)D3 argon transition. Kinetic temperature measurements are made at five radial steps from the center of the torch and at four different torch heights. The kinetic temperature is determined by measuring simultaneously the line shape of the sub-Doppler backward phase-conjugate degenerate four-wave mixing and the Doppler-broadened forward-scattering degenerate four-wave mixing. The temperature measurements result in a range of 3,500 to 14,000 K+/-150 K. Electron densities measured range from 6.1 (+/-0.3) x 10(exp 15)/cu cm to 10.1 (+/-0.3) x 10(exp 15)/cu cm. The experimental spectra are analyzed using a perturbative treatment of the backward phase-conjugate and forward-geometry wave-mixing theory. Stark width is determined from the collisional broadening measured in the phase-conjugate geometry. Electron density measurements are made based on the Stark width. The kinetic temperature of the plasma was found to be more than halved by adding deionized water through the nebulizer.

  11. High-Resolution THz Measurements of BrO Generated in AN Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Nemchick, Deacon J.; Drouin, Brian

    2017-06-01

    Building upon the foundation provided by previous work, the X_{1}^{2}Π_{3/2} and X_{2}^{2}Π_{1/2} states of the transient radical, BrO, were interrogated in previously unprobed spectral regions (0.5 to 1.7 THz) by employing JPL developed high-resolution cascaded frequency multiplier sources. Like other members of the halogen monoxides (XO), this species has been the target of several recent atmospheric remote sensing studies and is a known participant in a catalytic ozone degradation cycle. For the current work, BrO is generated in an inductively coupled plasma under dynamic flow conditions and rotational lines are observed directly at their Doppler-limited resolution. New spectral transitions including those owing to both the ground (ν=0) and excited (ν=1 and 2) vibrational states of isotopologues composed of permutations of natural abundance ^{16}O, ^{18}O, ^{79}Br, and ^{81}Br are fit to a global Hamiltonian containing both fine and hyperfine terms. In addition to further refining existing spectroscopic parameters, new observations will be made available to remote detection communities through addition to the JPL catalog. New findings will be discussed along with future plans to extend these studies to other halogen monoxides (X=Cl and I) and the more massive halogen dioxides (OXO & XOO).

  12. Dynamics of Molecular Emission Features from Nanosecond, Femtosecond Laser and Filament Ablation Plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harilal, Sivanandan S.; Yeak, J.; Brumfield, Brian E.

    2016-06-15

    The evolutionary paths of molecular species and nanoparticles in laser ablation plumes are not well understood due to the complexity of numerous physical processes that occur simultaneously in a transient laser-plasma system. It is well known that the emission features of ions, atoms, molecules and nanoparticles in a laser ablation plume strongly depend on the laser irradiation conditions. In this letter we report the temporal emission features of AlO molecules in plasmas generated using a nanosecond laser, a femtosecond laser and filaments generated from a femtosecond laser. Our results show that, at a fixed laser energy, the persistence of AlOmore » is found to be highest and lowest in ns and filament laser plasmas respectively while molecular species are formed at early times for both ultrashort pulse (fs and filament) generated plasmas. Analysis of the AlO emission band features show that the vibrational temperature of AlO decays rapidly in filament assisted laser ablation plumes.« less

  13. Angular distributions of plasma edge velocity and integrated intensity: Update on specific impulse for Ablative Laser Propulsion

    NASA Astrophysics Data System (ADS)

    Lin, Jun; Pakhomov, Andrew V.

    2005-04-01

    This work concludes our discussion of the image processing technique developed earlier for determination of specific impulse (Isp) for Ablative Laser Propulsion (ALP). The plasma plumes are recorded with a time-resolved intensified charge-coupled device (ICCD) camera. The plasma was formed in vacuum (˜ 3×10-3 Torr) by focusing output pulses of a laser system (100-ps pulsewidth at 532 nm wavelength and ˜35 mJ energy) on surfaces of C (graphite), Al, Si, Fe, Cu, Zn, Sn, and Pb elements. Angular profiles for integrated intensity and plasma expansion velocity were determined for the tested elements. Such profiles were used further for assessment of specific impulse. Specific impulses derived from angular distributions of plasma expansion velocity and integral intensity appeared in excellent agreement with the data derived earlier from force measurements.

  14. Application of high performance liquid chromatography with inductively coupled plasma mass spectrometry (HPLC-ICP-MS) for determination of chromium compounds in the air at the workplace.

    PubMed

    Stanislawska, Magdalena; Janasik, Beata; Wasowicz, Wojciech

    2013-12-15

    The toxicity and bioavailability of chromium species are highly dependable on the form or species, therefore determination of total chromium is insufficient for a complete toxicological evaluation and risk assessment. An analytical method for determination of soluble and insoluble Cr (III) and Cr (VI) compounds in welding fume at workplace air has been developed. The total chromium (Cr) was determined by using quadruple inductively coupled plasma mass spectrometry (ICP-MS) equipped with a dynamic reaction cell (DRC(®)). Soluble trivalent and hexavalent chromium compounds were determined by high performance liquid chromatography with inductively coupled plasma mass spectrometry (HPLC-ICP-MS). A high-speed, reversed-phase CR C8 column (PerkinElmer, Inc., Shelton, CT, USA) was used for the speciation of soluble Cr (III) and soluble Cr (VI). The separation was accomplished by interaction of the chromium species with the different components of the mobile phase. Cr (III) formed a complex with EDTA, i.e. retained on the column, while Cr (VI) existed in the solutions as dichromate. Alkaline extraction (2% KOH and 3% Na2CO3) and anion exchange column (PRP-X100, PEEK, Hamilton) were used for the separation of the total Cr (VI). The results of the determination of Cr (VI) were confirmed by the analysis of the certified reference material BCR CRM 545 (Cr (VI) in welding dust). The results obtained for the certified material (40.2±0.6 g kg(-1)) and the values recorded in the examined samples (40.7±0.6 g kg(-1)) were highly consistent. This analytical method was applied for the determination of chromium in the samples in the workplace air collected onto glass (Whatman, Ø 37 mm) and membrane filters (Sartorius, 0.8 μm, Ø 37 mm). High performance liquid chromatography with inductively coupled plasma mass spectrometry is a remarkably powerful and versatile technique for determination of chromium species in welding fume at workplace air. Crown Copyright © 2013 Published by

  15. Split Flow Online Solid-Phase Extraction Coupled with Inductively Coupled Plasma Mass Spectrometry System for One-Shot Data Acquisition of Quantification and Recovery Efficiency.

    PubMed

    Furukawa, Makoto; Takagai, Yoshitaka

    2016-10-04

    Online solid-phase extraction (SPE) coupled with inductively coupled plasma mass spectrometry (ICPMS) is a useful tool in automatic sequential analysis. However, it cannot simultaneously quantify the analytical targets and their recovery percentages (R%) in one-shot samples. We propose a system that simultaneously acquires both data in a single sample injection. The main flowline of the online solid-phase extraction is divided into main and split flows. The split flow line (i.e., bypass line), which circumvents the SPE column, was placed on the main flow line. Under program-controlled switching of the automatic valve, the ICPMS sequentially measures the targets in a sample before and after column preconcentration and determines the target concentrations and the R% on the SPE column. This paper describes the system development and two demonstrations to exhibit the analytical significance, i.e., the ultratrace amounts of radioactive strontium ( 90 Sr) using commercial Sr-trap resin and multielement adsorbability on the SPE column. This system is applicable to other flow analyses and detectors in online solid phase extraction.

  16. Periodic Phenomena In Laser-Ablation Plasma Plumes: A Self-Organization Scenario

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gurlui, S.; Sanduloviciu, M.; Mihesan, C.

    2006-01-15

    Experimental evidence of the appearance of a proper periodic dynamics in a plasma plume created by pulsed laser ablation is considered as a hint for the presence of a self-organization scenario that explains similar phenomena observed in plasma diodes.

  17. Preliminary investigation of electrothermal vaporization sample introduction for inductively coupled plasma time-of-flight mass spectrometry.

    PubMed

    Mahoney, P P; Ray, S J; Li, G; Hieftje, G M

    1999-04-01

    The coupling of an electrothermal vaporization (ETV) apparatus to an inductively coupled plasma time-of-flight mass spectrometer (ICP-TOFMS) is described. The ability of the ICP-TOFMS to produce complete elemental mass spectra at high repetition rates is experimentally demonstrated. A signal-averaging data acquisition board is employed to rapidly record complete elemental spectra throughout the vaporization stage of the ETV temperature cycle; a solution containing 34 elements is analyzed. The reduction of both molecular and atomic isobaric interferences through the temperature program of the furnace is demonstrated. Isobaric overlaps among the isotopes of cadmium, tin, and indium are resolved by exploiting differences in the vaporization characteristics of the elements. Figures of merit for the system are defined with several different data acquisition schemes capable of operating at the high repetition rate of the TOF instrument. With the use of both ion counting and a boxcar averager, the dynamic range is shown to be linear over a range of at least 6 orders of magnitude. A pair of boxcar averagers are used to measure the isotope ratio for silver with a precision of 1.9% RSD, despite a cycle-to-cycle precision of 19% RSD. Detection limits of 10-80 fg are calculated for seven elements, based upon a 10-microL injection.

  18. Upcycling Waste Lard Oil into Vertical Graphene Sheets by Inductively Coupled Plasma Assisted Chemical Vapor Deposition.

    PubMed

    Wu, Angjian; Li, Xiaodong; Yang, Jian; Du, Changming; Shen, Wangjun; Yan, Jianhua

    2017-10-12

    Vertical graphene (VG) sheets were single-step synthesized via inductively coupled plasma (ICP)-enhanced chemical vapor deposition (PECVD) using waste lard oil as a sustainable and economical carbon source. Interweaved few-layer VG sheets, H₂, and other hydrocarbon gases were obtained after the decomposition of waste lard oil. The influence of parameters such as temperature, gas proportion, ICP power was investigated to tune the nanostructures of obtained VG, which indicated that a proper temperature and H₂ concentration was indispensable for the synthesis of VG sheets. Rich defects of VG were formed with a high I D / I G ratio (1.29), consistent with the dense edges structure observed in electron microscopy. Additionally, the morphologies, crystalline degree, and wettability of nanostructure carbon induced by PECVD and ICP separately were comparatively analyzed. The present work demonstrated the potential of our PECVD recipe to synthesize VG from abundant natural waste oil, which paved the way to upgrade the low-value hydrocarbons into advanced carbon material.

  19. Application of inductively coupled plasma sector field mass spectrometry for low-level environmental americium-241 analysis.

    PubMed

    Varga, Zsolt

    2007-03-28

    An improved and novel sample preparation method for (241)Am analysis by inductively coupled plasma sector field mass spectrometry has been developed. The procedure involves a selective CaF(2) pre-concentration followed by an extraction chromatographic separation using TRU resin. The achieved absolute detection limit of 0.86 fg (0.11 mBq) is comparable to that of alpha spectrometry (0.1 mBq) and suitable for low-level environmental measurements. Analysis of different kinds of environmental standard reference materials (IAEA-384--Fangataufa lagoon sediment, IAEA-385--Irish Sea sediment and IAEA-308--Mixed seaweed from the Mediterranean Sea) and alpha spectrometry were used to validate the procedure. The chemical recovery of sample preparation ranged between 72 and 94%. The results obtained are in good agreement with reference values and those measured by alpha spectrometry. The proposed method offers a rapid and less labor-intensive possibility for environmental (241)Am analysis than the conventionally applied radioanalytical techniques.

  20. Improvements on high-precision measurement of bromine isotope ratios by multicollector inductively coupled plasma mass spectrometry.

    PubMed

    Wei, Hai-Zhen; Jiang, Shao-Yong; Zhu, Zhi-Yong; Yang, Tao; Yang, Jing-Hong; Yan, Xiong; Wu, He-Pin; Yang, Tang-Li

    2015-10-01

    A new, feasible procedure for high-precision bromine isotope analysis using multicollector inductively coupled plasma mass spectrometry (MC-ICP-MS) is described. With a combination of HR mass resolution mode and accurate optimization of the Zoom Optics parameters (Focus Quad: -1.30; Zoom Quad: 0.00), the challenging problem of the isobaric interferences ((40)Ar(38)ArH(+) and (40)Ar(40)ArH(+)) in the measurement of bromine isotopes ((79)Br(+), (81)Br(+)) has been effectively solved. The external reproducibility of the measured (81)Br/(79)Br ratios in the selected standard reference materials ranged from ±0.03‰ to ±0.14‰, which is superior to or equivalent to the best results from previous contributions. The effect of counter cations on the Br(+) signal intensity and the instrumental-induced mass bias was evaluated as the loss of HBr aerosol in nebulizer and potential diffusive isotope fractionations. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Ion energy distributions and the density of CH3 radicals in a low pressure inductively coupled CH4/H2 plasma used for nanocrystalline diamond deposition

    NASA Astrophysics Data System (ADS)

    Okada, Katsuyuki; Komatsu, Shojiro; Matsumoto, Seiichiro

    2003-11-01

    Ion energy distributions (IEDs) and the density of CH3 radicals (n) in a 13.56 MHz radio frequency (rf) low pressure inductively coupled CH4/H2 plasma used for nanocrystalline diamond deposition have been investigated with a quadrupole mass spectrometer. The energy distributions of positive ions were measured in a CH4/H2 plasma with 50 mTorr of the gas pressure at 500 W of the plasma input power, and were compared with those of an Ar plasma. We have found that the IEDs of Ar+, CH4+, and C2H5+ have a nearly monoenergetic peak, and a hump due to a small degree of capacitive coupling. The plasma potentials obtained from the peaks are consistent with the previously reported values measured with a Langmuir probe. On the other hand, the IEDs of H+, H2+, and H3+ have a clear asymmetric double peak due to the modulation of rf driven glow discharge. The n monotonously increases with increasing pressure. The n indicates that CH3 radicals are main precursors for the growth of nanocrystalline diamond. The estimated sticking coefficient of the CH3 radical is comparable with the reported value.

  2. Implementation of Radiation, Ablation, and Free Energy Minimization Modules for Coupled Simulations of Hypersonic Flow

    NASA Technical Reports Server (NTRS)

    Gnoffo, Peter A.; Johnston, Christopher O.; Thompson, Richard A.

    2009-01-01

    A description of models and boundary conditions required for coupling radiation and ablation physics to a hypersonic flow simulation is provided. Chemical equilibrium routines for varying elemental mass fraction are required in the flow solver to integrate with the equilibrium chemistry assumption employed in the ablation models. The capability also enables an equilibrium catalytic wall boundary condition in the non-ablating case. The paper focuses on numerical implementation issues using FIRE II, Mars return, and Apollo 4 applications to provide context for discussion. Variable relaxation factors applied to the Jacobian elements of partial equilibrium relations required for convergence are defined. Challenges of strong radiation coupling in a shock capturing algorithm are addressed. Results are presented to show how the current suite of models responds to a wide variety of conditions involving coupled radiation and ablation.

  3. Ultra-Shallow Depth Profiling of Arsenic Implants in Silicon by Hydride Generation-Inductively Coupled Plasma Atomic Emission Spectrometry

    NASA Astrophysics Data System (ADS)

    Matsubara, Atsuko; Kojima, Hisao; Itoga, Toshihiko; Kanehori, Keiichi

    1995-08-01

    High resolution depth profiling of arsenic (As) implanted into silicon wafers by a chemical technique is described. Silicon wafers are precisely etched through repeated oxidation by hydrogen peroxide solution and dissolution of the oxide by hydrofluoric acid solution. The etched silicon thickness is determined by inductively-coupled plasma atomic emission spectrometry (ICP-AES). Arsenic concentration is determined by hydride generation ICP-AES (HG-ICP-AES) with prereduction using potassium iodide. The detection limit of As in a 4-inch silicon wafer is 2.4×1018 atoms/cm3. The etched silicon thickness is controlled to less than 4±2 atomic layers. Depth profiling of an ultra-shallow As diffusion layer with the proposed method shows good agreement with profiling using the four-probe method or secondary ion mass spectrometry.

  4. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  5. Isotope ratio analysis of individual sub-micrometer plutonium particles with inductively coupled plasma mass spectrometry.

    PubMed

    Esaka, Fumitaka; Magara, Masaaki; Suzuki, Daisuke; Miyamoto, Yutaka; Lee, Chi-Gyu; Kimura, Takaumi

    2010-12-15

    Information on plutonium isotope ratios in individual particles is of great importance for nuclear safeguards, nuclear forensics and so on. Although secondary ion mass spectrometry (SIMS) is successfully utilized for the analysis of individual uranium particles, the isobaric interference of americium-241 to plutonium-241 makes difficult to obtain accurate isotope ratios in individual plutonium particles. In the present work, an analytical technique by a combination of chemical separation and inductively coupled plasma mass spectrometry (ICP-MS) is developed and applied to isotope ratio analysis of individual sub-micrometer plutonium particles. The ICP-MS results for individual plutonium particles prepared from a standard reference material (NBL SRM-947) indicate that the use of a desolvation system for sample introduction improves the precision of isotope ratios. In addition, the accuracy of the (241)Pu/(239)Pu isotope ratio is much improved, owing to the chemical separation of plutonium and americium. In conclusion, the performance of the proposed ICP-MS technique is sufficient for the analysis of individual plutonium particles. Copyright © 2010 Elsevier B.V. All rights reserved.

  6. Determination of major elements by wavelength-dispersive X-ray fluorescence spectrometry and trace elements by inductively coupled plasma mass spectrometry in igneous rocks from the same fused sample (110 mg)

    NASA Astrophysics Data System (ADS)

    Amosova, Alena A.; Panteeva, Svetlana V.; Chubarov, Victor M.; Finkelshtein, Alexandr L.

    2016-08-01

    The fusion technique is proposed for simultaneous determination of 35 elements from the same sample. Only 110 mg of rock sample was used to obtain fused glasses for quantitative determination of 10 major elements by wavelength dispersive X-ray fluorescence analysis, 16 rare earth elements and some other trace elements by inductively coupled plasma mass spectrometry analysis. Fusion was performed with 1.1 g of lithium metaborate and LiBr solution as the releasing agent in platinum crucible in electric furnace at 1100 °C. The certified reference materials of ultramafic, mafic, intermediate and felsic igneous rocks have been applied to obtain the calibration curves for rock-forming oxides (Na2O, MgO, Al2O3, SiO2, P2O5, K2O, CaO, TiO2, MnO, Fe2O3) and some trace elements (Ba, Sr, Zr) determination by X-ray fluorescence analysis. The repeatability does not exceed the allowable standard deviation for a wide range of concentrations. In the most cases the relative standard deviation was less than 5%. Obtained glasses were utilized for the further determination of rare earth (La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu) and some other (Ba, Sr, Zr, Rb, Cs, Y, Nb, Hf, Ta, Th and U) trace elements by inductively coupled plasma mass spectrometry analysis with the same certified reference materials employed. The results could mostly be accepted as satisfactory. The proposed procedure essentially reduces the expenses in comparison with separate sample preparation for inductively coupled plasma mass spectrometry and X-ray fluorescence analysis.

  7. Three-phase inductive-coupled structures for contactless PHEV charging system

    NASA Astrophysics Data System (ADS)

    Lee, Jia-You; Shen, Hung-Yu; Li, Cheng-Bin

    2016-07-01

    In this article, a new-type three-phase inductive-coupled structure is proposed for the contactless plug-in hybrid electric vehicle (PHEV) charging system regarding with SAE J-1773. Four possible three-phase core structures are presented and subsequently investigated by the finite element analysis. To study the correlation between the core geometric parameter and the coupling coefficient, the magnetic equivalent circuit model of each structure is also established. In accordance with the simulation results, the low reluctance and the sharing of flux path in the core material are achieved by the proposed inductive-coupled structure with an arc-shape and three-phase symmetrical core material. It results in a compensation of the magnetic flux between each phase and a continuous flow of the output power in the inductive-coupled structure. Higher coupling coefficient between inductive-coupled structures is achieved. A comparison of coupling coefficient, mutual inductance, and self-inductance between theoretical and measured results is also performed to verify the proposed model. A 1 kW laboratory scale prototype of the contactless PHEV charging system with the proposed arc-shape three-phase inductive-coupled structure is implemented and tested. An overall system efficiency of 88% is measured when two series lithium iron phosphate battery packs of 25.6 V/8.4 Ah are charged.

  8. Utilization of selected laser-ablation-based diagnostic methods for study of elemental distribution in various solid samples

    NASA Astrophysics Data System (ADS)

    Kaiser, J.; Novotný, K.; Hrdlička, A.; Malina, R.; Novotný, J.; Prochazka, D.; Petrilak, M.; Krajcarová, L.; Vítková, G.; Kučerová, P.

    2010-12-01

    Here we report on the recent developments and upgrades of our Laser-Induced Breakdown Spectroscopy setups and their different modification for high-resolution mapping. Mapping capabilities of Laser-Induced Breakdown Spectroscopy (LIBS) and Laser Ablation Inductively Coupled Plasma Mass Spectrometry are compared. The applied improvements as an autofocus algorithm, together with the realization of double-pulse LIBS or combination of LIBS by Laser-Induced Fluorescence Spectroscopy (LIFS) with technique are detailed. The signal enhancement obtained by double-pulse approach is demonstrated. The state of the art on development of portable remote LIBS apparatus is also presented.

  9. Observation of helicon wave with m = 0 antenna in a weakly magnetized inductively coupled plasma source

    NASA Astrophysics Data System (ADS)

    Ellingboe, Bert; Sirse, Nishant; Moloney, Rachel; McCarthy, John

    2015-09-01

    Bounded whistler wave, called ``helicon wave,'' is known to produce high-density plasmas and has been exploited as a high density plasma source for many applications, including electric propulsion for spacecraft. In a helicon plasma source, an antenna wrapped around the magnetized plasma column launches a low frequency wave, ωce/2 >ωhelicon >ωce/100, in the plasma which is responsible for maintaining high density plasma. Several antenna designs have been proposed in order to match efficiently the wave modes. In our experiment, helicon wave mode is observed using an m = 0 antenna. A floating B dot probe, compensated to the capacitively coupled E field, is employed to measure axial-wave-field-profiles (z, r, and θ components) in the plasma at multiple radial positions as a function of rf power and pressure. The Bθ component of the rf-field is observed to be unaffected as the wave propagates in the axial direction. Power coupling between the antenna and the plasma column is identified and agrees with the E, H, and wave coupling regimes previously seen in M =1 antenna systems. That is, the Bz component of the rf-field is observed at low plasma density as the Bz component from the antenna penetrates the plasma. The Bz component becomes very small at medium density due to shielding at the centre of the plasma column; however, with increasing density, a sudden ``jump'' occurs in the Bz component above which a standing wave under the antenna with a propagating wave away from the antenna are observed.

  10. Ultra-Sensitive Elemental Analysis Using Plasmas 4.Application of Inductively Coupled Plasma Mass Spectrometry to the Study of Environmental Radioactivity

    NASA Astrophysics Data System (ADS)

    Yoshida, Satoshi

    Applications of inductively coupled plasma mass spectrometry (ICP-MS) to the determination of long-lived radionuclides in environmental samples were summarized. In order to predict the long-term behavior of the radionuclides, related stable elements were also determined. Compared with radioactivity measurements, the ICP-MS method has advantages in terms of its simple analytical procedures, prompt measurement time, and capability of determining the isotope ratio such as240Pu/239Pu, which can not be separated by radiation. Concentration of U and Th in Japanese surface soils were determined in order to determine the background level of the natural radionuclides. The 235U/238U ratio was successfully used to detect the release of enriched U from reconversion facilities to the environment and to understand the source term. The 240Pu/239Pu ratios in environmental samples varied widely depending on the Pu sources. Applications of ICP-MS to the measurement of I and Tc isotopes were also described. The ratio between radiocesium and stable Cs is useful for judging the equilibrium of deposited radiocesium in a forest ecosystem.

  11. Investigation on the Characteristics of Pellet Ablation in a Toroidal Plasma

    NASA Astrophysics Data System (ADS)

    Sato, K. N.; Sakakita, H.; Fujita, H.

    2003-06-01

    Characteristics of a cloud ablated from an ice pellet has been investigated in detail in the JIPP T-IIU tokamak plasma by utilizing a new scheme of pellet injection system, "the injection-angle controllable system". A long "helical tail" of ablation light has been observed using CCD cameras and a high speed framing photograph in the case of on-axis and off-axis injection with the injection angle smaller than a certain value. The direction of the helical tail is found to be independent to that of the total magnetic field lines of the torus. From the experiments with the combination of two toroildal filed directions and two plasma current directions, it is considered that the tail seems to rotate, in most cases, to the electron diamagnetic direction poloidally, and to the opposite to the plasma current direction toroidally. Consideration on various cross sections including charge exchange, ionization and elastic collisions leads us to the conclusion that the tail-shaped phenomena may come from the situation of charge exchange equilibrium of hydrogen ions and neutrals at extremely high density regime in the cloud. The relation of ablation behavior with plasma potential and rotation has also been studied. Potential measurements of pellet-injected plasmas using heavy ion beam probe (HIBP) method were carried out for the first time. In the case of an injection angle to be anti-parallel to the electron diamagnetic direction in the poloidal plane, the result shows that the direction of potential change is negative, and consequently the potential after the injection should be negative because it has been measured to be negative in usual ohmic plasmas without pellet injection. Thus, the direction of the "tail" structure seems to be consistent to that of the plasma potential measured, if it is considered that tail structure may be caused by the effect of the plasma potential and the rotation.

  12. Fourier Transform Infrared Absorption Spectroscopy of Gas-Phase and Surface Reaction Products during Si Etching in Inductively Coupled Cl2 Plasmas

    NASA Astrophysics Data System (ADS)

    Miyata, Hiroki; Tsuda, Hirotaka; Fukushima, Daisuke; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2011-10-01

    A better understanding of plasma-surface interactions is indispensable during etching, including the behavior of reaction or etch products, because the products on surfaces and in the plasma are important in passivation layer formation through their redeposition on surfaces. In practice, the nanometer-scale control of plasma etching would still rely largely on such passivation layer formation as well as ion-enhanced etching on feature surfaces. This paper presents in situ Fourier transform infrared (FTIR) absorption spectroscopy of gas-phase and surface reaction products during inductively coupled plasma (ICP) etching of Si in Cl2. The observation was made in the gas phase by transmission absorption spectroscopy (TAS), and also on the substrate surface by reflection absorption spectroscopy (RAS). The quantum chemical calculation was also made of the vibrational frequency of silicon chloride molecules. The deconvolution of the TAS spectrum revealed absorption features of Si2Cl6 and SiClx (x = 1-3) as well as SiCl4, while that of the RAS spectrum revealed relatively increased absorption features of unsaturated silicon chlorides. A different behavior was also observed in bias power dependence between the TAS and RAS spectra.

  13. Evaluation of oxygen species during E-H transition in inductively coupled RF plasmas: combination of experimental results with global model

    NASA Astrophysics Data System (ADS)

    Meichsner, Jürgen; Wegner, Thomas

    2018-05-01

    Inductively coupled RF plasmas (ICP) in oxygen at low pressure have been intensively studied as a molecular and electronegative model system in the last funding period of the Collaborative Research Centre 24 "Fundamentals of Complex Plasmas". The ICP configuration consists of a planar coil inside a quartz cylinder as dielectric barrier which is immersed in a large stainless steel vacuum chamber. In particular, the E-H mode transition has been investigated, combining experimental results from comprehensive plasma diagnostics as input for analytical rate equation calculation of a volume averaged global model. The averaged density was determined for electrons, negative ions O-, molecular oxygen ground state O2(X3 Σg-) and singlet metastable state O2(a1 Δg) from line-integrated measurements using 160 GHz Gaussian beam microwave interferometry coupled with laser photodetachment experiment and VUV absorption spectroscopy, respectively. Taking into account the relevant elementary processes and rate coefficients from literature together with the measured temperatures and averaged density of electrons, O2(X3 Σg-) and O2(a1 Δg) the steady state density was calculated for O(3P), O2(b1 Σg+), O(1D), O(1S), O3, O-, O2-, and O3-, respectively. The averaged density of negative ions O- from the rate equation calculation is compared with the measured one. The normalized source and loss rates are discussed for O(3P), O2(b1 Σg+) and O-. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  14. Ultra-Sensitive Elemental Analysis Using Plasmas 5.Speciation of Arsenic Compounds in Biological Samples by High Performance Liquid Chromatography-Inductively Coupled Plasma Mass Spectrometry System

    NASA Astrophysics Data System (ADS)

    Kaise, Toshikazu

    Arsenic originating from the lithosphere is widely distributed in the environment. Many arsenicals in the environment are in organic and methylated species. These arsenic compounds in drinking water or food products of marine origin are absorbed in human digestive tracts, metabolized in the human body, and excreted viatheurine. Because arsenic shows varying biological a spects depending on its chemical species, the biological characteristics of arsenic must be determined. It is thought that some metabolic pathways for arsenic and some arsenic circulation exist in aqueous ecosystems. In this paper, the current status of the speciation analysis of arsenic by HPLC/ICP-MS (High Performance Liquid Chromatography-Inductively Coupled Plasma Mass spectrometry) in environmental and biological samples is summarized using recent data.

  15. Trace element study in scallop shells by laser ablation ICP-MS: the example of Ba/Ca ratios

    NASA Astrophysics Data System (ADS)

    Lorrain, A.; Pécheyran, C.; Paulet, Y.-M.; Chauvaud, L.; Amouroux, D.; Krupp, E.; Donard, O.

    2003-04-01

    As scallop shells grow incrementally at a rate of one line per day, environmental changes could then be evidenced on a daily basis. As an example for trace element incorporation studies, barium is a geochemical tracer that can be directly related to oceanic primary productivity. Hence, monitoring Ba/Ca variations in a scallop shell should give information about phytoplanktonic events encountered day by day during its life. The very high spatial resolution (typically 40 - 200 µm) and the high elemental sensitivity required can only be achieved by the combination of laser ablation coupled to inductively coupled plasma mass spectrometry. This study demonstrates that Laser ablation coupled to ICP-MS determination is a relevant tool for high resolution distribution measurement of trace elements in calcite matrix. The ablation strategy related to single line rastering and calcium normalisation were found to be the best analytical conditions in terms of reproducibility and sensitivity. The knowledge of P. maximus growth rings periodicity (daily), combined with LA-ICP-MS micro analysis allows the acquisition of time dated profiles with high spatial and thus temporal resolution. This resolution makes P. maximus a potential tool for environmental reconstruction and especially for accurate calibration of proxies. However, the relations among Ba/Ca peaks and phytoplanktonic events differed according to the animals and some inter-annual discrepancies complexify the interpretation.

  16. Effect of inter-tissue inductive coupling on multi-frequency imaging of intracranial hemorrhage by magnetic induction tomography

    NASA Astrophysics Data System (ADS)

    Xiao, Zhili; Tan, Chao; Dong, Feng

    2017-08-01

    Magnetic induction tomography (MIT) is a promising technique for continuous monitoring of intracranial hemorrhage due to its contactless nature, low cost and capacity to penetrate the high-resistivity skull. The inter-tissue inductive coupling increases with frequency, which may lead to errors in multi-frequency imaging at high frequency. The effect of inter-tissue inductive coupling was investigated to improve the multi-frequency imaging of hemorrhage. An analytical model of inter-tissue inductive coupling based on the equivalent circuit was established. A set of new multi-frequency decomposition equations separating the phase shift of hemorrhage from other brain tissues was derived by employing the coupling information to improve the multi-frequency imaging of intracranial hemorrhage. The decomposition error and imaging error are both decreased after considering the inter-tissue inductive coupling information. The study reveals that the introduction of inter-tissue inductive coupling can reduce the errors of multi-frequency imaging, promoting the development of intracranial hemorrhage monitoring by multi-frequency MIT.

  17. U.S.-MEXICO BORDER PROGRAM ARIZONA BORDER STUDY--STANDARD OPERATING PROCEDURE FOR OPERATION, CALIBRATION, AND MAINTENANCE OF THE JOBIN-YVON MODEL 70 INDUCTIVELY COUPLED PLASMA ATOMIC EMISSION SPECTROMETER (BCO-L-7.1)

    EPA Science Inventory

    The purpose of this SOP is to detail the operation and maintenance of an Instruments, SA Inc., Jobin-Yvon Model 70 (JY-70) inductively coupled plasma atomic emissions spectrometry (ICP-AES). This procedure was followed to ensure consistent data retrieval during the Arizona NHEXA...

  18. The quick and ultrasensitive determination of K in NaI using inductively coupled plasma mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnquist, Isaac J.; Hoppe, Eric W.

    A highly sensitive, novel and quick assay method utilizing inductively coupled plasma mass spectrometry was developed for the determination of K in NaI powders and NaI(Tl) scintillator crystals for use in ultralow background applications. The determination of K (viz. 40K), as well as Th and U and their daughters, is important in ultralow background detector materials to ensure incorporation of materials of sufficiently high radiopurity. Through the use of improved instrumentation, cool plasma operating conditions, and meticulously clean sample preparations, detection limits of 11 fg natK∙g-1 (or 341 pBq 40K∙kg-1) was attained for K in pure water. Detection limits inmore » the sample matrix (i.e., NaI) were 0.529 ng natK∙g NaI-1 (or 16.4 Bq 40K∙kg NaI -1). A number of different precursor NaI powder samples and NaI(Tl) scintillator crystals were assayed for their K content. Determinations ranged from 0.757 – 31.4 ng natK∙g NaI-1. This method allows for the screening of materials to unprecedented levels in a fraction of the time compared to gamma counting techniques, providing a useful method for a more effective screening tool of K in ultralow background detector materials.« less

  19. A hybrid model of biased inductively coupled discharges1

    NASA Astrophysics Data System (ADS)

    Wen, Deqi; Lieberman, Michael A.; Zhang, Quanzhi; Liu, Yongxin; Wang, Younian

    2016-09-01

    A hybrid model, i.e. a global model coupled bidirectionally with a parallel Monte-Carlo collision (MCC) sheath model, is developed to investigate an inductively coupled discharge with a bias source. To validate this model, both bulk plasma density and ion energy distribution functions (IEDFs) are compared with experimental measurements in an argon discharge, and a good agreement is obtained. On this basis, the model is extended to weakly electronegative Ar/O2 plasma. The ion energy and angular distribution functions versus bias voltage amplitude are examined. The different ion species (Ar+, O2+,O+) have various behaviors because of the different masses. A low bias voltage, Ar+ has a single energy peak distribution and O+ has a bimodal distribution. At high bias voltage, the energy peak separation of O+ is wider than Ar+. 1This work has been supported by the National Nature Science Foundation of China (Grant No. 11335004) and Specific project (Grant No 2011X02403-001) and partially supported by Department of Energy Office of Fusion Energy Science Contract DE-SC000193 and a gift from the Lam Research Corporation.

  20. Determination of 90Sr and Pu isotopes in contaminated groundwater samples by inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Zoriy, Miroslav V.; Ostapczuk, Peter; Halicz, Ludwik; Hille, Ralf; Becker, J. Sabine

    2005-04-01

    A sensitive analytical method for determining the artificial radionuclides 90Sr, 239Pu and 240Pu at the ultratrace level in groundwater samples from the Semipalatinsk Test Site area in Kazakhstan by double-focusing sector field inductively coupled plasma mass spectrometry (ICP-SFMS) was developed. In order to avoid possible isobaric interferences at m/z 90 for 90Sr determination (e.g. 90Zr+, 40Ar50Cr+, 36Ar54Fe+, 58Ni16O2+, 180Hf2+, etc.), the measurements were performed at medium mass resolution under cold plasma conditions. Pu was separated from uranium by means of extraction chromatography using Eichrom TEVA resin with a recovery of 83%. The limits of detection for 90Sr, 239Pu and 240Pu in water samples were determined as 11, 0.12 and 0.1 fg ml-1, respectively. Concentrations of 90Sr and 239Pu in contaminated groundwater samples ranged from 18 to 32 and from 28 to 856 fg ml-1, respectively. The 240Pu/239Pu isotopic ratio in groundwater samples was measured as 0.17. This isotope ratio indicates that the most probable source of contamination of the investigated groundwater samples was the nuclear weapons tests at the Semipalatinsk Test Site conducted by the USSR in the 1960s.

  1. ARO - Terrestrial Research Program, Methodologies and Protocols for Characterization of Geomaterials

    DTIC Science & Technology

    2015-05-14

    of ice involves melting, digestion, and analysis using inductively coupled plasma – mass spectrometry (ICPMS). ICP-MS analysis established elemental...4] have distinct chemical compositions. Knowledge of the chemical composition of the mineral assemblage present in a rock is critical to...activation analysis (INAA), to inductively-coupled plasma analysis and mass spectrometry (ICP & ICP-MS), mass spectrometry (MS), and laser-ablation

  2. Trace element determination using static high-sensitivity inductively coupled plasma optical emission spectrometry (SHIP-OES).

    PubMed

    Engelhard, Carsten; Scheffer, Andy; Nowak, Sascha; Vielhaber, Torsten; Buscher, Wolfgang

    2007-02-05

    A low-flow air-cooled inductively coupled plasma (ICP) design for optical emission spectrometry (OES) with axial plasma viewing is described and an evaluation of its analytical capabilities in trace element determinations is presented. Main advantage is a total argon consumption of 0.6 L min(-1) in contrast to 15 L min(-1) using conventional ICP sources. The torch was evaluated in trace element determinations and studied in direct comparison with a conventional torch under the same conditions with the same OES system, ultrasonic nebulization (USN) and single-element optimization. A variety of parameters (x-y-position of the torch, rf power, external air cooling, gas flow rates and USN operation parameters) was optimized to achieve limits of detection (LOD) which are competitive to those of a conventional plasma source. Ionic to atomic line intensity ratios for magnesium were studied at different radio frequency (rf) power conditions and different sample carrier gas flows to characterize the robustness of the excitation source. A linear dynamic range of three to five orders of magnitude was determined under compromise conditions in multi-element mode. The accuracy of the system was investigated by the determination of Co, Cr, Mn, Zn in two certified reference materials (CRM): CRM 075c (Copper with added impurities), and CRM 281 (Trace elements in rye grass). With standard addition values of 2.44+/-0.04 and 3.19+/-0.21 microg g(-1) for Co and Mn in the CRM 075c and 2.32+/-0.09, 81.8+/-0.4, 32.2+/-3.9 for Cr, Mn and Zn, respectively, were determined in the samples and found to be in good agreement with the reported values; recovery rates in the 98-108% range were obtained. No influence on the analysis by the matrix load in the sample was observed.

  3. Determination of element/Ca ratios in foraminifera and corals using cold- and hot-plasma techniques in inductively coupled plasma sector field mass spectrometry

    NASA Astrophysics Data System (ADS)

    Lo, Li; Shen, Chuan-Chou; Lu, Chia-Jung; Chen, Yi-Chi; Chang, Ching-Chih; Wei, Kuo-Yen; Qu, Dingchuang; Gagan, Michael K.

    2014-02-01

    We have developed a rapid and precise procedure for measuring multiple elements in foraminifera and corals by inductively coupled plasma sector field mass spectrometry (ICP-SF-MS) with both cold- [800 W radio frequency (RF) power] and hot- (1200 W RF power) plasma techniques. Our quality control program includes careful subsampling protocols, contamination-free workbench spaces, and refined plastic-ware cleaning process. Element/Ca ratios are calculated directly from ion beam intensities of 24Mg, 27Al, 43Ca, 55Mn, 57Fe, 86Sr, and 138Ba, using a standard bracketing method. A routine measurement time is 3-5 min per dissolved sample. The matrix effects of nitric acid, and Ca and Sr levels, are carefully quantified and overcome. There is no significant difference between data determined by cold- and hot-plasma methods, but the techniques have different advantages. The cold-plasma technique offers a more stable plasma condition and better reproducibility for ppm-level elements. Long-term 2-sigma relative standard deviations (2-RSD) for repeat measurements of an in-house coral standard are 0.32% for Mg/Ca and 0.43% for Sr/Ca by cold-plasma ICP-SF-MS, and 0.69% for Mg/Ca and 0.51% for Sr/Ca by hot-plasma ICP-SF-MS. The higher sensitivity and enhanced measurement precision of the hot-plasma procedure yields 2-RSD precision for μmol/mol trace elements of 0.60% (Mg/Ca), 9.9% (Al/Ca), 0.68% (Mn/Ca), 2.7% (Fe/Ca), 0.50% (Sr/Ca), and 0.84% (Ba/Ca) for an in-house foraminiferal standard. Our refined ICP-SF-MS technique, which has the advantages of small sample size (2-4 μg carbonate consumed) and fast sample throughput (5-8 samples/hour), should open the way to the production of high precision and high resolution geochemical records for natural carbonate materials.

  4. SPECIATION OF SELENIUM AND ARSENIC COMPOUNDS BY CAPILLARY ELECTROPHORESIS WITH HYDRODYNAMICALLY MODIFIED ELECTROOSMOTIC FLOW AND ON-LINE REDUCTION OF SELENIUM(VI) TO SELENIUM(IV) WITH HYDRIDE GENERATION INDUCTIVELY COUPLED PLASMA MASS SPECTROMETRIC DETECTION

    EPA Science Inventory

    Capillary electrophoresis (CE) with hydride generation inductively coupled plasma mass spectrometry was used to determine four arsenicals and two selenium species. Selenate (SeVI) was reduced on-line to selenite (SeIV') by mixing the CE effluent with concentrated HCl. A microporo...

  5. An electrothermal plasma model considering polyethylene and copper ablation based on ignition experiment

    NASA Astrophysics Data System (ADS)

    Zhang, Jiangbo; Li, Xingwen; Hang, Yuhua; Yang, Weihong

    2018-06-01

    In order to study the characteristics of electrothermal plasma interaction with energetic materials, especially the ignition ability, a novel model considering polyethylene and copper ablation is developed, and an ignition experiment system is set up. The parameters of the plasma and the surface conditions of the energetic materials are measured in the testing. The results show the measured first peak pressure to be ~2.2 MPa, the second peak pressure to be ~3.9 MPa, and the visible flame velocity to be ~2000 m s‑1. Circular pits of the order of microns and nanometers in size are observed on the surface of the energetic materials. Further, the parameters of the plasma, including static pressure, total pressure, density, temperature, velocity, copper concentration and PE concentration, are calculated and analyzed by the established model, under discharge currents of 9 kA. The simulation is similar to those of experimental results. A shock wave is observed in the experiment and is presented in the calculations; it plays an important role in the performance of the plasma in the nozzle region, where the parameters of the plasma variation trends are very complex. With the aim of obtaining the overall performance of the plasma, the coupling characteristics of multiple parameters must be taken into account, in accordance with the developed electrothermal plasma model.

  6. [Voice acoustic study of plasma radiofrequency ablation for the treatment of laryngeal premalignant lesions].

    PubMed

    Zang, Y Z; Wan, B L; Jia, X D; Wang, G K

    2016-11-01

    Objective: To study the voice function effect of low temperature plasma radiofrequency ablation in the treatment of patients with laryngeal premalignant lesions. Method: Fifty cases of laryngeal premalignant lesions were treated with low temperature plasma radiofrequency ablation. All of the patients were examined by electronic laryngoscopy and acoustic analysis(F0,Jitter,Shimmer,NNE,HNR) in 2 weeks,1 month,3 months after surgery. Voice acoustic results were compared with a control group of 50 normal adults for the further analysis. Result: Fifty patients with laryngeal premalignant lesions were treated by low temperature plasma radiofrequency ablation.The result showed that 47 patients(94%)were successfully decannulated without serious complications, such as dyspnea, aphonia and anterior glottic stenosis. Acoustic analysis showed that F0,Jitter,Shimmer and NNE were significantly different from normal 2 weeks after surgery( P <0.01).Voice function recovered weakly 1 month after operation( P <0.05).There were no significant differences in the vocal parameters between plasma radiofrequency ablation group and control group 3 months after surgery( P >0.05). Conclusion: Radiofrequency coblation was a safe,minimally invasive and effective surgical method and can be widely used to treat laryngeal premalignant lesions.. Copyright© by the Editorial Department of Journal of Clinical Otorhinolaryngology Head and Neck Surgery.

  7. Non-inductive current generation in fusion plasmas with turbulence

    NASA Astrophysics Data System (ADS)

    Wang, Weixing; Ethier, S.; Startsev, E.; Chen, J.; Hahm, T. S.; Yoo, M. G.

    2017-10-01

    It is found that plasma turbulence may strongly influence non-inductive current generation. This may have radical impact on various aspects of tokamak physics. Our simulation study employs a global gyrokinetic model coupling self-consistent neoclassical and turbulent dynamics with focus on electron current. Distinct phases in electron current generation are illustrated in the initial value simulation. In the early phase before turbulence develops, the electron bootstrap current is established in a time scale of a few electron collision times, which closely agrees with the neoclassical prediction. The second phase follows when turbulence begins to saturate, during which turbulent fluctuations are found to strongly affect electron current. The profile structure, amplitude and phase space structure of electron current density are all significantly modified relative to the neoclassical bootstrap current by the presence of turbulence. Both electron parallel acceleration and parallel residual stress drive are shown to play important roles in turbulence-induced current generation. The current density profile is modified in a way that correlates with the fluctuation intensity gradient through its effect on k//-symmetry breaking in fluctuation spectrum. Turbulence is shown to deduct (enhance) plasma self-generated current in low (high) collisionality regime, and the reduction of total electron current relative to the neoclassical bootstrap current increases as collisionality decreases. The implication of this result to the fully non-inductive current operation in steady state burning plasma regime should be investigated. Finally, significant non-inductive current is observed in flat pressure region, which is a nonlocal effect and results from turbulence spreading induced current diffusion. Work supported by U.S. DOE Contract DE-AC02-09-CH11466.

  8. Elemental analysis of soils using laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and laser-induced breakdown spectroscopy (LIBS) with multivariate discrimination: tape mounting as an alternative to pellets for small forensic transfer specimens.

    PubMed

    Jantzi, Sarah C; Almirall, José R

    2014-01-01

    Elemental analysis of soil is a useful application of both laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and laser-induced breakdown spectroscopy (LIBS) in geological, agricultural, environmental, archeological, planetary, and forensic sciences. In forensic science, the question to be answered is often whether soil specimens found on objects (e.g., shoes, tires, or tools) originated from the crime scene or other location of interest. Elemental analysis of the soil from the object and the locations of interest results in a characteristic elemental profile of each specimen, consisting of the amount of each element present. Because multiple elements are measured, multivariate statistics can be used to compare the elemental profiles in order to determine whether the specimen from the object is similar to one of the locations of interest. Previous work involved milling and pressing 0.5 g of soil into pellets before analysis using LA-ICP-MS and LIBS. However, forensic examiners prefer techniques that require smaller samples, are less time consuming, and are less destructive, allowing for future analysis by other techniques. An alternative sample introduction method was developed to meet these needs while still providing quantitative results suitable for multivariate comparisons. The tape-mounting method involved deposition of a thin layer of soil onto double-sided adhesive tape. A comparison of tape-mounting and pellet method performance is reported for both LA-ICP-MS and LIBS. Calibration standards and reference materials, prepared using the tape method, were analyzed by LA-ICP-MS and LIBS. As with the pellet method, linear calibration curves were achieved with the tape method, as well as good precision and low bias. Soil specimens from Miami-Dade County were prepared by both the pellet and tape methods and analyzed by LA-ICP-MS and LIBS. Principal components analysis and linear discriminant analysis were applied to the multivariate data

  9. Sulfur-based absolute quantification of proteins using isotope dilution inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Lee, Hyun-Seok; Heun Kim, Sook; Jeong, Ji-Seon; Lee, Yong-Moon; Yim, Yong-Hyeon

    2015-10-01

    An element-based reductive approach provides an effective means of realizing International System of Units (SI) traceability for high-purity biological standards. Here, we develop an absolute protein quantification method using double isotope dilution (ID) inductively coupled plasma mass spectrometry (ICP-MS) combined with microwave-assisted acid digestion for the first time. We validated the method and applied it to certify the candidate protein certified reference material (CRM) of human growth hormone (hGH). The concentration of hGH was determined by analysing the total amount of sulfur in hGH. Next, the size-exclusion chromatography method was used with ICP-MS to characterize and quantify sulfur-containing impurities. By subtracting the contribution of sulfur-containing impurities from the total sulfur content in the hGH CRM, we obtained a SI-traceable certification value. The quantification result obtained with the present method based on sulfur analysis was in excellent agreement with the result determined via a well-established protein quantification method based on amino acid analysis using conventional acid hydrolysis combined with an ID liquid chromatography-tandem mass spectrometry. The element-based protein quantification method developed here can be generally used for SI-traceable absolute quantification of proteins, especially pure-protein standards.

  10. A candidate reference method for serum potassium measurement by inductively coupled plasma mass spectrometry.

    PubMed

    Yan, Ying; Han, Bingqing; Zeng, Jie; Zhou, Weiyan; Zhang, Tianjiao; Zhang, Jiangtao; Chen, Wenxiang; Zhang, Chuanbao

    2017-08-28

    Potassium is an important serum ion that is frequently assayed in clinical laboratories. Quality assurance requires reference methods; thus, the establishment of a candidate reference method for serum potassium measurements is important. An inductively coupled plasma mass spectrometry (ICP-MS) method was developed. Serum samples were gravimetrically spiked with an aluminum internal standard, digested with 69% ultrapure nitric acid, and diluted to the required concentration. The 39K/27Al ratios were measured by ICP-MS in hydrogen mode. The method was calibrated using 5% nitric acid matrix calibrators, and the calibration function was established using the bracketing method. The correlation coefficients between the measured 39K/27Al ratios and the analyte concentration ratios were >0.9999. The coefficients of variation were 0.40%, 0.68%, and 0.22% for the three serum samples, and the analytical recovery was 99.8%. The accuracy of the measurement was also verified by measuring certified reference materials, SRM909b and SRM956b. Comparison with the ion selective electrode routine method and international inter-laboratory comparisons gave satisfied results. The new ICP-MS method is specific, precise, simple, and low-cost, and it may be used as a candidate reference method for standardizing serum potassium measurements.

  11. Determination of platinum surface contamination in veterinary and human oncology centres using inductively coupled plasma mass spectrometry.

    PubMed

    Janssens, T; Brouwers, E E M; de Vos, J P; de Vries, N; Schellens, J H M; Beijnen, J H

    2015-09-01

    The objective of this study was to determine the surface contamination with platinum-containing antineoplastic drugs in veterinary and human oncology centres. Inductively coupled plasma mass spectrometry was used to measure platinum levels in surface samples. In veterinary and human oncology centres, 46.3 and 68.9% of the sampled surfaces demonstrated platinum contamination, respectively. Highest platinum levels were found in the preparation rooms (44.6 pg cm(-2)) in veterinary centres, while maximal levels in human centres were found in oncology patient-only toilets (725 pg cm(-2)). Transference of platinum by workers outside areas where antineoplastic drugs were handled was observed in veterinary and human oncology centres. In conclusion, only low levels of platinum contamination attributable to carboplatin were found in the sampled veterinary oncology centres. However, dispersion of platinum outside areas where antineoplastic drugs were handled was detected in veterinary and human oncology centres. Consequently, not only personnel, but also others may be exposed to platinum. © 2013 Blackwell Publishing Ltd.

  12. Design of a Microwave Assisted Discharge Inductive Plasma Accelerator

    NASA Technical Reports Server (NTRS)

    Hallock, Ashley K.; Polzin, Kurt A.

    2010-01-01

    A new plasma accelerator concept that employs electrodeless plasma preionization and pulsed inductive acceleration is presented. Preionization is achieved through an electron cyclotron resonance discharge that produces a weakly-ionized plasma at the face of a conical theta pinch-shaped inductive coil. The presence of the preionized plasma allows for current sheet formation at lower discharge voltages than those found in other pulsed inductive accelerators. The location of an electron cyclotron resonance discharge can be controlled through the design of the applied magnetic field in the thruster. A finite-element model of the magnetic field was used as a design tool, allowing for the implementation of an arrangement of permanent magnets that yields a small volume of preionized propellant at the coil face. This allows for current sheet formation at the face of the inductive coil, minimizing the initial inductance of the pulse circuit and maximizing the potential efficiency of the new accelerator.

  13. A matrix effect and accuracy evaluation for the determination of elements in milk powder LIBS and laser ablation/ICP-OES spectrometry.

    PubMed

    Gilon, N; El-Haddad, J; Stankova, A; Lei, W; Ma, Q; Motto-Ros, V; Yu, J

    2011-11-01

    Laser ablation coupled to inductively coupled plasma optical emission spectrometry (LA-ICP-OES) and laser-induced breakdown spectroscopy (LIBS) were investigated for the determination of Ca, Mg, Zn and Na in milk samples. The accuracy of both methods was evaluated by comparison of the concentration found using LA-ICP-OES and LIBS with classical wet digestion associated with ICP-OES determination. The results were not fully acceptable, with biases from less than 1% to more than 60%. Matrix effects were also investigated. The sample matrix can influence the temperature, electron number density (n (e)) and other excitation characteristics in the ICP. These ICP characteristics were studied and evaluated during ablation of eight milk samples. Differences in n (e) (from 8.9 to 13.8 × 10(14) cm(-3)) and rotational temperature (ranging from 3,400 to 4,400 K) occurred with no correlation with trueness. LIBS results obtained after classical external calibration procedure gave degraded accuracy, indicating a strong matrix effect. The LIBS measurements clearly showed that the major problem in LA-ICP was related to the ablation process and that LIBS spectroscopy is an excellent diagnostic tool for LA-ICP techniques.

  14. Fast Determination of Toxic Arsenic Species in Food Samples Using Narrow-bore High-Performance Liquid-Chromatography Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Terol, Amanda; Marcinkowska, Monika; Ardini, Francisco; Grotti, Marco

    2016-01-01

    A new method for the speciation analysis of arsenic in food using narrow-bore high-performance liquid-chromatography inductively coupled plasma mass spectrometry (HPLC-ICP-MS) has been developed. Fast separation of arsenite, arsenate, monomethylarsonic acid and dimethylarsinic acid was carried out in 7 min using an anion-exchange narrow-bore Nucleosil 100 SB column and 12 mM ammonium dihydrogen phosphate of pH 5.2 as the mobile phase, at a flow rate of 0.3 mL min(-1). A PFA-ST micronebulizer jointed to a cyclonic spray chamber was used for HPLC-ICP-MS coupling. Compared with standard-bore HPLC-ICP-MS, the new method has provided higher sensitivity, reduced mobile-phase consumption, a lower matrix plasma load and a shorter analysis time. The achieved instrumental limits of detection were in the 0.3 - 0.4 ng As mL(-1) range, and the precision was better than 3%. The arsenic compounds were efficiently (>80%) extracted from various food samples using a 1:5 methanol/water solution, with additional ultrasonic treatment for rice products. The applicability of this method was demonstrated by the analysis of several samples, such as seafood (fish, mussels, shrimps, edible algae) and rice-based products (Jasmine and Arborio rice, spaghetti, flour, crackers), including three certified reference materials.

  15. Patterning of graphene on silicon-on-insulator waveguides through laser ablation and plasma etching

    NASA Astrophysics Data System (ADS)

    Van Erps, Jürgen; Ciuk, Tymoteusz; Pasternak, Iwona; Krajewska, Aleksandra; Strupinski, Wlodek; Van Put, Steven; Van Steenberge, Geert; Baert, Kitty; Terryn, Herman; Thienpont, Hugo; Vermeulen, Nathalie

    2016-05-01

    We present the use of femtosecond laser ablation for the removal of monolayer graphene from silicon-on-insulator (SOI) waveguides, and the use of oxygen plasma etching through a metal mask to peel off graphene from the grating couplers attached to the waveguides. Through Raman spectroscopy and atomic force microscopy, we show that the removal of graphene is successful with minimal damage to the underlying SOI waveguides. Finally, we employ both removal techniques to measure the contribution of graphene to the loss of grating-coupled graphene-covered SOI waveguides using the cut-back method. This loss contribution is measured to be 0.132 dB/μm.

  16. Determination of refractive and volatile elements in sediment using laser ablation inductively coupled plasma mass spectrometry.

    PubMed

    Duodu, Godfred Odame; Goonetilleke, Ashantha; Allen, Charlotte; Ayoko, Godwin A

    2015-10-22

    Wet-milling protocol was employed to produce pressed powder tablets with excellent cohesion and homogeneity suitable for laser ablation (LA) analysis of volatile and refractive elements in sediment. The influence of sample preparation on analytical performance was also investigated, including sample homogeneity, accuracy and limit of detection. Milling in volatile solvent for 40 min ensured sample is well mixed and could reasonably recover both volatile (Hg) and refractive (Zr) elements. With the exception of Cr (-52%) and Nb (+26%) major, minor and trace elements in STSD-1 and MESS-3 could be analysed within ±20% of the certified values. Comparison of the method with total digestion method using HF was tested by analysing 10 different sediment samples. The laser method recovers significantly higher amounts of analytes such as Ag, Cd, Sn and Sn than the total digestion method making it a more robust method for elements across the periodic table. LA-ICP-MS also eliminates the interferences from chemical reagents as well as the health and safety risks associated with digestion processes. Therefore, it can be considered as an enhanced method for the analysis of heterogeneous matrices such as river sediments. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Tissue gadolinium deposition in hepatorenally impaired rats exposed to Gd-EOB-DTPA: evaluation with inductively coupled plasma mass spectrometry (ICP-MS).

    PubMed

    Sato, Tomohiro; Tamada, Tsutomu; Watanabe, Shigeru; Nishimura, Hirotake; Kanki, Akihiko; Noda, Yasufumi; Higaki, Atsushi; Yamamoto, Akira; Ito, Katsuyoshi

    2015-06-01

    This study was undertaken to quantify tissue gadolinium (Gd) deposition in hepatorenally impaired rats exposed to gadolinium ethoxybenzyl diethylenetriamine pentaacetic acid (Gd-EOB-DTPA) by means of inductively coupled plasma mass spectrometry (ICP-MS) and to compare differences in Gd distribution among major organs as possible triggers for nephrogenic systemic fibrosis. Five hepatorenally impaired rats (5/6-nephrectomized, with carbon-tetrachloride-induced liver fibrosis) were injected with Gd-EOB-DTPA. Histological assessment was conducted and Gd content of the skin, liver, kidneys, lungs, heart, spleen, diaphragm, and femoral muscle was measured by inductively coupled plasma mass spectrometry (ICP-MS) at 7 days after last injection. In addition, five renally impaired rats were injected with Gd-EOB-DTPA and the degree of tissue Gd deposition was compared with that in the hepatorenally impaired rats. ICP-MS analysis revealed significantly higher Gd deposition in the kidneys, spleen, and liver (p = 0.009-0.047) in the hepatorenally impaired group (42.6 ± 20.1, 17.2 ± 6.1, 8.4 ± 3.2 μg/g, respectively) than in the renally impaired group (17.2 ± 7.7, 5.4 ± 2.1, 2.8 ± 0.7 μg/g, respectively); no significant difference was found for other organs. In the hepatorenally impaired group, Gd was predominantly deposited in the kidneys, followed by the spleen, liver, lungs, skin, heart, diaphragm, and femoral muscle. Histopathological investigation revealed hepatic fibrosis in the hepatorenally impaired group. Compared with renally impaired rats, tissue Gd deposition in hepatorenally impaired rats exposed to Gd-EOB-DTPA was significantly increased in the kidneys, spleen, and liver, probably due to the impairment of the dual excretion pathways of the urinary and biliary systems.

  18. Preparation of hair for measurement of elements by inductively coupled plasma-mass spectrometry (ICP-MS).

    PubMed

    Puchyr, R F; Bass, D A; Gajewski, R; Calvin, M; Marquardt, W; Urek, K; Druyan, M E; Quig, D

    1998-06-01

    The preparation of hair for the determination of elements is a critical component of the analysis procedure. Open-beaker, closed-vessel microwave, and flowthrough microwave digestion are methods that have been used for sample preparation and are discussed. A new digestion method for use with inductively coupled plasma-mass spectrometry (ICP-MS) has been developed. The method uses 0.2 g of hair and 3 mL of concentrated nitric acid in an atmospheric pressure-low-temperature microwave digestion (APLTMD) system. This preparation method is useful in handling a large numbers of samples per day and may be adapted to hair sample weights ranging from 0.08 to 0.3 g. After digestion, samples are analyzed by ICP-MS to determine the concentration of Li, Be, B, Na, Mg, Al, P, S, K, Ca, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, As, Se, Rb, Sr, Zr, Mo, Pd, Ag, Cd, Sn, Sb, I, Cs, Ba, Pt, Au, Hg, Tl, Pb, Bi, Th, and U. Benefits of the APLTMD include reduced contamination and sample handling, and increased precision, reliability, and sample throughput.

  19. Inductively coupled plasma-mass spectrometric method for the determination of dissolved trace elements in natural water

    USGS Publications Warehouse

    Garbarino, J.R.; Taylor, Howard E.

    1996-01-01

    An inductively coupled plasma-mass spectrometry method was developed for the determination of dissolved Al, As, B, Ba, Be, Cd, Co, Cr, Cu, Li, Mn, Mo, Ni, Pb, Sr, Tl, U, V, and Zn in natural waters. Detection limits are generally in the 50-100 picogram per milliliter (pg/mL) range, with the exception of As which is in the 1 microgram per liter (ug/L) range. Interferences associated with spectral overlap from concomitant isotopes or molecular ions and sample matrix composition have been identified. Procedures for interference correction and reduction related to isotope selection, instrumental operating conditions, and mathematical data processing techniques are described. Internal standards are used to minimize instrumental drift. The average analytical precision attainable for 5 times the detection limit is about 16 percent. The accuracy of the method was tested using a series of U.S. Geological Survey Standard Reference Water Standards (SWRS), National Research Council Canada Riverine Water Standard, and National Institute of Standards and Technology (NIST) Trace Elements in Water Standards. Average accuracies range from 90 to 110 percent of the published mean values.

  20. The potential of inductively coupled plasma mass spectrometry (ICP-MS) for the simultaneous determination of trace elements in whole blood, plasma and serum.

    PubMed

    Krachler, M; Irgolic, K J

    1999-11-01

    The advantages accruing to biochemical and clinical investigations from a method that allows the simultaneous quantification (RSD < or = 10%) of many elements in blood, plasma, and serum at concentrations equal to one-hundredth of the lower limits of the normal ranges are undeniable. The suitability of inductively coupled argon plasma low-resolution quadrupole mass spectrometry (ICP-MS), a simultaneous method with low detection limits, is evaluated for the quantification of inorganic constituents in whole blood, plasma, and serum with consideration of the dilution associated with the mineralization of the samples, of isobaric and polyatomic interferences and of normal ranges. Of the 3 bulk elements, the 3 major electrolytes, the 15 essential elements, the 8 toxic elements, the 4 therapeutic elements, and the 14 elements of potential interest (total of 47 elements) only 7 elements (Ca, Cu, K, Mg, Rb, Sr, Zn) can be simultaneously quantified under these rigorous conditions in serum and only 8 elements (additional element Pb) in whole blood. Quantification of elements in the Seronorm Standards "Whole Blood" and "Serum" showed, that this list of simultaneously determinable elements in these matrices is reasonable. Although this list is disappointingly short, the number of elements determinable simultaneously by ICP-MS is still larger than that by ICP-AES or GFAAS. Improved detectors, more efficient nebulizers, avoidance of interferences, better instrument design, and high-resolution mass spectrometers promise to increase the number of elements that can be determined simultaneously.

  1. Improved documentation of spectral lines for inductively coupled plasma emission spectrometry

    NASA Astrophysics Data System (ADS)

    Doidge, Peter S.

    2018-05-01

    An approach to improving the documentation of weak spectral lines falling near the prominent analytical lines used in inductively coupled plasma optical emission spectrometry (ICP-OES) is described. Measurements of ICP emission spectra in the regions around several hundred prominent lines, using concentrated solutions (up to 1% w/v) of some 70 elements, and comparison of the observed spectra with both recent published work and with the output of a computer program that allows calculation of transitions between the known energy levels, show that major improvements can be made in the coverage of spectral atlases for ICP-OES, with respect to "classical" line tables. It is argued that the atomic spectral data (wavelengths, energy levels) required for the reliable identification and documentation of a large majority of the weak interfering lines of the elements detectable by ICP-OES now exist, except for most of the observed lines of the lanthanide elements. In support of this argument, examples are provided from a detailed analysis of a spectral window centered on the prominent Pb II 220.353 nm line, and from a selected line-rich spectrum (W). Shortcomings in existing analyses are illustrated with reference to selected spectral interferences due to Zr. This approach has been used to expand the spectral-line library used in commercial ICP-ES instruments (Agilent 700-ES/5100-ES). The precision of wavelength measurements is evaluated in terms of the shot-noise limit, while the absolute accuracy of wavelength measurement is characterised through comparison with a small set of precise Ritz wavelengths for Sb I, and illustrated through the identification of Zr III lines; it is further shown that fractional-pixel absolute wavelength accuracies can be achieved. Finally, problems with the wavelengths and classifications of certain Au I lines are discussed.

  2. Evaluation of a direct injection nebulizer interface for flow injection analysis and high performance liquid chromatography with inductively coupled plasma-atomic emission spectroscopic detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LaFreniere, K.E.

    A direct injection nebulizer (DIN) was designed, developed, and evaluated to determine its potential utilization as an effective interface for flow injection analysis (FIA) and high performance liquid chromatography (HPLC) coupled with inductively coupled plasma-atomic emission spectroscopic detection. The analytical figures of merit for the DIN when used as an interface for FIA-ICP-AES were found to be comparable to or better than those obtained with conventional pneumatic nebulization in terms of limits of detection (LODs), reproducibility, linearity, and interelement effects. Stable plasma operation was maintained for the DIN sample introduction of a variety of pure organic solvents, including acetonitrile, methanol,more » methylisobutylketone, and pyridine. The HPLC-DIN-ICP-AES facility was specifically applied for the speciation of inorganic and organometallic species contained in synthetic mixtures, vanilla extracts, and a variety of energy-related materials, such as shale oil process water, coal extracts, shale oil, crude oil, and an SRC II. Suggestions for future research are also considered. 227 refs., 44 figs., 15 tabs.« less

  3. Global Modeling of Uranium Molecular Species Formation Using Laser-Ablated Plasmas

    NASA Astrophysics Data System (ADS)

    Curreli, Davide; Finko, Mikhail; Azer, Magdi; Armstrong, Mike; Crowhurst, Jonathan; Radousky, Harry; Rose, Timothy; Stavrou, Elissaios; Weisz, David; Zaug, Joseph

    2016-10-01

    Uranium is chemically fractionated from other refractory elements in post-detonation nuclear debris but the mechanism is poorly understood. Fractionation alters the chemistry of the nuclear debris so that it no longer reflects the chemistry of the source weapon. The conditions of a condensing fireball can be simulated by a low-temperature plasma formed by vaporizing a uranium sample via laser heating. We have developed a global plasma kinetic model in order to model the chemical evolution of U/UOx species within an ablated plasma plume. The model allows to track the time evolution of the density and energy of an uranium plasma plume moving through an oxygen atmosphere of given fugacity, as well as other relevant quantities such as average electron and gas temperature. Comparison of model predictions with absorption spectroscopy of uranium-ablated plasmas provide preliminary insights on the key chemical species and evolution pathways involved during the fractionation process. This project was sponsored by the DoD, Defense Threat Reduction Agency, Grant HDTRA1-16-1-0020. This work was performed in part under the auspices of the U.S. DoE by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.

  4. Development and Validation of an Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Method for Quantitative Analysis of Platinum in Plasma, Urine, and Tissues.

    PubMed

    Zhang, Ti; Cai, Shuang; Forrest, Wai Chee; Mohr, Eva; Yang, Qiuhong; Forrest, M Laird

    2016-09-01

    Cisplatin, a platinum chemotherapeutic, is one of the most commonly used chemotherapeutic agents for many solid tumors. In this work, we developed and validated an inductively coupled plasma mass spectrometry (ICP-MS) method for quantitative determination of platinum levels in rat urine, plasma, and tissue matrices including liver, brain, lungs, kidney, muscle, heart, spleen, bladder, and lymph nodes. The tissues were processed using a microwave accelerated reaction system (MARS) system prior to analysis on an Agilent 7500 ICP-MS. According to the Food and Drug Administration guidance for industry, bioanalytical validation parameters of the method, such as selectivity, accuracy, precision, recovery, and stability were evaluated in rat biological samples. Our data suggested that the method was selective for platinum without interferences caused by other presenting elements, and the lower limit of quantification was 0.5 ppb. The accuracy and precision of the method were within 15% variation and the recoveries of platinum for all tissue matrices examined were determined to be 85-115% of the theoretical values. The stability of the platinum-containing solutions, including calibration standards, stock solutions, and processed samples in rat biological matrices was investigated. Results indicated that the samples were stable after three cycles of freeze-thaw and for up to three months. © The Author(s) 2016.

  5. Development and Validation of an Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Method for Quantitative Analysis of Platinum in Plasma, Urine, and Tissues

    PubMed Central

    Zhang, Ti; Cai, Shuang; Forrest, Wai Chee; Mohr, Eva; Yang, Qiuhong; Forrest, M. Laird

    2016-01-01

    Cisplatin, a platinum chemotherapeutic, is one of the most commonly used chemotherapeutic agents for many solid tumors. In this work, we developed and validated an inductively coupled plasma mass spectrometry (ICP-MS) method for quantitative determination of platinum levels in rat urine, plasma, and tissue matrices including liver, brain, lungs, kidney, muscle, heart, spleen, bladder, and lymph nodes. The tissues were processed using a microwave accelerated reaction system (MARS) system prior to analysis on an Agilent 7500 ICP-MS. According to the Food and Drug Administration guidance for industry, bioanalytical validation parameters of the method, such as selectivity, accuracy, precision, recovery, and stability were evaluated in rat biological samples. Our data suggested that the method was selective for platinum without interferences caused by other presenting elements, and the lower limit of quantification was 0.5 ppb. The accuracy and precision of the method were within 15% variation and the recoveries of platinum for all tissue matrices examined were determined to be 85–115% of the theoretical values. The stability of the platinum-containing solutions, including calibration standards, stock solutions, and processed samples in rat biological matrices was investigated. Results indicated that the samples were stable after three cycles of freeze–thaw and for up to three months. PMID:27527103

  6. Planning for Coupling Effects in Bitoric Mixed Astigmatism Ablative Treatments.

    PubMed

    Alpins, Noel; Ong, James K Y; Stamatelatos, George

    2017-08-01

    To demonstrate how to determine the historical coupling adjustments of bitoric mixed astigmatism ablative treatments and how to use these historical coupling adjustments to adjust future bitoric treatments. The individual coupling adjustments of the myopic and hyperopic cylindrical components of a bitoric treatment were derived empirically from a retrospective study where the theoretical combined treatment effect on spherical equivalent was compared to the actual change in refractive spherical equivalent. The coupling adjustments that provided the best fit in both mean and standard deviation were determined to be the historical coupling adjustments. Theoretical treatments that incorporated the historical coupling adjustments were then calculated. The actual distribution of postoperative spherical equivalent errors was compared to the theoretically adjusted distribution. The study group comprised 242 eyes and included 118 virgin right eyes and 124 virgin left eyes of 155 individuals. For the laser used, the myopic coupling adjustment was -0.02 and the hyperopic coupling adjustment was 0.30, as derived by global nonlinear optimization. This implies that almost no adjustment of the myopic component of the bitoric treatment is necessary, but that the hyperopic component of the bitoric treatment generates a large amount of unintended spherical shift. The theoretically adjusted treatments targeted zero mean spherical equivalent error, as intended, and the distribution of the theoretical spherical equivalent errors had the same spread as the distribution of actual postoperative spherical equivalent errors. Bitoric mixed astigmatism ablative treatments may display non-trivial coupling effects. Historical coupling adjustments should be taken into consideration when planning mixed astigmatism treatments to improve surgical outcomes. [J Refract Surg. 2017;33(8):545-551.]. Copyright 2017, SLACK Incorporated.

  7. Fast Determination of Yttrium and Rare Earth Elements in Seawater by Inductively Coupled Plasma-Mass Spectrometry after Online Flow Injection Pretreatment.

    PubMed

    Zhu, Zuhao; Zheng, Airong

    2018-02-23

    A method for daily monitoring of yttrium and rare earth elements (YREEs) in seawater using a cheap flow injection system online coupled to inductively coupled plasma-mass spectrometry is reported. Toyopearl AF Chelate 650M ® resin permits separation and concentration of YREEs using a simple external calibration. A running cycle consumed 6 mL sample and took 5.3 min, providing a throughput of 11 samples per hour. Linear ranges were up to 200 ng kg -1 except Tm (100 ng kg -1 ). The precision of the method was <6% (RSDs, n = 5), and recoveries ranged from 93% to 106%. Limits of detection (LODs) were in the range 0.002 ng kg -1 (Tm) to 0.078 ng kg -1 (Ce). Good agreement between YREEs concentrations in CASS-4 and SLEW-3 obtained in this work and results from other studies was observed. The proposed method was applied to the determination of YREEs in seawater from the Jiulong River Estuary and the Taiwan Strait.

  8. Plasma-mediated ablation for the management of obstructive sleep apnea

    NASA Astrophysics Data System (ADS)

    Puchalski, Robert; Shah, Udayan K.

    2000-05-01

    Plasma-mediated ablation (PMA) removes tissue by developing an electrically induced plasma layer between the instrument and target tissue. Charged particles within the plasma field then accelerate toward the tissue, breaking the molecular bonds within the top layer of tissue. Thermal damage to collateral tissue is minimal, resulting in the moniker, 'cold' ablation, for this method. Recently, instrumentation has been developed to permit application for soft tissue resection in Otolaryngology. Presentation of the theory, as well as the benefits and disadvantages associated with CoblationTM technology will be followed by examples of its use. A brief videotape will demonstrate the application of PMA for UPPP, tonsillectomy and nasal turbinate reduction. Preliminary experience from our institution, including eighteen children treated with tonsillectomy and followed for at least one month post-operatively, has provided an initial cohort for comparing the risks and benefits of the approach. The advantage of CoblationTM technology identified thus far, that of less thermal damage, is balanced against a decreased level of hemostasis (compared to MES) and an increased cost.

  9. Femtosecond laser ablation-based mass spectrometry. An ideal tool for stoichiometric analysis of thin films

    DOE PAGES

    LaHaye, Nicole L.; Kurian, Jose; Diwakar, Prasoon K.; ...

    2015-08-19

    An accurate and routinely available method for stoichiometric analysis of thin films is a desideratum of modern materials science where a material’s properties depend sensitively on elemental composition. We thoroughly investigated femtosecond laser ablation-inductively coupled plasma-mass spectrometry (fs-LA-ICP-MS) as an analytical technique for determination of the stoichiometry of thin films down to the nanometer scale. The use of femtosecond laser ablation allows for precise removal of material with high spatial and depth resolution that can be coupled to an ICP-MS to obtain elemental and isotopic information. We used molecular beam epitaxy-grown thin films of LaPd (x)Sb 2 and T´-La 2CuOmore » 4 to demonstrate the capacity of fs-LA-ICP-MS for stoichiometric analysis and the spatial and depth resolution of the technique. Here we demonstrate that the stoichiometric information of thin films with a thickness of ~10 nm or lower can be determined. Furthermore, our results indicate that fs-LA-ICP-MS provides precise information on the thin film-substrate interface and is able to detect the interdiffusion of cations.« less

  10. Laser plasma interaction at an early stage of laser ablation

    NASA Astrophysics Data System (ADS)

    Lu, Y. F.; Hong, M. H.; Low, T. S.

    1999-03-01

    Laser scattering and its interaction with plasma during KrF excimer laser ablation of silicon are investigated by ultrafast phototube detection. There are two peaks in an optical signal with the first peak attributed to laser scattering and the second one to plasma generation. For laser fluence above 5.8 J/cm2, the second peak rises earlier to overlap with the first one. The optical signal is fitted by a pulse distribution for the scattered laser light and a drifted Maxwell-Boltzmann distribution with a center-of-mass velocity for the plasma. Peak amplitude and its arrival time, full width at half maximum (FWHM), starting time, and termination time of the profiles are studied for different laser fluences and detection angles. Laser pulse is scattered from both the substrate and the plasma with the latter part as a dominant factor during the laser ablation. Peak amplitude of the scattered laser signal increases but its FWHM decreases with the laser fluence. Angular distribution of the peak amplitude can be fitted with cosn θ(n=4) while the detection angle has no obvious influence on the FWHM. In addition, FWHM and peak amplitude of plasma signal increase with the laser fluence. However, starting time and peak arrival time of plasma signal reduce with the laser fluence. The time interval between plasma starting and scattered laser pulse termination is proposed as a quantitative parameter to characterize laser plasma interaction. Threshold fluence for the interaction is estimated to be 3.5 J/cm2. For laser fluence above 12.6 J/cm2, the plasma and scattered laser pulse distributions tend to saturate.

  11. Kinetics of highly vibrationally excited O2(X) molecules in inductively-coupled oxygen plasmas

    NASA Astrophysics Data System (ADS)

    Annušová, Adriana; Marinov, Daniil; Booth, Jean-Paul; Sirse, Nishant; Lino da Silva, Mário; Lopez, Bruno; Guerra, Vasco

    2018-04-01

    The high degree of vibrational excitation of O2 ground state molecules recently observed in inductively coupled plasma discharges is investigated experimentally in more detail and interpreted using a detailed self-consistent 0D global kinetic model for oxygen plasmas. Additional experimental results are presented and used to validate the model. The vibrational kinetics considers vibrational levels up to v = 41 and accounts for electron impact excitation and de-excitation (e-V), vibration-to-translation relaxation (V-T) in collisions with O2 molecules and O atoms, vibration-to-vibration energy exchanges (V-V), excitation of electronically excited states, dissociative electron attachment, and electron impact dissociation. Measurements were performed at pressures of 10–80 mTorr (1.33 and 10.67 Pa) and radio frequency (13.56 MHz) powers up to 500 W. The simulation results are compared with the absolute densities in each O2 vibrational level obtained by high sensitivity absorption spectroscopy measurements of the Schumann–Runge bands for O2(X, v = 4–18), O(3 P) atom density measurements by two-photon absorption laser induced fluorescence (TALIF) calibrated against Xe, and laser photodetachment measurements of the O‑ negative ions. The highly excited O2(X, v) distribution exhibits a shape similar to a Treanor-Gordiets distribution, but its origin lies in electron impact e-V collisions and not in V-V up-pumping, in contrast to what happens in all other molecular gases known to date. The relaxation of vibrational quanta is mainly due to V-T energy-transfer collisions with O atoms and to electron impact dissociation of vibrationally excited molecules, e+O2(X, v)→O(3P)+O(3P).

  12. The effect of pre-evaporation on ion distributions in inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Liu, Shulan; Beauchemin, Diane

    2006-02-01

    The connecting tube (2 or 5-mm i. d., 11-cm long) between the spray chamber and the torch was heated (to 400 °C) to investigate the effect of pre-evaporation on the distribution of ions in inductively coupled plasma mass spectrometry (ICP-MS). Axial and radial profiles of analyte ions (Al +, V +, Cr +, Ni +, Zn +, Mn +, Zn +, As +, Se +, Mo +, Cd +, Sb +, La +, Pb +) in 1% HNO 3 as well as some polyatomic ions (LaO +, ArO +, ArN +, CO 2+) were simultaneously obtained on a time-of-flight ICP-MS instrument. Upon heating the connecting tube, the optimal axial position of all elements shifted closer to the load coil. Without the heated tube, 3.5 mm was the compromise axial position for multielemental analysis, which was optimal for 6 analytes. With the heated tube, this position became 1.5 mm, which was then optimal for 9 of the 14 analytes. Furthermore, the radial profiles, which were wide with a plateau in their middle without heating, became significantly narrower and Gaussian-like with a heated tube. This narrowing, which was most important for the 5-mm tube, slightly (by a factor of two at the most) yet significantly (at the 95% confidence level) improved the sensitivity of all elements but Mn upon optimisation of the axial position for compromise multi-element analysis. Furthermore, a concurrent decrease in the standard deviation of the blank was significant at the 95% confidence level for 9 of the 14 analytes. For most of the analytes, this translated into a two-fold to up to an order of magnitude improvement in detection limit, which is commensurate with a reduction of noise resulting from the smaller droplets entering the plasma after traversing the pre-evaporation tube.

  13. Optical radiative properties of ablating polymers exposed to high-power arc plasmas

    NASA Astrophysics Data System (ADS)

    Becerra, Marley; Pettersson, Jonas

    2018-03-01

    The radiative properties of polymers exposed to high-intensity radiation are of importance for the numerical simulation of arc-induced ablation. The paper investigates the optical properties of polymethylmethacrylate PMMA and polyamide PA6 films exposed to high-power arc plasmas, which can cause ablation of the material. A four-flux radiative approximation is first used to estimate absorption and scattering coefficients of the tested materials in the ultraviolet (UV) and in the visible (VIS) ranges from spectrophotometric measurements. The temperature-induced variation of the collimated transmissivity of the polymers is also measured from room temperature to the glass temperature of PMMA and the melting temperature of PA6. Furthermore, band-averaged absorption and scattering coefficients of non-ablating and ablating polymers are estimated from the UV to the short-wavelength infrared (SWIR), covering the range of interest for the simulation of arc-induced ablation. These estimates are obtained from collimated transmissivities measured with an additional in situ photometric system that uses a high-power, transient arc plasma to both illuminate the samples and to induce ablation. It is shown that the increase in the bulk temperature of PA6 leads to a strong reversible increase in collimated transmissivity, significantly reducing the absorption and scattering coefficients of the material. A weaker but opposite effect of temperature on the optical properties is found in PMMA. As a consequence, it is suggested that the absorption coefficient of polymers used for arc-induced ablation estimates should not be taken directly from direct collimated transmissivity measurements at room temperature. The band-averaged radiation measurements also show that the layer of products released by ablation of PMMA produces scattering radiation losses mainly in the VIS-SWIR ranges, which are only a small fraction of the total incident arc radiation. In a similar manner, the ablation layer

  14. Characterization of ultrafast laser-ablation plasma plumes at various Ar ambient pressures

    DOE PAGES

    Diwakar, P. K.; Harilal, S. S.; Phillips, M. C.; ...

    2015-07-30

    Expansion dynamics and internal plume structures of fs laser ablated brass plasma in Ar at various pressure levels ranging from vacuum to atmospheric were studied using multitude of diagnostic tools including time resolved and time integrated 2-dimensional imaging, optical time of flight measurements and visible emission spectroscopy. Temporal evolution of excited Cu and Zn species in the plume were imaged using band pass interference filters and compared its hydrodynamic expansion features with spectrally integrated images of the plume. 2D imaging coupled with monochromatic line selection showed several interesting features at various pressure levels which include velocity differences among the plumemore » species, emission intensity distribution, plasma temperature, electron density etc. Plume confinement, enhanced signal intensity, and dual peak structures in time-of-flight profiles were observed at intermediate pressure range of ~10 Torr. Optimum signal to background ratio was also observed in this pressure range. As a result, possible mechanisms for observed changes in plume shape, optical emission intensity and dual peak structures in time-of-flight profiles were discussed.« less

  15. Determination of glyphosate and phosphate in water by ion chromatography--inductively coupled plasma mass spectrometry detection.

    PubMed

    Guo, Zhong-Xian; Cai, Qiantao; Yang, Zhaoguang

    2005-12-30

    Quantitative determination of trace glyphosate and phosphate in waters was achieved by coupling ion chromatography (IC) separation with inductively coupled plasma mass spectrometry (ICP-MS) detection. The separation of glyphosate and phosphate on a polymer anion-exchange column (Dionex IonPac AS16, 4.0 mm x 250 mm) was obtained by eluting them with 20 mM citric acid at 0.50 mL min(-1), and the analytes were detected directly and selectively by ICP-MS at m/z = 31. Parameters affecting their chromatographic behaviors and ICP-MS characteristics were systematically examined. Based on a 500-microL sample injection volume, the detection limits were 0.7 microgL(-1) for both glyphosate and phosphate, and the calibrations were linear up to 400 microgL(-1). Polyphosphates, aminomethylphosphonic acid (the major metabolite of glyphosate), non-polar and other polar phosphorus-containing pesticides showed different chromatographic behaviors from the analytes of interest and therefore did not interference. The determination was also interference free from the matrix anions (nitrate, nitrite, sulphate, chloride, etc.) and metallic ions. The analysis of certified reference material, drinking water, reservoir water and Newater yielded satisfactory results with spiked recoveries of 97.1-107.0% and relative standard deviations of < or = 7.4% (n = 3). Compared to other reported methods for glyphosate and phosphate, the developed IC-ICP-MS method is sensitive and simple, and does not require any chemical derivatization, sample preconcentration and mobile phase conductivity suppression.

  16. A Boundary Condition Relaxation Algorithm for Strongly Coupled, Ablating Flows Including Shape Change

    NASA Technical Reports Server (NTRS)

    Gnoffo, Peter A.; Johnston, Christopher O.

    2011-01-01

    Implementations of a model for equilibrium, steady-state ablation boundary conditions are tested for the purpose of providing strong coupling with a hypersonic flow solver. The objective is to remove correction factors or film cooling approximations that are usually applied in coupled implementations of the flow solver and the ablation response. Three test cases are considered - the IRV-2, the Galileo probe, and a notional slender, blunted cone launched at 10 km/s from the Earth's surface. A successive substitution is employed and the order of succession is varied as a function of surface temperature to obtain converged solutions. The implementation is tested on a specified trajectory for the IRV-2 to compute shape change under the approximation of steady-state ablation. Issues associated with stability of the shape change algorithm caused by explicit time step limits are also discussed.

  17. Corneal coupling of astigmatism applied to incisional and ablative surgery.

    PubMed

    Alpins, Noel; Ong, James K Y; Stamatelatos, George

    2014-11-01

    To redefine measures of corneal coupling for use with incisional and ablation procedures for astigmatism. Private clinics, Melbourne, Victoria, Australia. Retrospective nonrandomized study. The measures known as the coupling ratio (CR) and coupling constant (CC) were redefined to ensure validity in most cases of incisional procedures and laser vision correction procedures. In addition, a new measure--the coupling adjustment (CAdj)--was developed to quantify the amount of spherical adjustment that must be applied to compensate for coupling that occurs as a result of astigmatism treatment. These quantitative measures of coupling were applied to retrospective data to show their applicability. Pure myopic, compound myopic, and compound hyperopic astigmatism excimer laser treatments showed a CR close to zero, a CC close to 0.5, and a CAdj close to zero. Incision LRIs showed a CR close to 1.0 and a CC close to zero. In all cases, the coupling measures were consistent for treatments with a larger astigmatic component (>1.0 diopter) but variable when the astigmatic component of the treatment was smaller. The revised definitions of CR and CC can be used with incisional and ablative surgery. Incorporating the CAdj into the planning of spherocylindrical treatments allows one to factor in the effect of the astigmatic treatment on the spherical component and thus to more accurately target the desired spherical equivalent. Dr. Alpins and Mr. Stamatelatos have a financial interest in the Assort software program. Dr. Ong is an employee of Assort. Copyright © 2014 ASCRS and ESCRS. Published by Elsevier Inc. All rights reserved.

  18. Effect of inductively coupled plasma surface treatment on silica gel and mesoporous MCM-41 particles

    NASA Astrophysics Data System (ADS)

    J, A. JUAREZ-MORENO; U, CHACON-ARGAEZ; J, BARRON-ZAMBRANO; C, CARRERA-FIGUEIRAS; P, QUINTANA-OWEN; W, TALAVERA-PECH; Y, PEREZ-PADILLA; A, AVILA-ORTEGA

    2018-06-01

    Silica gel and MCM-41 synthesized mesoporous materials were treated with either oxygen (O2), hexamethyldisiloxane (HMDSO) and organic vapors like ethanol (EtOH), and acrylonitrile (AN) inductive plasma. The radiofrequency power for the modification was fixed to 120 W and 30 min, assuring a high degree of organic ionization energy in the plasma. The surface properties were studied by infrared spectroscopy (FTIR), scanning electron microscopy, x-ray photoelectron spectroscopy and dynamic light scattering technique was used for characterizing size distributions. When the silica and MCM-41 particles were modified by AN and HMDSO plasma gases, the surface morphology of the particles was changed, presenting another color, size or shape. In contrast, the treatments of oxygen and EtOH did not affect the surface morphology of both particles, but increased the oxygen content at the surface bigger than the AN and HMDSO plasma treatments. In this study, we investigated the influence of different plasma treatments on changes in morphology and the chemical composition of the modified particles which render them a possible new adsorbent for utilization in sorptive extraction techniques for polar compounds.

  19. Messenger RNA Detection in Leukemia Cell lines by Novel Metal-Tagged in situ Hybridization using Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Ornatsky, Olga I; Baranov, Vladimir I; Bandura, Dmitry R; Tanner, Scott D; Dick, John

    2006-01-01

    Conventional gene expression profiling relies on using fluorescent detection of hybridized probes. Physical characteristics of fluorophores impose limitations on achieving a highly multiplex gene analysis of single cells. Our work demonstrates the feasibility of using metal-tagged in situ hybridization for mRNA detection by inductively coupled plasma mass spectrometry (ICP-MS). ICP-MS as an analytical detector has a number of unique and relevant properties: 1) metals and their stable isotopes generate non-overlapping distinct signals that can be detected simultaneously; 2) these signals can be measured over a wide dynamic range; 3) ICP-MS is quantitative and very sensitive. We used commercial antibodies conjugated to europium (Eu) and gold together with biotinylated oligonucleotide probes reacted with terbium-labeled streptavidin to demonstrate simultaneous mRNA and protein detection by ICP-MS in leukemia cells.

  20. Investigating Uranium Concentrations in Groundwaters in the State of Idaho Using Kinetic Phosphorescence Analysis and Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Tkavadze, Levan; Dunker, Roy E; Brey, Richard R; Dudgeon, John

    2016-11-01

    The determination of uranium concentrations in natural water samples is of great interest due to the environmental consequences of this radionuclide. In this study, 380 groundwater samples from various locations within the state of Idaho were analyzed using two different techniques. The first method was Kinetic Phosphorescence Analysis (KPA), which gives the total uranium concentrations in water samples. The second analysis method was inductively coupled plasma mass spectrometry (ICP- MS). This method determines the total uranium concentration as well as the separate isotope concentrations of uranium. The U/U isotopic ratio was also measured for each sample to confirm that there was no depleted or enriched uranium present. The results were compared and mapped separately from each other. The study also found that in some areas of the state, natural uranium concentrations are relatively high.

  1. Matrix effects of calcium on high-precision sulfur isotope measurement by multiple-collector inductively coupled plasma mass spectrometry.

    PubMed

    Liu, Chenhui; Bian, Xiao-Peng; Yang, Tao; Lin, An-Jun; Jiang, Shao-Yong

    2016-05-01

    Multiple-collector inductively coupled plasma mass spectrometry (MC-ICP-MS) has been successfully applied in the rapid and high-precision measurement for sulfur isotope ratios in recent years. During the measurement, the presence of matrix elements would affect the instrumental mass bias for sulfur and these matrix-induced effects have aroused a lot of researchers' interest. However, these studies have placed more weight on highlighting the necessity for their proposed correction protocols (e.g., chemical purification and matrix-matching) while less attention on the key property of the matrix element gives rise to the matrix effects. In this study, four groups of sulfate solutions, which have different concentrations of sulfur (0.05-0.60mM) but a constant sequence of atomic calcium/sulfur ratios (0.1-50), are investigated under wet (solution) and dry (desolvation) plasma conditions to make a detailed evaluation on the matrix effects from calcium on sulfur isotope measurement. Based on a series of comparative analyses, we indicated that, the matrix effects of calcium on both measured sulfur isotope ratios and detected (32)S signal intensities are dependent mainly on the absolute calcium concentration rather than its relative concentration ratio to sulfur (i.e., atomic calcium/sulfur ratio). Also, for the same group of samples, the matrix effects of calcium under dry plasma condition are much more significant than that of wet plasma. This research affords the opportunity to realize direct and relatively precise sulfur isotope measurement for evaporite gypsum, and further provides some suggestions with regard to sulfur isotope analytical protocols for sedimentary pore water. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Determination of As, Cd, Hg and Pb in herbs using slurry sampling electrothermal vaporisation inductively coupled plasma mass spectrometry.

    PubMed

    Lin, Mei-Ling; Jiang, Shiuh-Jen

    2013-12-01

    Inductively coupled plasma mass spectrometry coupled with ultrasonic slurry sampling electrothermal vaporisation (USS-ETV-ICP-MS) has been applied to determine As, Cd, Hg and Pb in 0.5% m/v slurries of several herb samples. 1% m/v 8-Hydroxyquinoline was used as the modifier to enhance the ion signals. The influences of instrument operating conditions, slurry preparation and interferences on the ion signals were reported. This method has been applied to the determination of As, Cd, Hg and Pb in NIST SRM 1547 peach leaves and SRM 1573a tomato leaves reference materials and three herb samples purchased from the local market and ground to 150 μm. The analysis results of the standard reference materials agreed with the certified values which are at sub μg g(-1) levels. Precision between sample replicates was better than 4% for all the determinations. The method detection limits estimated from standard addition curves were about 0.3, 0.1, 0.1 and 0.2 ng g(-1) for As, Cd, Hg and Pb, respectively, in original herb samples. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Gel electrophoresis coupled to inductively coupled plasma-mass spectrometry using species-specific isotope dilution for iodide and iodate determination in aerosols.

    PubMed

    Brüchert, Wolfram; Helfrich, Andreas; Zinn, Nico; Klimach, Thomas; Breckheimer, Markus; Chen, Hongwei; Lai, Senchao; Hoffmann, Thorsten; Bettmer, Jörg

    2007-02-15

    In this paper, we present an online coupling of gel electrophoresis (GE) and inductively coupled plasma-mass spectrometry (ICP-MS) for the determination of iodine species (iodide and iodate) in liquid (seawater) and aerosol samples. For the first time, this approach is applied to the analysis of small molecules, and initial systematic investigations revealed that the migration behavior as well as the detection sensitivity strongly depends on the matrix (e.g., high concentrations of chloride). These effects could consequently affect the accuracy of analytical results, so that they need to be considered for the analysis of real samples. The technique used for quantification is species-specific isotope dilution analysis (ssIDA), which is a matrix-independent calibration method under certain conditions. We demonstrate that the use of 129I-enriched iodide and iodate allows the correction of the impact of the matrix on both, the electrophoretic migration and the detection sensitivity of the ICP-MS. After optimization, this coupling offers a novel and alternative method in the analysis of iodine compounds in various matrices. Here, we demonstrate the analytical capability of the technique for the chemical characterization of marine aerosols. The results show the presence of iodide and iodate at the ng m(-3) and sub-ng m(-3) level in the investigated aerosol samples, which were taken at the coastal research station in Mace Head, Ireland. These results are in good agreement with other recent studies, which demonstrated that the iodine chemistry in the marine atmosphere is only poorly understood. In addition to iodide and iodate, another iodine compound could be separated and detected in certain samples with high total iodine concentrations and was identified as elemental iodine, probably in form of triiodide, by peak matching. However, it may arise from an artifact during sample preparation.

  4. Numerical-experimental analysis of a carbon-phenolic composite via plasma jet ablation test

    NASA Astrophysics Data System (ADS)

    Guilherme Silva Pesci, Pedro; Araújo Machado, Humberto; Silva, Homero de Paula e.; Cley Paterniani Rita, Cristian; Petraconi Filho, Gilberto; Cocchieri Botelho, Edson

    2018-06-01

    Materials used in space vehicles components are subjected to thermally aggressive environments when exposed to atmospheric reentry. In order to protect the payload and the vehicle itself, ablative composites are employed as TPS (Thermal Protection System). The development of TPS materials generally go through phases of obtaining, atmospheric reentry tests and comparison with a mathematical model. The state of the art presents some reentry tests in a subsonic or supersonic arc-jet facility, and a complex type of mathematical model, which normally requires large computational cost. This work presents a reliable method for estimate the performance of ablative composites, combining empirical and experimental data. Tests of composite materials used in thermal protection systems through exposure to a plasma jet are performed, where the heat fluxes emulate those present in atmospheric reentry of space vehicles components. The carbon/phenolic material samples have been performed in the hypersonic plasma tunnel of Plasma and Process Laboratory, available in Aeronautics Institute of Technology (ITA), by a plasma torch with a 50 kW DC power source. The plasma tunnel parameters were optimized to reproduce the conditions close to the critical re-entry point of the space vehicles payloads developed by the Aeronautics and Space Institute (IAE). The specimens in study were developed and manufactured in Brazil. Mass loss and specific mass loss rates of the samples and the back surface temperatures, as a function of the exposure time to the thermal flow, were determined. A computational simulation based in a two-front ablation model was performed, in order to compare the tests and the simulation results. The results allowed to estimate the ablative behavior of the tested material and to validate the theoretical model used in the computational simulation for its use in geometries close to the thermal protection systems used in the Brazilian space and suborbital vehicles.

  5. Establishing human heart chromium, cobalt and vanadium concentrations by inductively coupled plasma mass spectrometry.

    PubMed

    Day, Patrick L; Eckdahl, Steven J; Maleszewski, Joseph J; Wright, Thomas C; Murray, David L

    2017-05-01

    Chromium, cobalt, and vanadium are used in metallic joint prosthesis. Case studies have associated elevated heart tissue cobalt concentrations with myocardial injury. To document the long term heart metal ion concentrations, a validated inductively coupled plasma mass spectroscopy (ICP-MS) method was needed. The method utilized a closed-vessel microwave digestion system to digest the samples. An ICP-MS method utilizing Universal Cell Technology was used to determine our target analyte concentrations. Accuracy was verified using reference materials. Precision, sensitivity, recovery and linearity studies were performed. This method was used to establish a reference range for a non-implant containing cohort of 80 autopsy human heart tissues RESULTS: This method demonstrated an analytic measurement range of 0.5-100ng/mL for each element. Accuracy was within ±10% of target value for each element. Within-run precision for each element was below 20% CV. The chromium, vanadium and cobalt concentrations (mean±SD) were 0.1523±0.2157μg/g, 0.0094±0.0211μg/g and 0.1039±0.1305μg/g respectively in 80 non-implant containing human heart tissue samples. This method provides acceptable recovery of the chromium, cobalt and vanadium in heart tissue; allowing assessment of the effects of metallic joint prosthesis on myocardial health. Copyright © 2017 Elsevier GmbH. All rights reserved.

  6. High-Temperature Isothermal Capacitance Transient Spectroscopy Study on Inductively Coupled Plasma Etching Damage for p-GaN Surfaces

    NASA Astrophysics Data System (ADS)

    Aoki, Toshichika; Wakayama, Hisashi; Kaneda, Naoki; Mishima, Tomoyoshi; Nomoto, Kazuki; Shiojima, Kenji

    2013-11-01

    The effects of the inductively coupled plasma (ICP) etching damage on the electrical characteristics of low-Mg-doped p-GaN Schottky contacts were evaluated by high-temperature isothermal capacitance transient spectroscopy. A large single peak for an acceptor-type surface state was dominantly detected for as-grown samples. The energy level and state density were obtained to be 1.18 eV above the valence band, which is close to a Ga vacancy (VGa), and 1.5×1013 cm-2, respectively. It was speculated that a small portion of Ga atoms were missing from the surface, and a high VGa density was observed in a few surface layers. The peak intensity decreased by 60% upon annealing at 800 °C, and further decrease was found by ICP etching. This decrease is consistent with the suppression of the memory effect in current-voltage characteristics. Upon annealing and ICP etching, since the VGa structure might be disordered, the peak intensity decreased.

  7. Electrochemical hydride generation for the simultaneous determination of hydride forming elements by inductively coupled plasma-atomic emission spectrometry

    NASA Astrophysics Data System (ADS)

    Bolea, E.; Laborda, F.; Castillo, J. R.; Sturgeon, R. E.

    2004-04-01

    Simultaneous measurements of As, Sb, Se, Sn and Ge were performed by inductively coupled plasma atomic emission spectrometry following their electrochemical hydride generation. An electrochemical hydride generator based on a concentric arrangement with a porous cathode, working in a continuous flow mode was used. The effects of sample flow rate, applied current and electrolytic solution concentration on response were studied and their influence on the mechanisms of hydride generation discussed. Four materials, particulate lead, reticulated vitreous carbon (RVC), silver and amalgamated silver were tested as cathode materials. The best results were achieved with particulate lead and RVC cathodes, wherein generation efficiencies higher than 80% were estimated for most of the analytes. In general, limits of detection between 0.1 and 3.6 ng ml -1 and a precision better than 5% were achieved using a lead cathode. The analysis of a marine sediment reference material (PACS-2, NRC) showed good agreement with the certified values for As and Se.

  8. Numerical Modeling and Testing of an Inductively-Driven and High-Energy Pulsed Plasma Thrusters

    NASA Technical Reports Server (NTRS)

    Parma, Brian

    2004-01-01

    Pulsed Plasma Thrusters (PPTs) are advanced electric space propulsion devices that are characterized by simplicity and robustness. They suffer, however, from low thrust efficiencies. This summer, two approaches to improve the thrust efficiency of PPTs will be investigated through both numerical modeling and experimental testing. The first approach, an inductively-driven PPT, uses a double-ignition circuit to fire two PPTs in succession. This effectively changes the PPTs configuration from an LRC circuit to an LR circuit. The LR circuit is expected to provide better impedance matching and improving the efficiency of the energy transfer to the plasma. An added benefit of the LR circuit is an exponential decay of the current, whereas a traditional PPT s under damped LRC circuit experiences the characteristic "ringing" of its current. The exponential decay may provide improved lifetime and sustained electromagnetic acceleration. The second approach, a high-energy PPT, is a traditional PPT with a variable size capacitor bank. This PPT will be simulated and tested at energy levels between 100 and 450 joules in order to investigate the relationship between efficiency and energy level. Arbitrary Coordinate Hydromagnetic (MACH2) code is used. The MACH2 code, designed by the Center for Plasma Theory and Computation at the Air Force Research Laboratory, has been used to gain insight into a variety of plasma problems, including electric plasma thrusters. The goals for this summer include numerical predictions of performance for both the inductively-driven PPT and high-energy PFT, experimental validation of the numerical models, and numerical optimization of the designs. These goals will be met through numerical and experimental investigation of the PPTs current waveforms, mass loss (or ablation), and impulse bit characteristics.

  9. Computational Validation of a Two-Dimensional Semi-Empirical Model for Inductive Coupling in a Conical Pulsed Inductive Plasma Thruster

    NASA Technical Reports Server (NTRS)

    Hallock, Ashley K.; Polzin, Kurt A.

    2011-01-01

    A two-dimensional semi-empirical model of pulsed inductive thrust efficiency is developed to predict the effect of such a geometry on thrust efficiency. The model includes electromagnetic and gas-dynamic forces but excludes energy conversion from radial motion to axial motion, with the intention of characterizing thrust efficiency loss mechanisms that result from a conical versus a at inductive coil geometry. The range of conical pulsed inductive thruster geometries to which this model can be applied is explored with the use of finite element analysis. A semi-empirical relation for inductance as a function of current sheet radial and axial position is the limiting feature of the model, restricting the applicability as a function of half cone angle to a range from ten degrees to about 60 degrees. The model is nondimensionalized, yielding a set of dimensionless performance scaling parameters. Results of the model indicate that radial current sheet motion changes the axial dynamic impedance parameter at which thrust efficiency is maximized. This shift indicates that when radial current sheet motion is permitted in the model longer characteristic circuit timescales are more efficient, which can be attributed to a lower current sheet axial velocity as the plasma more rapidly decouples from the coil through radial motion. Thrust efficiency is shown to increase monotonically for decreasing values of the radial dynamic impedance parameter. This trend indicates that to maximize the radial decoupling timescale should be long compared to the characteristic circuit timescale.

  10. Barium determination in gastric contents, blood and urine by inductively coupled plasma mass spectrometry in the case of oral barium chloride poisoning.

    PubMed

    Łukasik-Głębocka, Magdalena; Sommerfeld, Karina; Hanć, Anetta; Grzegorowski, Adam; Barałkiewicz, Danuta; Gaca, Michał; Zielińska-Psuja, Barbara

    2014-01-01

    A serious case of barium intoxication from suicidal ingestion is reported. Oral barium chloride poisoning with hypokalemia, neuromuscular and cardiac toxicity, treated with intravenous potassium supplementation and hemodialysis, was confirmed by the determination of barium concentrations in gastric contents, blood, serum and urine using the inductively coupled plasma mass spectrometry method. Barium concentrations in the analyzed specimens were 20.45 µg/L in serum, 150 µg/L in blood, 10,500 µg/L in urine and 63,500 µg/L in gastric contents. Results were compared with barium levels obtained from a non-intoxicated person. © The Author 2014. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  11. Influence of instrumental parameters on the kinetic energy of ions and plasma temperature for a hexapole collision/reaction-cell-based inductively coupled plasma quadrupole mass spectrometer.

    PubMed

    Favre, Georges; Brennetot, René; Chartier, Frédéric; Tortajada, Jeanine

    2009-02-01

    Inductively coupled plasma mass spectrometry (ICP-MS) is widely used in inorganic analytical chemistry for element and/or isotope ratio measurements. The presence of interferences, which is one of the main limitations of this method, has been addressed in recent years with the introduction of collision/reaction cell devices on ICP-MS apparatus. The study of ion-molecule reactions in the gas phase then became of great importance for the development of new analytical strategies. Knowing the kinetic energy and the electronic states of the ions prior to their entrance into the cell, i.e., just before they react, thereby constitutes crucial information for the interpretation of the observed reactivities. Such studies on an ICP-MS commonly used for routine analyses require the determination of the influence of different instrumental parameters on the energy of the ions and on the plasma temperature from where ions are sampled. The kinetic energy of ions prior to their entrance into the cell has been connected to the voltage applied to the hexapole according to a linear relationship determined from measurements of ion energy losses due to collisions with neutral gas molecules. The effects of the plasma forward power, sampling depth, and the addition of a torch shield to the ICP source were then examined. A decrease of the plasma potential due to the torch shielding, already mentioned in the literature, has been quantified in this study at about 3 V.

  12. Direct analysis of deodorants for determination of metals by inductively coupled plasma optical emission spectrometry.

    PubMed

    da Costa, Wiviane Kássia Oliveira Correia; da Silva, Caroline Santos; Figueiredo, José Fernando Dagnone; Nóbrega, Joaquim Araujo; Paim, Ana Paula Silveira

    2018-06-05

    A fast and simple dilute-and-shoot procedure for determination of Al, As, Ba, Cd, Cu, Fe, Mg, Mn, Ni, Pb, Sc, Ti, V, Zn and Zr in deodorants by inductively coupled plasma optical emission spectrometry (ICP OES) was developed. Sample preparation was carried out by diluting 1 mL of deodorant sample in 1% (v v -1 ) HNO 3 . The accuracy of the analytical procedure was evaluated using addition and recovery experiments, and recoveries ranged from 80 to 119%. The limits of detection varied from 0.001 to 0.76 mg kg -1 . Nine deodorants samples of different brands were analyzed. The maximum concentrations found (mg kg -1 ) were: Fe (1.0), Mn (0.1), Ti (1.02), V (0.33), Zn (255.2) and Zr (0.5); for Al and Mg, determined concentrations varied from 0.01 to 7.0% and from 0.005 to 1.44 mg kg -1 , respectively, showing wide variation depending on the sample type. The developed procedure was adequate for determining these analytes in routine analysis presenting high sample throughput and demonstrated the feasibility of direct analysis measurements after simple dilution step. Copyright © 2018 Elsevier B.V. All rights reserved.

  13. [Determination of Heavy Metal Elements in Diatomite Filter Aid by Inductively Coupled Plasma Mass Spectrometry].

    PubMed

    Nie, Xi-du; Fu, Liang

    2015-11-01

    This study established a method for determining Be, Cr, Ni, As, Cd, Sb, Sn, Tl, Hg and Pb, total 10 heavy metals in diatomite filter aid. The diatomite filter aid was digested by using the mixture acid of HNO₃ + HF+ H₃PO₄ in microwave system, 10 heavy metals elements were determined by inductively coupled plasma mass spectrometry (ICP-MS). The interferences of mass spectrometry caused by the high silicon substrate were optimized, first the equipment parameters and isotopes of test metals were selected to eliminate these interferences, the methane was selected as reactant gas, and the mass spectral interferences were eliminated by dynamic reaction cell (DRC). Li, Sc, Y, In and Bi were selected as the internal standard elements to correct the interferences caused by matrix and the drift of sensitivity. The results show that the detection limits for analyte is in the range of 3.29-15.68 ng · L⁻¹, relative standard deviations (RSD) is less than 4.62%, and the recovery is in the range of 90.71%-107.22%. The current method has some advantages such as, high sensitivity, accurate, and precision, which can be used in diatomite filter aid quality control and safety estimations.

  14. [Determination of iodine and its species in plant samples using ion chromatography-inductively coupled plasma mass spectrometry].

    PubMed

    Lin, Li; Chen, Guang; Chen, Yuhong

    2011-07-01

    A method was established for the determination of iodine and its species in plant samples using ion chromatography-inductively coupled plasma mass spectrometry (IC-ICP/ MS). Alkaline extraction and IC-ICP/MS were applied as the sample pre-treatment method and the detection technique respectively, for iodate and iodide determination. Moreover, high-temperature pyrolysis absorption was adopted as the pre-treatment method for total iodine analysis, which finally converted all the iodine species into iodide and measured the iodide by IC-ICP/MS. The recoveries of iodine for alkaline extraction and high-temperature pyrolysis absorption were 89.6%-97.5% and 95.2%-111.2%, respectively. The results were satisfactory. The detection limit of iodine was 0.010 mg/kg. The iodine and its speciation contents in several kinds of plant samples such as seaweeds, kelp, cabbage, tea leaf and spinach were investigated. It was shown that the iodine in seaweeds mainly existed as organic iodine; while the ones in kelp, cabbage, tea leaf and spinach mainly existed as inorganic iodine.

  15. Increasing the magnetic-field capability of the magneto-inertial fusion electrical discharge system using an inductively coupled coil

    NASA Astrophysics Data System (ADS)

    Barnak, D. H.; Davies, J. R.; Fiksel, G.; Chang, P.-Y.; Zabir, E.; Betti, R.

    2018-03-01

    Magnetized high energy density physics (HEDP) is a very active and relatively unexplored field that has applications in inertial confinement fusion, astrophysical plasma science, and basic plasma physics. A self-contained device, the Magneto-Inertial Fusion Electrical Discharge System, MIFEDS [G. Fiksel et al., Rev. Sci. Instrum. 86, 016105 (2015)], was developed at the Laboratory for Laser Energetics to conduct magnetized HEDP experiments on both the OMEGA [T. R. Boehly et al., Opt. Commun. 133, 495-506 (1997)] and OMEGA EP [J. H. Kelly et al., J. Phys. IV France 133, 75 (2006) and L. J. Waxer et al., Opt. Photonics News 16, 30 (2005)] laser systems. Extremely high magnetic fields are a necessity for magnetized HEDP, and the need for stronger magnetic fields continues to drive the redevelopment of the MIFEDS device. It is proposed in this paper that a magnetic coil that is inductively coupled rather than directly connecting to the MIFEDS device can increase the overall strength of the magnetic field for HEDP experiments by increasing the efficiency of energy transfer while decreasing the effective magnetized volume. A brief explanation of the energy delivery of the MIFEDS device illustrates the benefit of inductive coupling and is compared to that of direct connection for varying coil size and geometry. A prototype was then constructed to demonstrate a 7-fold increase in energy delivery using inductive coupling.

  16. Inductively coupled plasma mass-spectrometric determination of platinum in excretion products of client-owned pet dogs.

    PubMed

    Janssens, T; Brouwers, E E M; de Vos, J P; de Vries, N; Schellens, J H M; Beijnen, J H

    2015-06-01

    Residues of antineoplastic drugs in canine excretion products may represent exposure risks to veterinary personnel, owners of pet dogs and other animal care-takers. The aim of this study was to measure the extent and duration of platinum (Pt) excretion in pet dogs treated with carboplatin. Samples were collected before and up to 21 days after administration of carboplatin. We used validated, ultra-sensitive, inductively coupled plasma-mass spectrometry assays to measure Pt in canine urine, faeces, saliva, sebum and cerumen. Results showed that urine is the major route of elimination of Pt in dogs. In addition, excretion occurs via faeces and saliva, with the highest amounts eliminated during the first 5 days. The amount of excreted Pt decreased over time but was still quantifiable at 21 days after administration of carboplatin. In conclusion, increased Pt levels were found in all measured excretion products up to 21 days after administration of carboplatin to pet dogs, with urine as the main route of excretion. These findings may be used to further adapt current veterinary guidelines on safe handling of antineoplastic drugs and treated animals. © 2013 Blackwell Publishing Ltd.

  17. Aluminium content of some processed foods, raw materials and food additives in China by inductively coupled plasma-mass spectrometry.

    PubMed

    Deng, Gui-Fang; Li, Ke; Ma, Jing; Liu, Fen; Dai, Jing-Jing; Li, Hua-Bin

    2011-01-01

    The level of aluminium in 178 processed food samples from Shenzhen city in China was evaluated using inductively coupled plasma-mass spectrometry. Some processed foods contained a concentration of up to 1226 mg/kg, which is about 12 times the Chinese food standard. To establish the main source in these foods, Al levels in the raw materials were determined. However, aluminium concentrations in raw materials were low (0.10-451.5 mg/kg). Therefore, aluminium levels in food additives used in these foods was determined and it was found that some food additives contained a high concentration of aluminium (0.005-57.4 g/kg). The results suggested that, in the interest of public health, food additives containing high concentrations of aluminium should be replaced by those containing less. This study has provided new information on aluminium levels in Chinese processed foods, raw materials and a selection of food additives.

  18. Rapid determination of 237Np in soil samples by multi-collector inductively-coupled plasma mass spectrometry and gamma spectrometry.

    PubMed

    Yi, Xiaowei; Shi, Yanmei; Xu, Jiang; He, Xiaobing; Zhang, Haitao; Lin, Jianfeng

    A radiochemical procedure is developed for the determination of 237 Np in soil with multi-collector inductively-coupled plasma mass spectrometry (MC-ICP-MS) and gamma-spectrometry. 239 Np (milked from 243 Am) was used as an isotopic tracer for chemical yield determination. The neptunium in the soil is separated by thenoyl-trifluoracetone extraction from 1 M HNO 3 solution after reducing Np to Np(IV) with ferrous sulfamate, and then purified with Dowex 1 × 2 anion exchange resin. 239 Np in the resulting solution is measured with gamma-spectrometry for chemical yield determination while the 237 Np is measured with MC-ICP-MS. Measurement results for soil samples are presented together with those for two reference samples. By comparing the determined value with the reference value of the 237 Np activity concentration, the feasibility of the procedure was validated.

  19. Evaluation of a direct injection nebulizer interface for flow injection analysis and high performance liquid chromatography with inductively coupled plasma-atomic emission spectroscopic detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LaFreniere, K.E.

    A direct injection nebulizer (DIN) was designed, developed and evaluated to determine its potential utilization as an effective interface for flow injection analysis (FIA) and high performance liquid chromatography (HPLC) coupled with inductively coupled plasma-atomic emission spectroscopic detection. The analytical figures of merit for the DIN when used as an interface for FIA-ICP-AES were found to be comparable to or better than those obtained with conventional pneumatic nebulization in terms of limits of detection (LODs), reproducibility, linearity, and interelement effects. In the HPLC mode, the LODDs were found to be comparable to those obtained by continuous-flow sample introduction into themore » ICP, or inferior by up to only a factor of four. Stable plasma operation was maintained for the DIN sample introduction of a variety of pure organic solvents, including acetonitrile, methanol, methyl-isobutylketone, and pyridine. The HPLC-DIN-ICP-AES facility was specifically applied for the speciation of inorganic and organo-metallic species contained in synthetic mixtures, vanilla extracts and a variety of energy-related materials, such as shale oil process water, coal extracts, shale oil, crude oil, and an SRC II. Suggestions for future research are also considered.« less

  20. Spheroidization of silica powders by radio frequency inductively coupled plasma with Ar-H2 and Ar-N2 as the sheath gases at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Li, Lin; Ni, Guo-hua; Guo, Qi-jia; Lin, Qi-fu; Zhao, Peng; Cheng, Jun-li

    2017-09-01

    Amorphous spherical silica powders were prepared by inductively coupled thermal plasma treatment at a radio frequency of 36.2 MHz. The effects of the added content of hydrogen and nitrogen into argon (serving as the sheath gas), as well as the carrier gas flow rate, on the spheroidization rate of silica powders, were investigated. The prepared silica powders before and after plasma treatment were examined by scanning electron microscopy, X-ray diffraction, and laser granulometric analysis. Results indicated that the average size of the silica particles increased, and the transformation of crystals into the amorphous state occurred after plasma treatment. Discharge image processing was employed to analyze the effect of the plasma temperature field on the spheroidization rate. The spheroidization rate of the silica powder increased with the increase of the hydrogen content in the sheath gas. On the other hand, the spheroidization rate of the silica power first increased and then decreased with the increase of the nitrogen content in the sheath gas. Moreover, the amorphous content increased with the increase of the spheroidization rate of the silica powder.

  1. Messenger RNA Detection in Leukemia Cell lines by Novel Metal-Tagged in situ Hybridization using Inductively Coupled Plasma Mass Spectrometry

    PubMed Central

    Ornatsky, Olga I.; Baranov, Vladimir I.; Bandura, Dmitry R.; Tanner, Scott D.; Dick, John

    2006-01-01

    Conventional gene expression profiling relies on using fluorescent detection of hybridized probes. Physical characteristics of fluorophores impose limitations on achieving a highly multiplex gene analysis of single cells. Our work demonstrates the feasibility of using metal-tagged in situ hybridization for mRNA detection by inductively coupled plasma mass spectrometry (ICP-MS). ICP-MS as an analytical detector has a number of unique and relevant properties: 1) metals and their stable isotopes generate non-overlapping distinct signals that can be detected simultaneously; 2) these signals can be measured over a wide dynamic range; 3) ICP-MS is quantitative and very sensitive. We used commercial antibodies conjugated to europium (Eu) and gold together with biotinylated oligonucleotide probes reacted with terbium-labeled streptavidin to demonstrate simultaneous mRNA and protein detection by ICP-MS in leukemia cells. PMID:23662035

  2. Evaluation of a tunable bandpass reaction cell for an inductively coupled plasma mass spectrometer for the determination of chromium and vanadium in serum and urine

    NASA Astrophysics Data System (ADS)

    Nixon, David E.; Neubauer, Kenneth R.; Eckdahl, Steven J.; Butz, John A.; Burritt, Mary F.

    2002-05-01

    A Dynamic Reaction Cell™ inductively coupled argon plasma mass spectrometer (DRC-ICP-MS) was evaluated for the determination of chromium and vanadium in serum and urine. Reaction cell conditions were evaluated for the elimination of ArC + and ClOH + interferences on chromium at mass 52 and OCl + on vanadium at mass 51. A diluent containing only 1% nitric acid and internal standards (Y and Ga) was used to prepare serum and urine for analysis. Instrument response calibration was achieved by using aqueous acidic standards spiked into pooled sera or urine matrices. The slopes of the calibration curves prepared in urine and serum matrices were nearly identical. On average, chromium detection limits are 2.5 times lower using the DRC than Zeeman graphite furnace atomic absorption spectrometry (ZGFAAS). Vanadium detection limits are approximately 50 times lower. Average detection limits achieved with DRC-ICP-MS are 0.075 μg Cr/l and 0.028 μg V/l. Average results for the analysis of National Institute of Standards and Technology Standard Reference Material (NIST SRM) 1598 Bovine Serum (attained over 22 days) are: 0.14 μg Cr/l and 0.068 μg V/l. The reference concentrations for vanadium and chromium in NIST SRM 1598 are (0.06) μg V/l and 0.14±0.08 μg Cr/l, respectively. Results for chromium and vanadium determinations on ICP-MS survey samples from the Toxocologie du Quebec are equivalent to those reported by high resolution inductively coupled plasma mass spectrometry (HR-ICP-MS) for the same survey samples.

  3. Simultaneous quantification of 17 trace elements in blood by dynamic reaction cell inductively coupled plasma mass spectrometry (DRC-ICP-MS) equipped with a high-efficiency sample introduction system.

    PubMed

    D'Ilio, S; Violante, N; Di Gregorio, M; Senofonte, O; Petrucci, F

    2006-10-10

    A quadrupole inductively coupled plasma mass spectrometer (Q-ICP-MS) equipped with a dynamic reaction cell (DRC) and coupled with a desolvating nebulization system (APEX-IR) was employed to determine 17 elements (Al, As, Ba, Cd, Co, Cr, Li, Mn, Mo, Ni, Pb, Sb, Se, Sn, Sr, V, and Zr) in blood samples. Ammonia (for Al, Cr, Mn, and V) and O2 (for As and Se) were used as reacting gases. Selection of the best flow rate of the gases and optimization of the quadrupole dynamic bandpass tuning parameter (RPq) were carried out, using digested blood diluted 1+9 with deionized water and spiked with 1 microg L(-1) of Al, Cr, Mn, V and 5 microgL(-1) of As and Se. Detection limits were determined in digested blood using the 3sigma criterion. The desolvating system allowed a sufficient sensitivity to be achieved to determine elements at levels of ng L(-1) without detriment of signal stability. The accuracy of the method was tested with the whole blood certified reference material (CRM), certified for Al, As, Cd, Co, Cr, Mn, Mo, Ni, Pb, Sb, Se, and V, and with indicative values for Ba, Li, Sn, Sr, and Zr. The addition calibration approach was chosen for analysis. In order to confirm the DRC data, samples were also analyzed by means of sector field inductively coupled plasma mass spectrometry (SF-ICP-MS), operating in medium (m/Deltam=4000) and high (m/Deltam=10,000) resolution mode and achieving a good agreement between the two techniques.

  4. Modeling of plasma distortions by laser-induced ablation spectroscopy (LIAS) and implications for the interpretation of LIAS measurements

    NASA Astrophysics Data System (ADS)

    Tokar, M. Z.; Gierse, N.; Philipps, V.; Samm, U.

    2015-09-01

    For the interpretation of the line radiation observed from laser induced ablation spectroscopy (LIAS) such parameters as the density and temperature of electrons within very compact clouds of atoms and singly charged ions of ablated material have to be known. Compared to the local plasma conditions prior to the laser pulse, these can be strongly changed during LIAS since new electrons are generated by the ionisation of particles ejected from the irradiated target. Because of their transience and spatial inhomogeneity it is technically difficult to measure disturbances induced in the plasma by LIAS. To overcome this uncertainty a numerical model has been elaborated, providing a self-consistent description for the spreading of ablated particles and accompanying modifications in the plasma. The results of calculations for LIAS performed on carbon-containing targets in Ohmic and additionally heated discharges in the tokamak TEXTOR are presented. Due to the increase in the electron density the ‘ionisation per photon’ ratio, S/XB factor, is significantly enhanced compared to unperturbed plasma conditions. The impact of the amount of material ablated and of the plasma conditions before LIAS on the level of the S/XB-enhancement is investigated.

  5. Tissue gadolinium deposition in renally impaired rats exposed to different gadolinium-based MRI contrast agents: evaluation with inductively coupled plasma mass spectrometry (ICP-MS).

    PubMed

    Sato, Tomohiro; Ito, Katsuyoshi; Tamada, Tsutomu; Kanki, Akihiko; Watanabe, Shigeru; Nishimura, Hirotake; Tanimoto, Daigo; Higashi, Hiroki; Yamamoto, Akira

    2013-10-01

    To quantify tissue gadolinium (Gd) deposition in renally impaired rats exposed to Gd-EOB-DTPA and other Gd-based MRI contrast agents by means of inductively coupled plasma mass spectrometry (ICP-MS), and to compare the differences in distribution among major organs as possible triggers for nephrogenic systemic fibrosis (NSF). A total of 15 renally impaired rats were injected with Gd-EOB-DTPA, Gd-DTPA-BMA and Gd-HP-DO3A. Gd contents of skin, liver, kidney, lung, heart, spleen, diaphragm and femoral muscle were measured by inductively coupled plasma mass spectrometry (ICP-MS). Histological assessment was also conducted. Tissue Gd deposition in all organs was significantly higher (P=0.005~0.009) in the Gd-DTPA-BMA group than in the Gd-HP-DO3A and Gd-EOB-DTPA groups. In the Gd-DTPA-BMA group, Gd was predominantly deposited in kidney (1306±605.7μg/g), followed by skin, liver, lung, spleen, femoral muscle, diaphragm and heart. Comparing Gd-HP-DO3A and Gd-EOB-DTPA groups, Gd depositions in the kidney, liver and lung were significantly lower (P=0.009~0.011) in the Gd-EOB-DTPA group than in the Gd-HP-DO3A group although no significant differences were seen for any other organs. Gd-EOB-DTPA is a stable and safe Gd-based contrast agent (GBCA) showing lower Gd deposition in major organs in renally impaired rats, compared with other GBCAs. This fact suggests that the risk of NSF onset would be low in the use of Gd-EOB-DTPA. Copyright © 2013 Elsevier Inc. All rights reserved.

  6. Impact of cross-field motion on ablation of high-Z dust in fusion edge plasmas

    DOE PAGES

    Smirnov, R. D.; Krasheninnikov, S. I.

    2017-07-05

    The impact of cross-field motion of high-Z dust grains on their shielding by ablation cloud in edge plasmas of tokamaks is analyzed. The modification of the existing high-Z dust shielding theory is developed, which takes the dust motion effects into account. We show that the cross-field motion can lead to a large factor increase of the dust ablation rate, as compared to the previous model. It is also shown that the motion effects take place when the dust cross-field velocity exceeds a threshold value. We also obtain the dependencies of the dust ablation flux on the dust velocity and ofmore » the threshold velocity on the dust size and the ambient plasma temperature.« less

  7. Impact of cross-field motion on ablation of high-Z dust in fusion edge plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smirnov, R. D.; Krasheninnikov, S. I.

    The impact of cross-field motion of high-Z dust grains on their shielding by ablation cloud in edge plasmas of tokamaks is analyzed. The modification of the existing high-Z dust shielding theory is developed, which takes the dust motion effects into account. We show that the cross-field motion can lead to a large factor increase of the dust ablation rate, as compared to the previous model. It is also shown that the motion effects take place when the dust cross-field velocity exceeds a threshold value. We also obtain the dependencies of the dust ablation flux on the dust velocity and ofmore » the threshold velocity on the dust size and the ambient plasma temperature.« less

  8. Mass ablation and magnetic flux losses through a magnetized plasma-liner wall interface

    NASA Astrophysics Data System (ADS)

    García-Rubio, F.; Sanz, J.

    2017-07-01

    The understanding of energy and magnetic flux losses in a magnetized plasma medium confined by a cold wall is of great interest in the success of magnetized liner inertial fusion (MagLIF). In a MagLIF scheme, the fuel is magnetized and subsonically compressed by a cylindrical liner. Magnetic flux conservation is degraded by the presence of gradient-driven transport processes such as thermoelectric effects (Nernst) and magnetic field diffusion. In previous publications [Velikovich et al., Phys. Plasmas 22, 042702 (2015)], the evolution of a hot magnetized plasma in contact with a cold solid wall (liner) was studied using the classical collisional Braginskii's plasma transport equations in one dimension. The Nernst term degraded the magnetic flux conservation, while both thermal energy and magnetic flux losses were reduced with the electron Hall parameter ωeτe with a power-law asymptotic scaling (ωeτe)-1/2. In the analysis made in the present paper, we consider a similar situation, but with the liner being treated differently. Instead of a cold solid wall acting as a heat sink, we model the liner as a cold dense plasma with low thermal conduction (that could represent the cryogenic fuel layer added on the inner surface of the liner in a high-gain MagLIF configuration). Mass ablation comes into play, which adds notably differences to the previous analysis. The direction of the plasma motion is inverted, but the Nernst term still convects the magnetic field towards the liner. Magnetization suppresses the Nernst velocity and improves the magnetic flux conservation. Thermal energy in the hot plasma is lost in heating the ablated material. When the electron Hall parameter is large, mass ablation scales as (ωeτe)-3/10, while both the energy and magnetic flux losses are reduced with a power-law asymptotic scaling (ωeτe)-7/10.

  9. [Criteria for the determination of the distance of a gunshot from limited-range firearms based on the morphological characteristics of the wound and the results of inductively coupled plasma mass spectrometry].

    PubMed

    Svetlolobov, D Iu; Luzanova, I S; Demidov, I V; Zorin, Iu V; Sonis, M A; Likhachev, A S

    2013-01-01

    We have developed the criteria allowing to determine the distance of a gunshot from limited-range firearms (an IZh-79-9TGM pistol with the elastic bullet cartridges) based on the morphological characteristics of the wound and the results of inductively coupled plasma mass spectrometry. The method has been developed for the quantitative determination of barium, lead, and antimony in the targets depending on the gunshot distance.

  10. Asymmetrical flow field-flow fractionation coupled to inductively coupled plasma mass spectrometry for sizing SeNPs for packaging applications

    NASA Astrophysics Data System (ADS)

    Palomo-Siguero, María; Vera, Paula; Echegoyen, Yolanda; Nerin, Cristina; Cámara, Carmen; Madrid, Yolanda

    2017-06-01

    This paper describes the application of Asymmetrical Flow Field-Flow Fractionation (AF4) coupled to diode array detector (DAD) and inductively coupled plasma mass spectrometry (AF4-UV-ICP-MS) to characterize selenium nanoparticles (SeNPs) in an aqueous acrylic adhesive to be used in a multilayer food packaging material. SeNPs were synthesized using a solution-phase approach based on the reduction of selenite with ascorbic acid in presence of different stabilizers compatible with food industry such as polysaccharides (chitosan (poly(D-glucosamine) and hydroxyethylcellulose (HEC)) and non-ionic surfactants (Triton X-100 (t-octylphenoxypolyethoxyethanol), 2,4,7,9-tetramethyl 5decyne-4,7-diol ethoxylate, and isotridecanol ethoxylate). Several parameters such as pH, ascorbic acid and stabilizers concentration, and compatibility of the stabilizer with the adhesive were evaluated. SeNPs suspensions with spherical morphology were obtained except when isotridecanol ethoxylate was employed which provides SeNPs with a nanorod morphology. AF4-DAD-ICP-MS was further applied for sizing the different SeNPs preparations. DAD was used as detector for selecting the best AF4 separation conditions before coupling to ICP-MS to ensure unequivocal identification of NPs. AF4 calibration with polystyrene latex (PSL) beads of known sizes allowed size determination of the different SeNPs. The following estimated hydrodynamic sizes (expressed as the mean ± standard deviation, n = 6 replicates) were found: chitosan-SeNPs- (26 ± 3 nm), TritonX100-SeNPs (22 ± 10 nm) HEC- SeNPs (91 ± 8 nm) and 2,4,7,9-tetramethyl 5decyne-4,7-diol ethoxylate- SeNPs (59 ± 4 nm). The proposed methodology was successfully applied to the characterization in terms of size of aqueous acrylic adhesives containing SeNPs Results from AF4-ICP-MS and TEM shown that only those SeNPs obtained with non-ionic surfactants and HEC were compatible with the adhesive. The results reported here evidence the usefulness of AF4-ICP

  11. Methods of analysis by the U.S. Geological Survey National Water Quality Laboratory; determination of whole-water recoverable arsenic, boron, and vanadium using inductively coupled plasma-mass spectrometry

    USGS Publications Warehouse

    Garbarino, John R.

    2000-01-01

    Analysis of in-bottle digestate by using the inductively coupled plasma?mass spectrometric (ICP?MS) method has been expanded to include arsenic, boron, and vanadium. Whole-water samples are digested by using either the hydrochloric acid in-bottle digestion procedure or the nitric acid in-bottle digestion procedure. When the hydrochloric acid in-bottle digestion procedure is used, chloride must be removed from the digestate by subboiling evaporation before arsenic and vanadium can be accurately determined. Method detection limits for these elements are now 10 to 100 times lower than U.S. Geological Survey (USGS) methods using hydride generation? atomic absorption spectrophotometry (HG? AAS) and inductively coupled plasma? atomic emission spectrometry (ICP?AES), thus providing lower variability at ambient concentrations. The bias and variability of the methods were determined by using results from spike recoveries, standard reference materials, and validation samples. Spike recoveries in reagent-water, surface-water, ground-water, and whole-water recoverable matrices averaged 90 percent for seven replicates; spike recoveries were biased from 25 to 35 percent low for the ground-water matrix because of the abnormally high iron concentration. Results for reference material were within one standard deviation of the most probable value. There was no significant difference between the results from ICP?MS and HG?AAS or ICP?AES methods for the natural whole-water samples that were analyzed.

  12. A novel methodology for rapid digestion of rare earth element ores and determination by microwave plasma-atomic emission spectrometry and dynamic reaction cell-inductively coupled plasma-mass spectrometry.

    PubMed

    Helmeczi, Erick; Wang, Yong; Brindle, Ian D

    2016-11-01

    Short-wavelength infrared radiation has been successfully applied to accelerate the acid digestion of refractory rare-earth ore samples. Determinations were achieved with microwave plasma-atomic emission spectrometry (MP-AES) and dynamic reaction cell - inductively coupled plasma-mass spectrometry (DRC-ICP-MS). The digestion method developed was able to tackle high iron-oxide and silicate matrices using only phosphoric acid in a time frame of only 8min, and did not require perchloric or hydrofluoric acid. Additionally, excellent recoveries and reproducibilities of the rare earth elements, as well as uranium and thorium, were achieved. Digestions of the certified reference materials OREAS-465 and REE-1, with radically different mineralogies, delivered results that mirror those obtained by fusion processes. For the rare-earth CRM OKA-2, whose REE data are provisional, experimental data for the rare-earth elements were generally higher than the provisional values, often exceeding z-values of +2. Determined values for Th and U in this reference material, for which certified values are available, were in excellent agreement. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. The influence of gas pressure on E↔H mode transition in argon inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Zhang, Xiao; Zhang, Zhong-kai; Cao, Jin-xiang; Liu, Yu; Yu, Peng-cheng

    2018-03-01

    Considering the gas pressure and radio frequency power change, the mode transition of E↔H were investigated in inductively coupled plasmas. It can be found that the transition power has almost the same trend decreasing with gas pressure, whether it is in H mode or E mode. However, the transition density increases slowly with gas pressure from E to H mode. The transition points of E to H mode can be understood by the propagation of electromagnetic wave in the plasma, while the H to E should be illustrated by the electric field strength. Moreover, the electron density, increasing with the pressure and power, can be attributed to the multiple ionization, which changes the energy loss per electron-ion pair created. In addition, the optical emission characteristics in E and H mode is also shown. The line ratio of I750.4 and I811.5, taken as a proxy of the density of metastable state atoms, was used to illustrate the hysteresis. The 750.4 nm line intensity, which has almost the same trend with the 811.5 nm line intensity in H mode, both of them increases with power but decreases with gas pressure. The line ratio of 811.5/750.4 has a different change rule in E mode and H mode, and at the transition point of H to E, it can be one significant factor that results in the hysteresis as the gas pressure change. And compared with the 811.5 nm intensity, it seems like a similar change rule with RF power in E mode. Moreover, some emitted lines with lower rate constants don't turn up in E mode, while can be seen in H mode because the excited state atom density increasing with the electron density.

  14. Complexation studies with lanthanides and humic acid analyzed by ultrafiltration and capillary electrophoresis-inductively coupled plasma mass spectrometry.

    PubMed

    Kautenburger, Ralf; Beck, Horst Philipp

    2007-08-03

    For the long-term storage of radioactive waste, detailed information about geo-chemical behavior of radioactive and toxic metal ions under environmental conditions is necessary. Humic acid (HA) can play an important role in the immobilisation or mobilisation of metal ions due to complexation and colloid formation. Therefore, we investigate the complexation behavior of HA and its influence on the migration or retardation of selected lanthanides (europium and gadolinium as homologues of the actinides americium and curium). Two independent speciation techniques, ultrafiltration and capillary electrophoresis coupled with inductively coupled plasma mass spectrometry (CE-ICP-MS) have been compared for the study of Eu and Gd interaction with (purified Aldrich) HA. The degree of complexation of Eu and Gd in 25 mg l(-1) Aldrich HA solutions was determined with a broad range of metal loading (Eu and Gd total concentration between 10(-6) and 10(-4) mol l(-1)), ionic strength of 10 mM (NaClO4) and different pH-values. From the CE-ICP-MS electropherograms, additional information on the charge of the Eu species was obtained by the use of 1-bromopropane as neutral marker. To detect HA in the ICP-MS and separate between HA complexed and non complexed metal ions in the CE-ICP-MS, we have halogenated the HA with iodine as ICP-MS marker.

  15. Tree ring wood analysis after hydrogen peroxide pressure decomposition with inductively coupled plasma atomic emission spectrometry and electrothermal vaporization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matusiewicz, H.; Barnes, R.M.

    1985-02-01

    A method utilizing pressure decomposition to minimize sample pretreatment is described for the inductively coupled plasma atomic emission spectrometric analysis of red spruce and sugar maple. Cores collected from trees growing on Camels Hump Mountain, Vermont, were divided into decade increments in order to monitor the temporal changes in concentrations of 21 elements. Dried wood samples were decomposed in a bomb made of Teflon with 50% hydrogen peroxide heated in an oven at 125/sup 0/C for 4 h. The digestion permitted use of aqueous standards and minimized any potential matrix effects. The element concentrations were obtained sequentially by electrothermal vaporizationmore » ICP-AES using 5 ..mu..L sample aliquots. The method precision varied between 3 and 12%. Elements forming oxyanions (Al, As, Fe, Ge, Mn, Si, V) were found at elevated concentrations during the most recent three decades, while other metal (e.g., Mg, Zn) concentrations were unchanged or decreased. 45 references, 6 tables, 1 figure.« less

  16. Identification of gunshot residues in fabric targets using sector field inductively coupled plasma mass spectrometry technique and ternary graphs.

    PubMed

    Freitas, João Carlos D; Sarkis, Jorge E Souza; Negrini Neto, Osvaldo; Viebig, Sônia Bocamino

    2012-03-01

    During criminal investigations involving firearms, the detection of gunshot residues (GSRs) is one of the most important evidences. In the present study, a new method to identify trace evidences of GSRs, deposited around the bullet entrance hole, in different types of fabrics used as targets, is described. The experiments were carried out using a 0.38-inch caliber revolver, and 9-mm and 0.40-inch caliber pistols. Testimonies of 2.25 cm(2) of the fabrics were cut around the bullet entrance and digested with 10% nitric acid. Antimony, barium, and lead were analyzed in the remaining solution using a sector field inductively coupled plasma mass spectrometer. The concentrations of the elements were detected at levels up to few microgram per square centimeter. The use of ternary graphics allowed us to identify specific patterns of distribution for blank samples and the clear distinction between the revolver and pistols used. © 2011 American Academy of Forensic Sciences.

  17. Elemental ratios for characterization of quantum-dots populations in complex mixtures by asymmetrical flow field-flow fractionation on-line coupled to fluorescence and inductively coupled plasma mass spectrometry.

    PubMed

    Menendez-Miranda, Mario; Fernandez-Arguelles, Maria T; Costa-Fernandez, Jose M; Encinar, Jorge Ruiz; Sanz-Medel, Alfredo

    2014-08-11

    Separation and identification of nanoparticles of different composition, with similar particle diameter, coexisting in heterogeneous suspensions of polymer-coated CdSe/ZnS quantum dots (QDs) have been thoroughly assessed by asymmetric flow field-flow fractionation (AF4) coupled on-line to fluorescence and inductively coupled plasma mass spectrometry (ICPMS) detectors. Chemical characterization of any previously on-line separated nanosized species was achieved by the measurement of the elemental molar ratios of every element involved in the synthesis of the QDs, using inorganic standards and external calibration by flow injection analysis (FIA). Such elemental molar ratios, strongly limited so far to pure single nanoparticles suspensions, have been achieved with adequate accuracy by coupling for the first time an ICP-QQQ instrument to an AF4 system. This hyphenation turned out to be instrumental to assess the chemical composition of the different populations of nanoparticles coexisting in the relatively complex mixtures, due to its capabilities to detect the hardly detectable elements involved in the synthesis. Interestingly such information, complementary to that obtained by fluorescence, was very valuable to detect and identify unexpected nanosized species, present at significant level, produced during QDs synthesis and hardly detectable by standard approaches. Copyright © 2014. Published by Elsevier B.V.

  18. Time-of-flight spectroscopy characterization of the plasma plume from a laser-ablated potassium titanyl phosphate crystal

    NASA Astrophysics Data System (ADS)

    Ying, Minju; Wang, XiaoXiao; Cheng, Wei; Liao, Bin; Zhang, Xu

    2015-06-01

    Optical emission spectra of the plasma produced by 1.06-μm Nd:YAG laser irradiation of a potassium titanyl phosphate (KTP) crystal were recorded and analyzed in a time- and spatially resolved manner. The composition and evolution of the plasma plume were studied in low vacuum conditions. Emission lines associated with Ti(I), Ti(II) and K(I) were identified in the plasma. The delay times of emission peaks for the ablated species were investigated as a function of the observation distance from the target surface, and the velocities of these species were derived accordingly. Two emission peaks corresponding to a fast and a slow component of ablated Ti(I) were observed by optical time-of-flight spectroscopy. The origins of the two peaks and a possible mechanism for the laser ablation are discussed.

  19. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  20. Analysis of Inorganic Nanoparticles by Single-particle Inductively Coupled Plasma Time-of-Flight Mass Spectrometry.

    PubMed

    Hendriks, Lyndsey; Gundlach-Graham, Alexander; Günther, Detlef

    2018-04-25

    Due to the rapid development of nanotechnologies, engineered nanomaterials (ENMs) and nanoparticles (ENPs) are becoming a part of everyday life: nanotechnologies are quickly migrating from laboratory benches to store shelves and industrial processes. As the use of ENPs continues to expand, their release into the environment is unavoidable; however, understanding the mechanisms and degree of ENP release is only possible through direct detection of these nanospecies in relevant matrices and at realistic concentrations. Key analytical requirements for quantitative detection of ENPs include high sensitivity to detect small particles at low total mass concentrations and the need to separate signals of ENPs from a background of dissolved elemental species and natural nanoparticles (NNPs). To this end, an emerging method called single-particle inductively coupled plasma mass spectrometry (sp-ICPMS) has demonstrated great potential for the characterization of inorganic nanoparticles (NPs) at environmentally relevant concentrations. Here, we comment on the capabilities of modern sp-ICPMS analysis with particular focus on the measurement possibilities offered by ICP-time-of-flight mass spectrometry (ICP-TOFMS). ICP-TOFMS delivers complete elemental mass spectra for individual NPs, which allows for high-throughput, untargeted quantitative analysis of dispersed NPs in natural matrices. Moreover, the multi-element detection capabilities of ICP-TOFMS enable new NP-analysis strategies, including online calibration via microdroplets for accurate NP mass quantification and matrix compensation.

  1. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  2. Inductively coupled plasma atomic fluorescence spectrometric determination of cadmium, copper, iron, lead, manganese and zinc

    USGS Publications Warehouse

    Sanzolone, R.F.

    1986-01-01

    An inductively coupled plasma atomic fluorescence spectrometric method is described for the determination of six elements in a variety of geological materials. Sixteen reference materials are analysed by this technique to demonstrate its use in geochemical exploration. Samples are decomposed with nitric, hydrofluoric and hydrochloric acids, and the residue dissolved in hydrochloric acid and diluted to volume. The elements are determined in two groups based on compatibility of instrument operating conditions and consideration of crustal abundance levels. Cadmium, Cu, Pb and Zn are determined as a group in the 50-ml sample solution under one set of instrument conditions with the use of scatter correction. Limitations of the scatter correction technique used with the fluorescence instrument are discussed. Iron and Mn are determined together using another set of instrumental conditions on a 1-50 dilution of the sample solution without the use of scatter correction. The ranges of concentration (??g g-1) of these elements in the sample that can be determined are: Cd, 0.3-500; Cu, 0.4-500; Fe, 85-250 000; Mn, 45-100 000; Pb, 5-10 000; and Zn, 0.4-300. The precision of the method is usually less than 5% relative standard deviation (RSD) over a wide concentration range and acceptable accuracy is shown by the agreement between values obtained and those recommended for the reference materials.

  3. Determination of stable cesium and strontium in rice samples by inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Srinuttrakul, W.; Yoshida, S.

    2017-06-01

    For long-term radiation dose assessment models, food ingestion is one of the major exposure pathways to human. In general, the stable isotopes can serve as analogues of radioisotopes. In this study, rice samples were collected from 30 paddy fields in Si Sa Ket, Yasothon and Roi Et in the northeast of Thailand in November 2014. The concentrations of stable cesium (Cs-133) and strontium (Sr-88) in polished rice were determined by inductively coupled plasma mass spectrometry (ICP-MS). The standard reference material of rice flour (NIST 1568a) with spiked Cs and Sr was used to validate the analytical method. The concentration of Cs in polished rice from Si Sa Ket, Yasothon and Roi Et was 0.158 ± 0.167 mg kg-1, 0.090 ± 0.117 mg kg-1 and 0.054 ± 0.031 mg kg-1, respectively. The concentration of Sr in polished rice from Si Sa Ket, Yasothon and Roi Et was 0.351 ± 0.108 mg kg-1, 0.364 ± 0.215 mg kg-1 and 0.287 ± 0.102 mg kg-1, respectively. Comparison of the results with Japanese data before the Fukushima Di-ichi nuclear power plant accident showed that the concentrations of both Cs and Sr for Thai rice were higher than those for Japanese rice.

  4. Quantification of Al2O3 nanoparticles in human cell lines applying inductively coupled plasma mass spectrometry (neb-ICP-MS, LA-ICP-MS) and flow cytometry-based methods

    NASA Astrophysics Data System (ADS)

    Böhme, Steffi; Stärk, Hans-Joachim; Meißner, Tobias; Springer, Armin; Reemtsma, Thorsten; Kühnel, Dana; Busch, Wibke

    2014-09-01

    In order to quantify and compare the uptake of aluminum oxide nanoparticles of three different sizes into two human cell lines (skin keratinocytes (HaCaT) and lung epithelial cells (A549)), three analytical methods were applied: digestion followed by nebulization inductively coupled plasma mass spectrometry (neb-ICP-MS), direct laser ablation ICP-MS (LA-ICP-MS), and flow cytometry. Light and electron microscopy revealed an accumulation and agglomeration of all particle types within the cell cytoplasm, whereas no particles were detected in the cell nuclei. The internalized Al2O3 particles exerted no toxicity in the two cell lines after 24 h of exposure. The smallest particles with a primary particle size ( x BET) of 14 nm (Alu1) showed the lowest sedimentation velocity within the cell culture media, but were calculated to have settled completely after 20 h. Alu2 ( x BET = 111 nm) and Alu3 ( x BET = 750 nm) were calculated to reach the cell surface after 7 h and 3 min, respectively. The internal concentrations determined with the different methods lay in a comparable range of 2-8 µg Al2O3/cm2 cell layer, indicating the suitability of all methods to quantify the nanoparticle uptake. Nevertheless, particle size limitations of analytical methods using optical devices were demonstrated for LA-ICP-MS and flow cytometry. Furthermore, the consideration and comparison of particle properties as parameters for particle internalization revealed the particle size and the exposure concentration as determining factors for particle uptake.

  5. Multi-element analysis of water decoction of medicine food homology plants using inductively coupled plasma-tandem mass spectrometry

    NASA Astrophysics Data System (ADS)

    Fu, Liang; Shi, Shu-Yun; Chen, Xiao-Qing

    2017-07-01

    The concentration of twelve trace elements in the water decoction of medicine food homology plants (MFHP) was determined by inductively coupled plasma-tandem mass spectrometry (ICP-MS/MS). Water decoctions of MFHP were analyzed directly using the MS/MS mode after acidification by 1% (v/v) nitric acid. The polyatomic interferences were eliminated by oxygen mass shift, oxygen on-mass, and ammonia mass shift. The accuracy of the method was verified by analysis of standard reference materials. This method was utilized to investigate the water decoction composition of 16 common Chinese MFHPs. The trace elements in the water decoctions of different MFHPs presented significantly different dissolution ratios. The dissolution ratio of V was the lowest (4.21%-14.86%), whereas Zn showed the highest dissolution ratio (24.87%-86.80%). In addition, the dissolution ratio of heavy metallic elements in most MFHP was equal to or was lower than 30%. Therefore, consumption of MHFP decoction could decrease the heavy metal intake associated with MFHP use and reduce the risk of heavy metal poisoning.

  6. Characteristics of plasma plume in ultrafast laser ablation with a weakly ionized air channel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hou, Huaming; Yang, Bo; Mao, Xianglei

    We report the influence of femtosecond (fs) laser weakly ionized air channel on characteristics of plasma induced from fs-laser ablation of solid Zr metal target. A novel method to create high temperature, low electron density plasma with intense elemental emission and weak bremsstrahlung emission was demonstrated. Weakly ionized air channel was generated as a result of a non-linear phenomenon. Two-dimensional time-resolved optical-emission images of plasma plumes were taken for plume dynamics analysis. Dynamic physical properties of filament channels were simulated. In particular, we investigated the influence of weakly ionized air channel on the evolution of solid plasma plume. Plasma plumemore » splitting was observed whilst longer weakly ionized air channel formed above the ablation spot. The domination mechanism for splitting is attributed to the long-lived underdense channel created by fs-laser induced weakly ionization of air. The evolutions of atomic/molecular emission intensity, peak broadening, and plasma temperature were analyzed, and the results show that the part of plasma entering weakly ionized air channel features higher initial temperature, lower electron density and faster decay.« less

  7. Characteristics of plasma plume in ultrafast laser ablation with a weakly ionized air channel

    DOE PAGES

    Hou, Huaming; Yang, Bo; Mao, Xianglei; ...

    2018-05-10

    We report the influence of femtosecond (fs) laser weakly ionized air channel on characteristics of plasma induced from fs-laser ablation of solid Zr metal target. A novel method to create high temperature, low electron density plasma with intense elemental emission and weak bremsstrahlung emission was demonstrated. Weakly ionized air channel was generated as a result of a non-linear phenomenon. Two-dimensional time-resolved optical-emission images of plasma plumes were taken for plume dynamics analysis. Dynamic physical properties of filament channels were simulated. In particular, we investigated the influence of weakly ionized air channel on the evolution of solid plasma plume. Plasma plumemore » splitting was observed whilst longer weakly ionized air channel formed above the ablation spot. The domination mechanism for splitting is attributed to the long-lived underdense channel created by fs-laser induced weakly ionization of air. The evolutions of atomic/molecular emission intensity, peak broadening, and plasma temperature were analyzed, and the results show that the part of plasma entering weakly ionized air channel features higher initial temperature, lower electron density and faster decay.« less

  8. A concise guide for the determination of less-studied technology-critical elements (Nb, Ta, Ga, In, Ge, Te) by inductively coupled plasma mass spectrometry in environmental samples

    NASA Astrophysics Data System (ADS)

    Filella, Montserrat; Rodushkin, Ilia

    2018-03-01

    There is an increasing demand for analytical techniques able to measure so-called 'technology-critical elements', a set of chemical elements increasingly used in technological applications, in environmental matrices. Nowadays, inductively coupled plasma-mass spectrometry (ICP-MS) has become the technique of choice for measuring trace element concentrations. However, its application is often less straightforward than often assumed. The hints and drawbacks of ICP-MS application to the measurement of a set of less-studied technology-critical elements (Nb, Ta, Ga, In, Ge and Te) is discussed here and concise guidelines given.

  9. Design of a Microwave Assisted Discharge Inductive Plasma Accelerator

    NASA Technical Reports Server (NTRS)

    Hallock, Ashley K.; Polzin, Kurt A.

    2010-01-01

    The design and construction of a thruster that employs electrodeless plasma preionization and pulsed inductive acceleration is described. Preionization is achieved through an electron cyclotron resonance discharge that produces a weakly-ionized plasma at the face of a conical theta pinch-shaped inductive coil. The presence of the preionized plasma allows for current sheet formation at lower discharge voltages than those employed in other pulsed inductive accelerators that do not employ preionization. The location of the electron cyclotron resonance discharge is controlled through the design of the applied magnetic field in the thruster. Finite element analysis shows that there is an arrangement of permanent magnets that yields a small volume of resonant magnetic field at the coil face. Preionization in the resonant zone leads to current sheet formation at the coil face, which minimizes the initial inductance of the pulse circuit and maximizes the potential electrical efficiency of the accelerator. A magnet assembly was constructed around an inductive coil to provide structural support to the selected arrangement of neodymium magnets. Measured values of the resulting magnetic field compare favorably with the finite element model.

  10. Monitoring of platinum surface contamination in seven Dutch hospital pharmacies using inductively coupled plasma mass spectrometry

    PubMed Central

    Huitema, A. D. R.; Bakker, E. N.; Douma, J. W.; Schimmel, K. J. M.; van Weringh, G.; de Wolf, P. J.; Schellens, J. H. M.; Beijnen, J. H.

    2007-01-01

    Objective: To develop, validate, and apply a method for the determination of platinum contamination, originating from cisplatinum, oxaliplatinum, and carboplatinum. Methods: Inductively coupled plasma mass spectrometry (ICP-MS) was used to determine platinum in wipe samples. The sampling procedure and the analytical conditions were optimised and the assay was validated. The method was applied to measure surface contamination in seven Dutch hospital pharmacies. Results: The developed method allowed reproducible quantification of 0.50 ng l−1 platinum (5 pg/wipe sample). Recoveries for stainless steel and linoleum surfaces ranged between 50.4 and 81.4% for the different platinum compounds tested. Platinum contamination was reported in 88% of the wipe samples. Although a substantial variation in surface contamination of the pharmacies was noticed, in most pharmacies, the laminar-airflow (LAF) hoods, the floor in front of the LAF hoods, door handles, and handles of service hatches showed positive results. This demonstrates that contamination is spread throughout the preparation rooms. Conclusion: We developed and validated an ultra sensitive and reliable ICP-MS method for the determination of platinum in surface samples. Surface contamination with platinum was observed in all hospital pharmacies sampled. The interpretation of these results is, however, complicated. PMID:17377802

  11. Multielemental analysis in vegetable edible oils by inductively coupled plasma mass spectrometry after solubilisation with tetramethylammonium hydroxide.

    PubMed

    Savio, Marianela; Ortiz, María S; Almeida, César A; Olsina, Roberto A; Martinez, Luis D; Gil, Raúl A

    2014-09-15

    Trace metals have negative effects on the oxidative stability of edible oils and they are important because of possibility for oils characterisation. A single-step procedure for trace elemental analysis of edible oils is presented. To this aim, a solubilisation with tetramethylammonium hydroxide (TMAH) was assayed prior to inductively coupled plasma mass spectrometry detection. Small amounts of TMAH were used, resulting in high elemental concentrations. This method was applied to edible oils commercially available in Argentine. Elements present in small amounts (Cu, Ge, Mn, Mo, Ni, Sb, Sr, Ti, and V) were determined in olive, corn, almond and sunflower oils. The limits of detection were between 0.004 μg g(-1) for Mn and Sr, and 0.32 μg g(-1) for Sb. Principal components analysis was used to correlate the content of trace metals with the type of oils. The two first principal components retained 91.6% of the variability of the system. This is a relatively simple and safe procedure, and could be an attractive alternative for quality control, traceability and routine analysis of edible oils. Copyright © 2014 Elsevier Ltd. All rights reserved.

  12. Visualizing elemental deposition patterns on carbonaceous anodes from lithium ion batteries: A laser ablation-inductively coupled plasma-mass spectrometry study on factors influencing the deposition of lithium, nickel, manganese and cobalt after dissolution and migration from the Li1[Ni1/3Mn1/3Co1/3]O2 and LiMn1.5 Ni0.5O4 cathode

    NASA Astrophysics Data System (ADS)

    Schwieters, Timo; Evertz, Marco; Fengler, Alexander; Börner, Markus; Dagger, Tim; Stenzel, Yannick; Harte, Patrick; Winter, Martin; Nowak, Sascha

    2018-03-01

    In this study, laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) is applied to previously aged carbonaceous anodes from lithium ion batteries (LIBs). These electrodes are treated by cyclic aging in a lithium ion cell set-up against Li1[Ni1/3Mn 1/3Co1/3]O2 = NMC111 to elucidate factors that influence transition metal dissolution (TMD) of the cathode and subsequent deposition on the anode. The investigations are carried out by qualitatively visualizing the 7Li and TM patterns (60Ni, 55Mn and 59Co) of whole coin and pouch-bag electrodes. The lithium, as well as the TM amount, found on the anode, is directly correlated to the applied upper cut-off voltage (4.6, 4.7, 4.8 and 4.9 V) showing more deposition of Li and TMs at elevated voltages. While 7Li shows a more homogeneous pattern, the TM distribution is inhomogeneous but showing a similar pattern for all TMs of the same sample. An unequal pressure distribution, resulting in a nonparallel electrode alignment, on the electrode stack is identified to be responsible for the inhomogeneous TM deposition pattern. This uneven electrode orientation results in different diffusion pathways for the TM migration with regard to the spatial distances.

  13. Experimental and computational study of complex shockwave dynamics in laser ablation plumes in argon atmosphere

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harilal, S. S.; Miloshevsky, G. V.; Diwakar, P. K.

    2012-08-15

    We investigated spatio-temporal evolution of ns laser ablation plumes at atmospheric pressure, a favored condition for laser-induced breakdown spectroscopy and laser-ablation inductively coupled plasma mass-spectrometry. The 1064 nm, 6 ns pulses from a Nd:YAG laser were focused on to an Al target and the generated plasma was allowed to expand in 1 atm Ar. The hydrodynamic expansion features were studied using focused shadowgraphy and gated 2 ns self-emission visible imaging. Shadowgram images showed material ejection and generation of shock fronts. A secondary shock is observed behind the primary shock during the time window of 100-500 ns with instabilities near themore » laser cone angle. By comparing the self-emission images obtained using fast photography, it is concluded that the secondary shocks observed in the shadowgraphy were generated by fast moving target material. The plume front estimates using fast photography exhibited reasonable agreement with data obtained from shadowgraphy at early times {<=}400 ns. However, at later times, fast photography images showed plume confinement while the shadowgraphic images showed propagation of the plume front even at greater times. The structure and dynamics of the plume obtained from optical diagnostic tools were compared to numerical simulations. We have shown that the main features of plume expansion in ambient Ar observed in the experiments can be reproduced using a continuum hydrodynamics model which provided valuable insight into the expansion dynamics and shock structure of the plasma plume.« less

  14. Evaluation of pressure in a plasma produced by laser ablation of steel

    NASA Astrophysics Data System (ADS)

    Hermann, Jörg; Axente, Emanuel; Craciun, Valentin; Taleb, Aya; Pelascini, Frédéric

    2018-05-01

    We investigated the time evolution of pressure in the plume generated by laser ablation with ultraviolet nanosecond laser pulses in a near-atmospheric argon atmosphere. These conditions were previously identified to produce a plasma of properties that facilitate accurate spectroscopic diagnostics. Using steel as sample material, the present investigations benefit from the large number of reliable spectroscopic data available for iron. Recording time-resolved emission spectra with an echelle spectrometer, we were able to perform accurate measurements of electron density and temperature over a time interval from 200 ns to 12 μs. Assuming local thermodynamic equilibrium, we computed the plasma composition within the ablated vapor material and the corresponding kinetic pressure. The time evolution of plume pressure is shown to reach a minimum value below the pressure of the background gas. This indicates that the process of vapor-gas interdiffusion has a negligible influence on the plume expansion dynamics in the considered timescale. Moreover, the results promote the plasma pressure as a control parameter in calibration-free laser-induced breakdown spectroscopy.

  15. Two-Dimensional Analysis of Conical Pulsed Inductive Plasma Thruster Performance

    NASA Technical Reports Server (NTRS)

    Hallock, A. K.; Polzin, K. A.; Emsellem, G. D.

    2011-01-01

    A model of the maximum achievable exhaust velocity of a conical theta pinch pulsed inductive thruster is presented. A semi-empirical formula relating coil inductance to both axial and radial current sheet location is developed and incorporated into a circuit model coupled to a momentum equation to evaluate the effect of coil geometry on the axial directed kinetic energy of the exhaust. Inductance measurements as a function of the axial and radial displacement of simulated current sheets from four coils of different geometries are t to a two-dimensional expression to allow the calculation of the Lorentz force at any relevant averaged current sheet location. This relation for two-dimensional inductance, along with an estimate of the maximum possible change in gas-dynamic pressure as the current sheet accelerates into downstream propellant, enables the expansion of a one-dimensional circuit model to two dimensions. The results of this two-dimensional model indicate that radial current sheet motion acts to rapidly decouple the current sheet from the driving coil, leading to losses in axial kinetic energy 10-50 times larger than estimations of the maximum available energy in the compressed propellant. The decreased available energy in the compressed propellant as compared to that of other inductive plasma propulsion concepts suggests that a recovery in the directed axial kinetic energy of the exhaust is unlikely, and that radial compression of the current sheet leads to a loss in exhaust velocity for the operating conditions considered here.

  16. Deposition and element fractionation processes during atmospheric pressure laser sampling for analysis by ICP-MS

    NASA Astrophysics Data System (ADS)

    Eggins, S. M.; Kinsley, L. P. J.; Shelley, J. M. G.

    1998-05-01

    We have used an ArF excimer laser coupled to a quadrupole inductively coupled plasma mass spectrometry (ICP-MS) for the measurement of a range of elements during excavation of a deepening ablation pit in a synthetic glass (NIST 612). Analyte behaviour shows progressive volatile element enrichment at shallow hole depths, with a change to refractory element enrichment as the ablation pit deepens further. Examination of ablation pit morphology and the surface condensate deposited around the ablation site reveals the importance of sequential condensation of refractory, then volatile phases from the cooling plasma plume after the end of the laser pulse. We interpret the observed element fractionation behaviour to reflect a change in ablation processes from photothermal dominated to plasma dominated mechanisms. The development of the surface deposit is greatly reduced by ablating in an ambient atmosphere of He instead of Ar and is accompanied by a two- to four-fold increase in ICP-MS sensitivity.

  17. A novel assay method for the trace determination of Th and U in copper and lead using inductively coupled plasma mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LaFerriere, Brian D.; Maiti, Tapas C.; Arnquist, Isaac J.

    2015-03-01

    This study describes a novel sample preparation and assay method developed in support of the MAJORANA DEMONSTRATOR experiment for the determination of thorium and uranium levels in copper and lead shielding components. Meticulously clean sample preparation methods combined with novel anion exchange separations for analyte pre-concentration and matrix removal were developed. Quantification was performed by inductively coupled plasma mass spectrometry. Detection limits of 0.0084 pg 232Th/g and 0.0106 pg 238U/g were determined for copper, while detection limits of 0.23 pg 232Th/g and 0.46 pg 238U/g were achieved for lead. These methods allow the Majorana Collaboration to accurately assay detector componentsmore » and ensure that the experiment’s stringent radiopurity requirements are met.« less

  18. Wire ablation dynamics model and its application to imploding wire arrays of different geometries.

    PubMed

    Esaulov, A A; Kantsyrev, V L; Safronova, A S; Velikovich, A L; Shrestha, I K; Williamson, K M; Osborne, G C

    2012-10-01

    The paper presents an extended description of the amplified wire ablation dynamics model (WADM), which accounts in a single simulation for the processes of wire ablation and implosion of a wire array load of arbitrary geometry and wire material composition. To investigate the role of wire ablation effects, the implosions of cylindrical and planar wire array loads at the university based generators Cobra (Cornell University) and Zebra (University of Nevada, Reno) have been analyzed. The analysis of the experimental data shows that the wire mass ablation rate can be described as a function of the current through the wire and some coefficient defined by the wire material properties. The aluminum wires were found to ablate with the highest rate, while the copper ablation is the slowest one. The lower wire ablation rate results in a higher inward velocity of the ablated plasma, a higher rate of the energy coupling with the ablated plasma, and a more significant delay of implosion for a heavy load due to the ablation effects, which manifest the most in a cylindrical array configuration and almost vanish in a single-planar array configuration. The WADM is an efficient tool suited for wire array load design and optimization in wide parameter ranges, including the loads with specific properties needed for the inertial confinement fusion research and laboratory astrophysics experiments. The data output from the WADM simulation can be used to simplify the radiation magnetohydrodynamics modeling of the wire array plasma.

  19. Efficient interface for online coupling of capillary electrophoresis with inductively coupled plasma-mass spectrometry and its application in simultaneous speciation analysis of arsenic and selenium.

    PubMed

    Liu, Lihong; Yun, Zhaojun; He, Bin; Jiang, Guibin

    2014-08-19

    A simple and highly efficient online system coupling of capillary electrophoresis to inductively coupled plasma-mass spectrometry (CE-ICP-MS) for simultaneous separation and determination of arsenic and selenium compounds was developed. CE was coupled to an ICP-MS system by a sprayer with a novel direct-injection high-efficiency nebulizer (DIHEN) chamber as the interface. By using this interface, six arsenic species, including arsenite (As(III), arsenate (As(V)), monomethylarsonic acid (MMA), dimethylarsinic acid (DMA), arsenobetaine (AsB), and arsenocholine (AsC) and five selenium species (such as sodium selenite (Se(IV)), sodium selenate (Se(VI)), selenocysteine (SeCys), selenomethionine (SeMet), and Se-methylselenocysteine (MeSeCys)) were baseline-separated and determined in a single run within 9 min under the optimized conditions. Minimum dead volume, low and steady sheath flow liquid, high nebulization efficiency, and high sample transport efficiency were obtained by using this interface. Detection limits were in the range of 0.11-0.37 μg L(-1) for the six arsenic compounds (determined as (75)As at m/z 75) and 1.33-2.31 μg L(-1) for the five selenium species (determined as (82)Se at m/z 82). Repeatability expressed as the relative standard deviations (RSD, n = 6) of both migration time and peak area were better than 2.68% for arsenic compounds and 3.28% for selenium compounds, respectively. The proposed method had been successfully applied for the determination of arsenic and selenium species in the certified reference materials DORM-3, water, urine, and fish samples.

  20. Cadmium, copper, lead, and zinc determination in precipitation: A comparison of inductively coupled plasma atomic emission spectrometry and graphite furnace atomization atomic absorption spectrometry

    USGS Publications Warehouse

    Reddy, M.M.; Benefiel, M.A.; Claassen, H.C.

    1987-01-01

    Selected trace element analysis for cadmium, copper, lead, and zinc in precipitation samples by inductively coupled plasma atomic emission Spectrometry (ICP) and by atomic absorption spectrometry with graphite furnace atomization (AAGF) have been evaluated. This task was conducted in conjunction with a longterm study of precipitation chemistry at high altitude sites located in remote areas of the southwestern United States. Coefficients of variation and recovery values were determined for a standard reference water sample for all metals examined for both techniques. At concentration levels less than 10 micrograms per liter AAGF analyses exhibited better precision and accuracy than ICP. Both methods appear to offer the potential for cost-effective analysis of trace metal ions in precipitation. ?? 1987 Springer-Verlag.