Sample records for absolute solar euv

  1. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    NASA Technical Reports Server (NTRS)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  2. A Change in the Solar He II EUV Global Network Structure as an Indicator of the Geo-Effectiveness of Solar Minima

    NASA Technical Reports Server (NTRS)

    Didkovsky, L.; Gurman, J. B.

    2013-01-01

    Solar activity during 2007 - 2009 was very low, causing anomalously low thermospheric density. A comparison of solar extreme ultraviolet (EUV) irradiance in the He II spectral band (26 to 34 nm) from the Solar Extreme ultraviolet Monitor (SEM), one of instruments on the Charge Element and Isotope Analysis System (CELIAS) on board the Solar and Heliospheric Observatory (SOHO) for the two latest solar minima showed a decrease of the absolute irradiance of about 15 +/- 6 % during the solar minimum between Cycles 23 and 24 compared with the Cycle 22/23 minimum when a yearly running-mean filter was used. We found that some local, shorter-term minima including those with the same absolute EUV flux in the SEM spectral band show a higher concentration of spatial power in the global network structure from the 30.4 nm SOHO/Extreme ultraviolet Imaging Telescope (EIT) images for the local minimum of 1996 compared with the minima of 2008 - 2011.We interpret this higher concentration of spatial power in the transition region's global network structure as a larger number of larger-area features on the solar disk. These changes in the global network structure during solar minima may characterize, in part, the geo-effectiveness of the solar He II EUV irradiance in addition to the estimations based on its absolute levels.

  3. Solar EUV Irradiance Measurements by the Auto-Calibrating EUV Spectrometers (SolACES) Aboard the International Space Station (ISS)

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Nikutowski, B.; Jacobi, C.; Brunner, R.; Erhardt, C.; Knecht, S.; Scherle, J.; Schlagenhauf, J.

    2014-05-01

    SolACES is part of the ESA SOLAR ISS mission that started aboard the shuttle mission STS-122 on 7 February 2008. The instrument has recorded solar extreme ultraviolet (EUV) irradiance from 16 to 150 nm during the extended solar activity minimum and the beginning solar cycle 24 with rising solar activity and increasingly changing spectral composition. The SOLAR mission has been extended from a period of 18 months to > 8 years until the end of 2016. SolACES is operating three grazing incidence planar grating spectrometers and two three-current ionization chambers. The latter ones are considered as primary radiometric detector standards. Re-filling the ionization chambers with three different gases repeatedly and using overlapping band-pass filters, the absolute EUV fluxes are derived in these spectral intervals. This way the serious problem of continuing efficiency changes in space-borne instrumentation is overcome during the mission. Evaluating the three currents of the ionization chambers, the overlapping spectral ranges of the spectrometers and of the filters plus inter-comparing the results from the EUV photon absorption in the gases with different absorption cross sections, there are manifold instrumental possibilities to cross-check the results providing a high degree of reliability to the spectral irradiance derived. During the mission a very strong up-and-down variability of the spectrometric efficiency by orders of magnitude is observed. One of the effects involved is channeltron degradation. However, there are still open questions on other effects contributing to these changes. A survey of the measurements carried out and first results of the solar spectral irradiance (SSI) data are presented. Inter-comparison with EUV data from other space missions shows good agreement such that the international effort has started to elaborate a complete set of EUV-SSI data taking into account all data available from 2008 to 2013.

  4. Ionospheric Change and Solar EUV Irradiance

    NASA Astrophysics Data System (ADS)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  5. Solar EUV irradiance for space weather applications

    NASA Astrophysics Data System (ADS)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  6. Reconstruction of Solar EUV Flux 1740-2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, L.

    2015-12-01

    Solar Extreme Ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo ionization of molecular Oxygen. Solar heating of the ionosphere creates thermal winds which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and sets with the Sun and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us the deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the 'Magnetic Crusade' of the 1830s and less reliable, but still usable, data are available for portions of the hundred years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F10.7 flux and the sunspot number, and find that the reconstructed EUV flux reproduces the F10.7 flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant 'solar magnetic ground state'.

  7. Inter-Comparison between July 24, 2014 EUV Data from NASA Sounding Rocket 36.289 and Concurrent Measurements from Orbital Solar Observatories

    NASA Astrophysics Data System (ADS)

    Didkovsky, L. V.; Wieman, S. R.; Judge, D. L.

    2014-12-01

    Sounding rocket mission NASA 36.289 Didkovsky provided solar EUV irradiance measurements from four instruments built at the USC Space Sciences Center: the Rare Gas Ionization Cell (RGIC), the Solar Extreme ultraviolet Monitor (SEM), the Dual Grating Spectrometer (DGS), and the Optics-Free Spectrometer (OFS), thus meeting the mission comprehensive success criteria. These sounding rocket data allow us to inter-compare the observed absolute EUV irradiance with the data taken at the same time from the SOHO and SDO solar observatories. The sounding rocket data from the two degradation-free instruments (DGS and OFS) can be used to verify the degradation rates of SOHO and SDO EUV channels and serve as a flight-proven prototypes for future improvements of degradation-free instrumentation for solar physics.

  8. Topside Ionospheric Response to Solar EUV Variability

    NASA Astrophysics Data System (ADS)

    Anderson, P. C.; Hawkins, J.

    2015-12-01

    We present an analysis of 23 years of thermal plasma measurements in the topside ionosphere from several DMSP spacecraft at ~800 km. The solar cycle variations of the daily averaged densities, temperatures, and H+/O+ ratios show a strong relationship to the solar EUV as described by the E10.7 solar EUV proxy with cross-correlation coefficients (CCCs) with the density greater than 0.85. The H+/O+ varies dramatically from solar maximum when it is O+ dominated to solar minimum when it is H+ dominated. These ionospheric parameters also vary strongly with season, particularly at latitudes well away from the equator where the solar zenith angle (SZA) varies greatly with season. There are strong 27-day solar rotation periodicities in the density, associated with the periodicities in the solar EUV as measured by the TIMED SEE and SDO EVE instruments, with CCCs at times greater than 0.9 at selected wavelengths. Empirical Orthogonal Function (EOF) analysis captures over 95% of the variation in the density over the 23 years in the first two principle components. The first principle component (PC1) is clearly associated with the solar EUV showing a 0.91 CCC with the E10.7 proxy while the PC1 EOFs remain relatively constant with latitude indicating that the solar EUV effects are relatively independent of latitude. The second principle component (PC2) is clearly associated with the SZA variation, showing strong correlations with the SZA and the concomitant density variations at latitudes away from the equator and with the PC2 EOFs having magnitudes near zero at the equator and maximum at high latitude. The magnitude of the variation of the response of the topside ionosphere to solar EUV variability is shown to be closely related to the composition. This is interpreted as the result of the effect of composition on the scale height in the topside ionosphere and the "pivot effect" in which the variation in density near the F2 peak is expected to be amplified by a factor of e at an

  9. Continued Analysis of EUVE Solar System Observations

    NASA Technical Reports Server (NTRS)

    Gladstone, G. Randall

    2001-01-01

    This is the final report for this project. We proposed to continue our work on extracting important results from the EUVE (Extreme UltraViolet Explorer) archive of lunar and jovian system observations. In particular, we planned to: (1) produce several monochromatic images of the Moon at the wavelengths of the brightest solar EUV emission lines; (2) search for evidence of soft X-ray emissions from the Moon and/or X-ray fluorescence at specific EUV wavelengths; (3) search for localized EUV and soft X-ray emissions associated with each of the Galilean satellites; (4) search for correlations between localized Io Plasma Torus (IPT) brightness and volcanic activity on Io; (5) search for soft X-ray emissions from Jupiter; and (6) determine the long term variability of He 58.4 nm emissions from Jupiter, and relate these to solar variability. However, the ADP review panel suggested that the work concentrate on the Jupiter/IPT observations, and provided half the requested funding. Thus we have performed no work on the first two tasks, and instead concentrated on the last three. In addition we used funds from this project to support reduction and analysis of EUVE observations of Venus. While this was not part of the original statement of work, it is entirely in keeping with extracting important results from EUVE solar system observations.

  10. Mars Thermospheric Temperature Sensitivity to Solar EUV Forcing from the MAVEN EUV Monitor

    NASA Astrophysics Data System (ADS)

    Thiemann, Ed; Eparvier, Francis; Andersson, Laila; Pilinski, Marcin; Chamberlin, Phillip; Fowler, Christopher; MAVEN Extreme Ultraviolet Monitor Team, MAVEN Langmuir Probe and Waves Team

    2017-10-01

    Solar extreme ultraviolet (EUV) radiation is the primary heat source for the Mars thermosphere, and the primary source of long-term temperature variability. The Mars obliquity, dust cycle, tides and waves also drive thermospheric temperature variability; and it is important to quantify the role of each in order to understand processes in the upper atmosphere today and, ultimately, the evolution of Mars climate over time. Although EUV radiation is the dominant heating mechanism, accurately measuring the thermospheric temperature sensitivity to EUV forcing has remained elusive, in part, because Mars thermospheric temperature varies dramatically with latitude and local time (LT), ranging from 150K on the nightside to 300K on the dayside. It follows that studies of thermospheric variability must control for location.Instruments onboard the Mars Atmosphere and Volatile EvolutioN (MAVEN) orbiter have begun to characterize thermospheric temperature sensitivity to EUV forcing. Bougher et al. [2017] used measurements from the Imaging Ultraviolet Spectrograph (IUVS) and the Neutral Gas and Ion Mass Spectrometer (NGIMS) to characterize solar activity trends in the thermosphere with some success. However, aside from restricting measurements to solar zenith angles (SZAs) below 75 degrees, they were unable to control for latitude and LT because repeat-track observations from either instrument were limited or unavailable.The MAVEN EUV Monitor (EUVM) has recently demonstrated the capability to measure thermospheric density from 100 to 200 km with solar occultations of its 17-22 nm channel. These new density measurements are ideal for tracking the long-term thermospheric temperature variability because they are inherently constrained to either 06:00 or 18:00 LT, and the orbit has precessed to include a range of ecliptic latitudes, a number of which have been revisited multiple times over 2.5 years. In this study we present, for the first-time, measurements of thermospheric

  11. Solar Demon: near real-time Flare, Dimming and EUV wave monitoring

    NASA Astrophysics Data System (ADS)

    Kraaikamp, Emil; Verbeeck, Cis

    Dimmings and EUV waves have been observed routinely in EUV images since 1996. They are closely associated with coronal mass ejections (CMEs), and therefore provide useful information for early space weather alerts. On the one hand, automatic detection and characterization of dimmings and EUV waves can be used to gain better understanding of the underlying physical mechanisms. On the other hand, every dimming and EUV wave provides extra information on the associated front side CME, and can improve estimates of the geo-effectiveness and arrival time of the CME. Solar Demon has been designed to detect and characterize dimmings, EUV waves, as well as solar flares in near real-time on Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) data. The detection modules are running continuously at the Royal Observatory of Belgium on both quick-look data, as well as synoptic science data. The output of Solar Demon can be accessed in near real-time on the Solar Demon website, and includes images, movies, light curves, and the numerical evolution of several parameters. Solar Demon is the result of collaboration between the FP7 projects AFFECTS and COMESEP. Flare detections of Solar Demon are integrated into the COMESEP alert system. Here we present the Solar Demon detection algorithms and their output. We will show several interesting flare, dimming and EUV wave events, and present general statistics of the detections made so far during solar cycle 24.

  12. Degradation-Free Spectrometers for Solar EUV Measurements: A Progress Report

    NASA Astrophysics Data System (ADS)

    Wieman, S. R.; Judge, D. L.; Didkovsky, L. V.

    2009-12-01

    Solar EUV observations will be made using two new degradation-free EUV spectrometers on a sounding rocket flight scheduled for Summer 2010. The two instruments, a rare gas photoionization-based Optics-Free Spectrometer (OFS) and a Dual Grating Spectrometer (DGS), are filter-free and optics-free. OFS can measure the solar EUV spectrum with a spectral resolution comparable to that of grating-based EUV spectrometers. The DGS is designed to provide solar irradiance at Lyman-alpha and He II to overlap EUV observations from SOHO/SEM and SDO/EVE. Electronic and mechanical designs for the flight prototype instruments and results of tests performed with the instruments in the laboratory are reported. The spectrometers are being developed and demonstrated as part of the Degradation Free Spectrometers (DFS) project under NASA’s Low Cost Access to Space (LCAS) program and are supported by NASA Grant NNX08BA12G.

  13. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    NASA Astrophysics Data System (ADS)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  14. Studies of Solar EUV Irradiance from SOHO

    NASA Technical Reports Server (NTRS)

    Floyd, Linton

    2002-01-01

    The Extreme Ultraviolet (EUV) irradiance central and first order channel time series (COC and FOC) from the Solar EUV Monitor aboard the Solar and Heliospheric observatory (SOHO) issued in early 2002 covering the time period 1/1/96-31/1201 were analyzed in terms of other solar measurements and indices. A significant solar proton effect in the first order irradiance was found and characterized. When this effect is removed, the two irradiance time series are almost perfectly correlated. Earlier studies have shown good correlation between the FOC and the Hall core-to-wing ratio and likewise, it was the strongest component of the COC. Analysis of the FOC showed dependence on the F10.7 radio flux. Analysis of the CDC signals showed additional dependences on F10.7 and the GOES x-ray fluxes. The SEM FOC was also well correlated with thein 30.4 nm channel of the SOHO EUV Imaging Telescope (EIT). The irradiance derived from all four EIT channels (30.4 nm, 17.1 nm, 28.4 nm, and 19.5 nm) showed better correlation with MgII than F10.7.

  15. Temporal variations of solar EUV, UV, and 10,830-A radiations

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Hinteregger, H. E.; Heath, D. F.

    1986-01-01

    The temporal characteristics of the full-disk chromospheric EUV fluxes agree well with those of the ground-based measurements of the chromospheric He I absorption line at 10,830 A and differ systematically from those of the coronal EUV and 10.7-cm flux. The ratio of the flux increase during the rise of solar cycle 21 to that during solar rotation variations is uniformly high for the chromospheric EUV and corroborating 10,830-A fluxes, highest for the transition region and 'cool' coronal EUV fluxes (T less than 2 x 10 to the 6th K), and lowest for the 'hot' coronal EUV and 10.7-cm flux. The rise and decay rates of episodes of major activity progress from those for the hot coronal EUV lines and the 10.7-cm flux to slower values for the chromospheric H Lyman alpha line, 10,830-A line, and photospheric 2050-A UV flux. It is suggested that active region remnants contribute significantly to the solar cycle increase and during the decay of episodes of major activity. The ratio of power in 13-day periodicity to that for 27 days in high (1/3) for the photospheric UV flux, medium (1/6) for the chromospheric EUV and 10,830-A fluxes, and small to negligible for the hot coronal EUV fluxes. These ratios are used to estimate the dependence of active region emission on the solar central meridian distance for chromospheric and coronal EUV flux.

  16. Solar EUV irradiance from the San Marco ASSI - A reference spectrum

    NASA Technical Reports Server (NTRS)

    Schmidtke, Gerhard; Woods, Thomas N.; Worden, John; Rottman, Gary J.; Doll, Harry; Wita, Claus; Solomon, Stanley C.

    1992-01-01

    The only satellite measurement of the solar EUV irradiance during solar cycle 22 has been obtained with the Airglow Solar Spectrometer Instrument (ASSI) aboard the San Marco 5 satellite flown in 1988. The ASSI in-flight calibration parameters are established by using the internal capabilities of ASSI and by comparing ASSI results to the results from other space-based experiments on the ASSI calibration rocket and the Solar Mesospheric Explorer (SME). A solar EUV irradiance spectrum derived from ASSI observations on November 10, 1988 is presented as a reference spectrum for moderate solar activity for the aeronomy community. This ASSI spectrum should be considered as a refinement and extension of the solar EUV spectrum published for the same day by Woods and Rottman (1990).

  17. Laboratory Studies in UV and EUV Solar Physics

    NASA Technical Reports Server (NTRS)

    Parkinson, William

    2003-01-01

    The Ion Beam Experiment at the Center for Astrophysics is dedicated to the study of ion-electron collision processes of importance in solar physics. A paper describing our most recent measurement 'Absolute cross section for Si(2+)(3s3p(sup 3)Rho (sup 0) yields 3s3p(sup 1)Rho(sup 0)) electron-impact excitation' was published during the past year. Dr. Paul Janzen received his PhD. from the Harvard Physics Department on the basis of this and other work, such as the new electron cyclotron resonance (ECR) ion source. The ion source is producing stable beams with large currents for our present work on C(2+), and it also produces stable beams with large currents of more highly charged systems, for future work on systems such as O(4+). The past year has been focussed on our current program to measure absolute cross sections for Electron Impact Excitation (EIE) in C(2+), one of the primary ions used for probing the solar transition region. C(2+) beams produced by the ion source have been transported to the interaction region of the experiment, where the collisions are studied, and Visiting Scientist Dr. Adrian Daw is currently collecting data to measure the C(2+)(2s2p(sup 3)Rho(sup 0) yields 2p(sup 2)(sup 3)Rho) EIE cross section as a function of collision energy, under the guidance of Drs. John Kohl, Larry Gardner and Bill Parkinson. Also this year, modifications were made to the ECR ion source in order to produce greater currents of highly charged ions. Testing of the ion source was completed. Modifications were designed to extend the photon detection capabilities of the apparatus to shorter UV wavelengths, or EUV. Following the work on C(2+)(2s2p(sup 3)Pho(sup 0) yields 2p(sup 2)(sup 3)Rho), the extended UV detection capabilities will be used to measure the C(2+)(2s(sup 2)(sup 1)S yields 2s2p(sup 1)Rho(sup 0)) EIE cross section. The EUV modifications complement those of the new ion source, by enabling detection of EUV light generated by high charge state ions and putting

  18. Measuring Solar Doppler Velocities in the He II 30.38 nm Emission Using the EUV Variability Experiment (EVE)

    NASA Technical Reports Server (NTRS)

    Chamberlin, Phillip Clyde

    2016-01-01

    The EUV Variability Experiment (EVE) onboard the Solar Dynamics Observatory has provided unprecedented measurements of the solar EUV irradiance at high temporal cadence with good spectral resolution and range since May 2010. The main purpose of EVE was to connect the Sun to the Earth by providing measurements of the EUV irradianceas a driver for space weather and Living With a Star studies, but after launch the instrument has demonstrated the significance of its measurements in contributing to studies looking at the sources of solar variability for pure solar physics purposes. This paper expands upon previous findings that EVE can in fact measure wavelength shifts during solar eruptive events and therefore provide Doppler velocities for plasma at all temperatures throughout the solar atmosphere from the chromosphere to hot flaring temperatures. This process is not straightforward as EVE was not designed or optimized for these types of measurements. In this paper we describe the many detailed instrumental characterizations needed to eliminate the optical effects in order to provide an absolute baseline for the Doppler shift studies. An example is given of a solar eruption on 7 September 2011 (SOL2011-09-07), associated with an X1.2 flare, where EVE Doppler analysis shows plasma ejected from the Sun in the He II 30.38 nm emission at a velocity of almost 120 km s(exp -1) along the line-of-sight.

  19. Distinct EUV minimum of the solar irradiance (16-40 nm) observed by SolACES spectrometers onboard the International Space Station (ISS) in August/September 2009

    NASA Astrophysics Data System (ADS)

    Nikutowski, B.; Brunner, R.; Erhardt, Ch.; Knecht, St.; Schmidtke, G.

    2011-09-01

    In the field of terrestrial climatology the continuous monitoring of the solar irradiance with highest possible accuracy is an important goal. SolACES as a part of the ESA mission SOLAR on the ISS is measuring the short-wavelength solar EUV irradiance from 16-150 nm. This data will be made available to the scientific community to investigate the impact of the solar irradiance variability on the Earth's climate as well as the thermospheric/ionospheric interactions that are pursued in the TIGER program. Since the successful launch with the shuttle mission STS-122 on February 7th, 2008, SolACES initially recorded the low EUV irradiance during the extended solar activity minimum. Thereafter it has been observing the EUV irradiance during the increasing solar activity with enhanced intensity and changing spectral composition. SolACES consists of three grazing incidence planar grating spectrometers. In addition there are two three-signal ionisation chambers, each with exchangeable band-pass filters to determine the absolute EUV fluxes repeatedly during the mission. One important problem of space-borne instrumentation recording the solar EUV irradiance is the degradation of the spectrometer sensitivity. The two double ionisation chambers of SolACES, which could be re-filled with three different gases for each recording, allow the recalibration of the efficiencies of the three SolACES spectrometers from time to time.

  20. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  1. The EUV Emission in Comet-Solar Corona Interactions

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William Dean; Schrijver, Carolus J.; Brown, John C.; Battams, Karl; Saint-Hilaire, Pasal; Liu, Wei; Hudson, Hugh S.

    2011-01-01

    The Atmospheric Imaging Assembly (AlA) on the Solar Dynamics Observatory (SDO) viewed a comet as it passed through the solar corona on 2011 July 5. This was the first sighting of a comet by a EUV telescope. For 20 minutes, enhanced emission in several of the AlA wavelength bands marked the path of the comet. We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Water ice in the comet rapidly sublimates as it approaches the Sun. This water vapor is then photodissociated, primarily by Ly-alpha, by the solar radiation field to create atomic Hand O. Other molecules present in the comet also evaporate and dissociate to give atomic Fe and other metals. Subsequent ionization of these atoms can be achieved by a number of means, including photoionization, electron impact, and charge exchange with coronal protons and other highly-charged species. Finally, particles from the cometary atmosphere are thermalized to the background temperature of the corona. Each step could cause emission in the AlA bandpasses. We will report here on their relative contribution to the emission seen in the AlA telescopes.

  2. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  3. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2003-01-01

    It is a particular challenge to develop a stigmatic spectrograph for UV, EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both reimaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar extreme ultraviolet (EUV) spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets Solar Extreme ultraviolet Research Telescope and Spectrograph (SERTS) and Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS). More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  4. EUV mirror based absolute incident flux detector

    DOEpatents

    Berger, Kurt W.

    2004-03-23

    A device for the in-situ monitoring of EUV radiation flux includes an integrated reflective multilayer stack. This device operates on the principle that a finite amount of in-band EUV radiation is transmitted through the entire multilayer stack. This device offers improvements over existing vacuum photo-detector devices since its calibration does not change with surface contamination.

  5. Slowly varying component of extreme ultraviolet solar radiation and its relation to solar radio radiation

    NASA Technical Reports Server (NTRS)

    Chapman, R. D.; Neupert, W. M.

    1974-01-01

    A study of the correlations between solar EUV line fluxes and solar radio fluxes has been carried out. A calibration for the Goddard Space Flight Center EUV spectrum is suggested. The results are used to obtain an equation for the absolute EUV flux for several lines in the 150- to 400-A region and the total flux of 81 intense lines in the region, the 2800-MHz radio flux being used as independent variable.

  6. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    NASA Astrophysics Data System (ADS)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  7. Designing a Small-Sized Engineering Model of Solar EUV Telescopr for a Korean Satellite

    NASA Astrophysics Data System (ADS)

    Han, Jung-Hoon; Jang, Min-Hwan; Kim, Sang-Joon

    2001-11-01

    For the research of solar EUV (extreme ultraviolet) radiation, we have designed a small-sized engineering model of solar EUV telescope, which is suitable for a Korean satellite. The EUV solar telescope was designed to observe the sun at 584.3Å (He¥°) and 629.7Å (O¥´). The optical system is an f/8 Ritchey-Chrètien, and the effective diameter and focal length are 80§® and 640§®, respectively. The He¥°and O¥´ filters are loaded in a filter wheel. In the detection part, the MCP (MicroChannel Plate) type is Z-stack, and the channel-to-diameter ratio is 40:1. MCP and CCD are connected by fiber optic taper. A commercial optical design software is used for the analysis of the optical system design.

  8. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Astrophysics Data System (ADS)

    Thomas, R. J.

    2003-05-01

    It is a particular challenge to develop a stigmatic spectrograph for UV/EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both re-imaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar EUV spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets SERTS and EUNIS. More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  9. A rare gas optics-free absolute photon flux and energy analyzer for solar and planetary observations

    NASA Technical Reports Server (NTRS)

    Judge, Darrell L.

    1994-01-01

    We have developed a prototype spectrometer for space applications requiring long term absolute EUV photon flux measurements. In this recently developed spectrometer, the energy spectrum of the incoming photons is transformed directly into an electron energy spectrum by taking advantage of the photoelectric effect in one of several rare gases at low pressures. Using an electron energy spectrometer, followed by an electron multiplier detector, pulses due to individual electrons are counted. The overall efficiency of this process can be made essentially independent of gain drifts in the signal path, and the secular degradation of optical components which is often a problem in other techniques is avoided. A very important feature of this approach is its freedom from the problem of overlapping spectral orders that plagues grating EUV spectrometers. An instrument with these features has not been flown before, but is essential to further advances in our understanding of solar EUV flux dynamics, and the coupled dynamics of terrestrial and planetary atmospheres. The detailed characteristics of this optics-free spectrometer are presented in the publications section.

  10. TIMED/GUVI Observations of Aurora, Ionosphere, Thermosphere and Solar EUV Variations

    NASA Astrophysics Data System (ADS)

    Zhang, Y.; Paxton, L. J.; Schaefer, R. K.

    2017-12-01

    The FUV (100-200 nm) emissions from the ionosphere and thermosphere carry rich information of the density and composition of the IT system, aurora and solar EUV flux. The key emissions include atomic hydrogen line (121.6nm), atomic oxygen lines (e.g. 130.4, 135.6, 164.1 nm), atomic nitrogen lines (e.g. 120.0, 149.3, 174.3 nm), molecular nitrogen bands (LBH and VK bands) and nitric oxide ɛ bands. TIMED/GUVI data cover the nearly full FUV range and generate many space weather products (ionosphere, thermosphere, aurora and solar EUV) that extend the products from other missions (such as NASA GOLD and ICON) and help to solve some of MIT (Magnetosphere-Ionosphere-Thermosphere) science problems and serve as validation data sources for models.

  11. EUV Cross-Calibration Strategies for the GOES-R SUVI

    NASA Astrophysics Data System (ADS)

    Darnel, Jonathan; Seaton, Daniel

    2016-10-01

    The challenges of maintaining calibration for solar EUV instrumentation is well-known. The lack of standard calibration sources and the fact that most solar EUV telescopes are incapable of utilizing bright astronomical EUV sources for calibration make knowledge of instrument performance quite difficult. In the recent past, calibration rocket underflights have helped establish a calibration baseline. The EVE instrument on SDO for a time provided well-calibrated, high spectral resolution solar spectra for a broad range of the EUV, but has suffered a loss of coverage at the shorter wavelengths. NOAA's Solar UltraViolet Imager (SUVI), a solar EUV imager with similarities to SDO/AIA, will provide solar imagery over nearly an entire solar cycle. In order to maintain the scientific value of the SUVI's dataset, novel approaches to calibration are necessary. Here we demonstrate a suite of methods to cross-calibrate SUVI against other solar EUV instruments through the use of proxy solar spectra.

  12. The Absolute Abundance of Iron in the Solar Corona.

    PubMed

    White; Thomas; Brosius; Kundu

    2000-05-10

    We present a measurement of the abundance of Fe relative to H in the solar corona using a technique that differs from previous spectroscopic and solar wind measurements. Our method combines EUV line data from the Coronal Diagnostic Spectrometer (CDS) on the Solar and Heliospheric Observatory with thermal bremsstrahlung radio data from the VLA. The coronal Fe abundance is derived by equating the thermal bremsstrahlung radio emission calculated from the EUV Fe line data to that observed with the VLA, treating the Fe/H abundance as the sole unknown. We apply this technique to a compact cool active region and find Fe&solm0;H=1.56x10-4, or about 4 times its value in the solar photosphere. Uncertainties in the CDS radiometric calibration, the VLA intensity measurements, the atomic parameters, and the assumptions made in the spectral analysis yield net uncertainties of approximately 20%. This result implies that low first ionization potential elements such as Fe are enhanced in the solar corona relative to photospheric values.

  13. Effect of the Solar UV/EUV Heating on the Intensity and Spatial Distribution of Jupiter's Synchrotron Radiation

    NASA Astrophysics Data System (ADS)

    Kita, Hajime; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2012-10-01

    Jupiter's synchrotron radiation (JSR) is the emission from relativistic electrons, and it is the most effective probe for remote sensing of Jupiter's radiation belt from the Earth. Recent observations reveal short term variations of JSR with the time scale of days to weeks. Brice and McDonough (1973) proposed that the solar UV/EUV heating for Jupiter's upper atmosphere causes enhancement of total flux density. If such a process occurs at Jupiter, it is also expected that diurnal wind system produces dawn-dusk asymmetry of the JSR brightness distribution. Preceding studies confirmed that the short term variations in total flux density correspond to the solar UV/EUV. However, the effect of solar UV/EUV heating on the brightness distribution has not been confirmed. Hence, the purpose of this study is to confirm the solar UV/EUV heating effect on total flux density and brightness distribution. We made radio imaging analysis using the National Radio Astronomy Observatory (NRAO) archived data of the Very Large Array (VLA) obtained in 2000, and following results were shown. 1, Total flux density varied corresponding to the solar UV/EUV. 2, Dawn side emission was brighter than dusk side emission almost every day. 3, Variations of the dawn-dusk asymmetry did not correspond to the solar UV/EUV. In order to explain the second result, we estimate the diurnal wind velocity from the observed dawn-dusk ratio by using the model brightness distribution of JSR. Estimated neutral wind velocity is 46+/-11 m/s, which reasonably corresponds to the numerical simulation of Jupiter's upper atmosphere. In order to explain the third result, we examined the effect of the global convection electric field driven by tailward outflow of plasma in Jupiter's magnetosphere. As the result, it is suggested that typical fluctuation of the convection electric field strength was enough to cause the observed variations of the dawn-dusk asymmetry.

  14. Investigation of the solar UV/EUV heating effect on the Jovian radiation belt by GMRT-IRTF observation

    NASA Astrophysics Data System (ADS)

    Kita, H.; Misawa, H.; Bhardwaj, A.; Tsuchiya, F.; Tao, C.; Uno, T.; Kondo, T.; Morioka, A.

    2012-12-01

    Jupiter's synchrotron radiation (JSR) is the emission from relativistic electrons, and it is the most effective probe for remote sensing of Jupiter's radiation belt from the Earth. Recent intensive observations of JSR revealed short term variations of JSR with the time scale of days to weeks. Brice and McDonough (1973) proposed a scenario for the short term variations; i.e, the solar UV/EUV heating for Jupiter's upper atmosphere causes enhancement of total flux density. The purpose of this study is to investigate whether sufficient solar UV/EUV heating in Jupiter's upper atmosphere can actually causes variation in the JSR total flux and brightness distribution. Previous JSR observations using the Giant Metrewave Radio Telescope (GMRT) suggested important characteristics of short term variations; relatively low energy particles are accelerated by some acceleration processes which might be driven by solar UV/EUV heating and/or Jupiter's own magnetic activities. In order to evaluate the effect of solar UV/EUV heating on JSR variations, we made coordinated observations using the GMRT and NASA Infra-Red Telescope Facility (IRTF). By using IRTF, we can estimate the temperature of Jupiter's upper atmosphere from spectroscopic observation of H_3^+ infrared emission. Hence, we can evaluate the relationship between variations in Jupiter's upper atmosphere initiated by the solar UV/EUV heating and its linkage with the JSR. The GMRT observations were made during Nov. 6-17, 2011 at the frequency of 235/610MHz. The H_3^+ 3.953 micron line was observed using the IRTF during Nov. 7-12, 2011. During the observation period, the solar UV/EUV flux variations expected on Jupiter showed monotonic increase. A preliminary analysis of GMRT 610MHz band showed a radio flux variation similar to that in the solar UV/EUV. Radio images showed that the emission intensity increased at the outer region and the position of equatorial peak emission moved in the outward direction. If radial diffusion

  15. Multi-Spectral Solar Telescope Array. II - Soft X-ray/EUV reflectivity of the multilayer mirrors

    NASA Technical Reports Server (NTRS)

    Barbee, Troy W., Jr.; Weed, J. W.; Hoover, Richard B.; Allen, Maxwell J.; Lindblom, Joakim F.; O'Neal, Ray H.; Kankelborg, Charles C.; Deforest, Craig E.; Paris, Elizabeth S.; Walker, Arthur B. C., Jr.

    1991-01-01

    The Multispectral Solar Telescope Array is a rocket-borne observatory which encompasses seven compact soft X-ray/EUV, multilayer-coated, and two compact far-UV, interference film-coated, Cassegrain and Ritchey-Chretien telescopes. Extensive measurements are presented on the efficiency and spectral bandpass of the X-ray/EUV telescopes. Attention is given to systematic errors and measurement errors.

  16. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    NASA Astrophysics Data System (ADS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  17. Relationship between hard X-ray and EUV sources in solar flares

    NASA Technical Reports Server (NTRS)

    Kane, S. R.; Frost, K. J.; Donnelly, R. F.

    1979-01-01

    The high time resolution hard X-ray (not less than 15 keV) observations of medium and large impulsive solar flares made with the OSO 5 satellite are compared with the simultaneous ground-based observations of 10-1030 A EUV flux made via sudden frequency deviations (SFD) at Boulder. For most flares the agreement between the times of maxima of the impulsive hard X-ray and EUV emissions is found to be consistent with earlier studies (not less than 1 s). The rise and decay times of the EUV emission are larger than the corresponding times for X-rays not less than 30 keV. When OSO 5 hard X-ray measurements are combined with those made by OGO1, OGO 3, OGO 5, and TD 1A satellites, it is found that there is a nearly linear relationship between the energy fluxes of impulsive EUV emission and X-rays not less than 10 keV over a wide range of flare magnitudes. A model involving only a 'partial precipitation' of energetic electrons and consisting of both thick and thin target hard X-ray sources is examined.

  18. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Huadong; Zhang, Jun; Ma, Suli

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearbymore » coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.« less

  19. EUV Solar Instrument Development at the Marshall Space Flight Center

    NASA Astrophysics Data System (ADS)

    Kobayashi, K.; Cirtain, J. W.; Davis, J. M.; West, E.; Golub, L.; Korreck, K. E.; Tsuneta, S.; Bando, T.

    2009-12-01

    The three sounding rocket instrument programs currently underway at the NASA Marshall Space Flight Center represent major advances in solar observations, made possible by improvements in EUV optics and detector technology. The Solar Ultraviolet Magnetograph Instrument (SUMI) is an EUV spectropolarimeter designed to measure the Zeeman splitting of two chromospheric EUV lines, the 280 nm MgII and 155 nm CIV lines. SUMI directly observes the magnetic field in the low-beta region where most energetic phenomena are though to originate. In conjunction with visible-light magnetographs, this observation allows us to track the evolution of the magnetic field as it evolves from the photosphere to the upper chromosphere. SUMI incorporates a normal incidence Cassegrain telescope, a MgF2 double-Wollaston polarizing beam splitter and two TVLS (toroidal varied line space) gratings, and is capable of observing two orthogonal polarizations in two wavelength bands simultaneously. SUMI has been fully assembled and tested, and currently scheduled for launch in summer of 2010. The High-resolution Coronal Imager is a normal-incidence EUV imaging telescope designed to achieve 0.2 arcsecond resolution, with a pixel size of 0.1 arcsecond. This is a factor of 25 improvement in aerial resolution over the Transition Region And Coronal Explorer (TRACE). Images obtained by TRACE indicate presence of unresolved structures; higher resolution images will reveal the scale and topology of structures that make up the corona. The telescope mirrors are currently being fabricated, and the instrument has been funded for flight. In addition, a Lyman alpha spectropolarimeter is under development in collaboration with the National Astronomical Observatory of Japan. This aims to detect the linear polarization in the chromosphere caused by the Hanle effect. Horizontal magnetic fields in the chromosphere are expected to be detectable as polarization near disk center, and off-limb observations will reveal the

  20. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    NASA Technical Reports Server (NTRS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  1. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    NASA Astrophysics Data System (ADS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  2. JPEG2000 Image Compression on Solar EUV Images

    NASA Astrophysics Data System (ADS)

    Fischer, Catherine E.; Müller, Daniel; De Moortel, Ineke

    2017-01-01

    For future solar missions as well as ground-based telescopes, efficient ways to return and process data have become increasingly important. Solar Orbiter, which is the next ESA/NASA mission to explore the Sun and the heliosphere, is a deep-space mission, which implies a limited telemetry rate that makes efficient onboard data compression a necessity to achieve the mission science goals. Missions like the Solar Dynamics Observatory (SDO) and future ground-based telescopes such as the Daniel K. Inouye Solar Telescope, on the other hand, face the challenge of making petabyte-sized solar data archives accessible to the solar community. New image compression standards address these challenges by implementing efficient and flexible compression algorithms that can be tailored to user requirements. We analyse solar images from the Atmospheric Imaging Assembly (AIA) instrument onboard SDO to study the effect of lossy JPEG2000 (from the Joint Photographic Experts Group 2000) image compression at different bitrates. To assess the quality of compressed images, we use the mean structural similarity (MSSIM) index as well as the widely used peak signal-to-noise ratio (PSNR) as metrics and compare the two in the context of solar EUV images. In addition, we perform tests to validate the scientific use of the lossily compressed images by analysing examples of an on-disc and off-limb coronal-loop oscillation time-series observed by AIA/SDO.

  3. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    NASA Astrophysics Data System (ADS)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  4. How calibration and reference spectra affect the accuracy of absolute soft X-ray solar irradiance measured by the SDO/EVE/ESP during high solar activity

    NASA Astrophysics Data System (ADS)

    Didkovsky, Leonid; Wieman, Seth; Woods, Thomas

    2016-10-01

    The Extreme ultraviolet Spectrophotometer (ESP), one of the channels of SDO's Extreme ultraviolet Variability Experiment (EVE), measures solar irradiance in several EUV and soft x-ray (SXR) bands isolated using thin-film filters and a transmission diffraction grating, and includes a quad-diode detector positioned at the grating zeroth-order to observe in a wavelength band from about 0.1 to 7.0 nm. The quad diode signal also includes some contribution from shorter wavelength in the grating's first-order and the ratio of zeroth-order to first-order signal depends on both source geometry, and spectral distribution. For example, radiometric calibration of the ESP zeroth-order at the NIST SURF BL-2 with a near-parallel beam provides a different zeroth-to-first-order ratio than modeled for solar observations. The relative influence of "uncalibrated" first-order irradiance during solar observations is a function of the solar spectral irradiance and the locations of large Active Regions or solar flares. We discuss how the "uncalibrated" first-order "solar" component and the use of variable solar reference spectra affect determination of absolute SXR irradiance which currently may be significantly overestimated during high solar activity.

  5. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    NASA Astrophysics Data System (ADS)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  6. The Development of a New Model of Solar EUV Irradiance Variability

    NASA Technical Reports Server (NTRS)

    Warren, Harry; Wagner, William J. (Technical Monitor)

    2002-01-01

    The goal of this research project is the development of a new model of solar EUV (Extreme Ultraviolet) irradiance variability. The model is based on combining differential emission measure distributions derived from spatially and spectrally resolved observations of active regions, coronal holes, and the quiet Sun with full-disk solar images. An initial version of this model was developed with earlier funding from NASA. The new version of the model developed with this research grant will incorporate observations from SoHO as well as updated compilations of atomic data. These improvements will make the model calculations much more accurate.

  7. Simultaneous retrieval of the solar EUV flux and neutral thermospheric O, O2, N2, and temperature from twilight airglow

    NASA Technical Reports Server (NTRS)

    Fennelly, J. A.; Torr, D. G.; Richards, P. G.; Torr, M. R.

    1994-01-01

    We present a method to retrieve neutral thermospheric composition and the solar EUV flux from ground-based twilight optical measurements of the O(+) ((exp 2)P) 7320 A and O((exp 1)D) 6300 A airglow emissions. The parameters retrieved are the neutral temperature, the O, O2, N2 density profiles, and a scaling factor for the solar EUV flux spectrum. The temperature, solar EUV flux scaling factor, and atomic oxygen density are first retrieved from the 7320-A emission, which are then used with the 6300-A emission to retrieve the O2 and N2 densities. The retrieval techniques have been verified by computer simulations. We have shown that the retrieval technique is able to statistically retrieve values, between 200 and 400 km, within an average error of 3.1 + or - 0.6% for thermospheric temperature, 3.3 + or - 2.0% for atomic oxygen, 2.3 + or - 1.3% for molecular oxygen, and 2.4 + or - 1.3% for molecular nitrogen. The solar EUV flux scaling factor was found to have a retrieval error of 5.1 + or - 2.3%. All the above errors have a confidence level of 95%. The purpose of this paper is to prove the viability and usefulness of the retrieval technique by demonstrating the ability to retrieve known quantities under a realistic simulation of the measurement process, excluding systematic effects.

  8. AWARE - The Automated EUV Wave Analysis and REduction algorithm

    NASA Astrophysics Data System (ADS)

    Ireland, J.; Inglis; A. R.; Shih, A. Y.; Christe, S.; Mumford, S.; Hayes, L. A.; Thompson, B. J.

    2016-10-01

    Extreme ultraviolet (EUV) waves are large-scale propagating disturbances observed in the solar corona, frequently associated with coronal mass ejections and flares. Since their discovery over two hundred papers discussing their properties, causes and physics have been published. However, their fundamental nature and the physics of their interactions with other solar phenomena are still not understood. To further the understanding of EUV waves, and their relation to other solar phenomena, we have constructed the Automated Wave Analysis and REduction (AWARE) algorithm for the detection of EUV waves over the full Sun. The AWARE algorithm is based on a novel image processing approach to isolating the bright wavefront of the EUV as it propagates across the corona. AWARE detects the presence of a wavefront, and measures the distance, velocity and acceleration of that wavefront across the Sun. Results from AWARE are compared to results from other algorithms for some well known EUV wave events. Suggestions are also give for further refinements to the basic algorithm presented here.

  9. Observations of X-ray and EUV fluxes during X-class solar flares and response of upper ionosphere

    NASA Astrophysics Data System (ADS)

    Mahajan, K. K.; Lodhi, Neelesh K.; Upadhayaya, Arun K.

    2010-12-01

    Most studies dealing with solar flare effects in the upper ionosphere, where ionization is caused by EUV photons, have been based upon X-ray fluxes measured by the SOLRAD and GOES series of satellites. To check the validity of such studies, we compare simultaneous observations of GOES X-ray fluxes and SOHO EUV fluxes for 10 X-class solar flares which occurred during the maximum phase of sunspot cycle 23. These include the greatest flare of 4 November 2003, the fourth greatest flare of 28 October 2003 and the 14 July 2000 Bastille Day flare. We find that the peak intensities of the X-ray and EUV fluxes for these flares are poorly correlated, and this poor correlation is again seen when larger data containing 70 X-class flares, which occurred during the period January 1996 to December 2006, are examined. However, this correlation improves vastly when the central meridian distance (CMD) of the flare location is taken into account. We also study the response of the upper ionosphere to these fluxes by using the midday total electron content (TEC), observed for these flares by Liu et al. (2006). We find that peak enhancement in TEC is highly correlated with peak enhancement in EUV flux. The correlation, though poor with the X-ray flux, improves greatly when the CMD of flare location is considered.

  10. Comparison of Helioseismic Far-Side Active Region Detections with STEREO Far-Side EUV Observations of Solar Activity

    NASA Astrophysics Data System (ADS)

    Liewer, P. C.; Qiu, J.; Lindsey, C.

    2017-10-01

    Seismic maps of the Sun's far hemisphere, computed from Doppler data from the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO) are now being used routinely to detect strong magnetic regions on the far side of the Sun (http://jsoc.stanford.edu/data/farside/). To test the reliability of this technique, the helioseismically inferred active region detections are compared with far-side observations of solar activity from the Solar TErrestrial RElations Observatory (STEREO), using brightness in extreme-ultraviolet light (EUV) as a proxy for magnetic fields. Two approaches are used to analyze nine months of STEREO and HMI data. In the first approach, we determine whether new large east-limb active regions are detected seismically on the far side before they appear Earth side and study how the detectability of these regions relates to their EUV intensity. We find that while there is a range of EUV intensities for which far-side regions may or may not be detected seismically, there appears to be an intensity level above which they are almost always detected and an intensity level below which they are never detected. In the second approach, we analyze concurrent extreme-ultraviolet and helioseismic far-side observations. We find that 100% (22) of the far-side seismic regions correspond to an extreme-ultraviolet plage; 95% of these either became a NOAA-designated magnetic region when reaching the east limb or were one before crossing to the far side. A low but significant correlation is found between the seismic signature strength and the EUV intensity of a far-side region.

  11. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    NASA Astrophysics Data System (ADS)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  12. EUVE/XTE orbit decay study

    NASA Technical Reports Server (NTRS)

    Richon, K.; Hashmall, J.; Lambertson, M.; Phillips, T.

    1988-01-01

    The Explorer Platform (EP) program currently comprises two missions, the Extreme Ultraviolet Explorer (EUVE) and the X-ray Timing Explorer (XTE), each of which consists of a scientific payload mounted to the EP. The EP has no orbit maintenance capability. The EP with the EUVE payload will be launched first. At the end of the EUVE mission, the spacecraft will be serviced by the Space Transportation System (STS), and the EUVE instrument will be exchanged for the XTE. The XTE mission will continue until reentry or reservicing by the STS. Because the missions will be using the EP sequentially, the orbit requirements are unusually constrained by orbit decay rates. The initial altitude must be selected so that, by the end of the EUVE mission (2.5 years), the spacecraft will have decayed to an altitude within the STS capabilities. In addition, the payload exchange must occur at an altitude that ensures meeting the minimum XTE mission lifetime (3 years) because no STS reboost will be available. Studies were performed using the Goddard Mission Analysis System to estimate the effects of mass, cross-sectional area, and solar flux on the fulfillment of mission requirements. In addition to results from these studies, conclusions are presented as to the accuracy of the Marshall Space Flight Center solar flux predictions.

  13. CCD imaging system for the EUV solar telescope

    NASA Astrophysics Data System (ADS)

    Gong, Yan; Song, Qian; Ye, Bing-Xun

    2006-01-01

    In order to develop the detector adapted to the space solar telescope, we have built a CCD camera system capable of working in the extra ultraviolet (EUV) band, which is composed of one phosphor screen, one intensified system using a photocathode/micro-channel plate(MCP)/ phosphor, one optical taper and one chip of front-illuminated (FI) CCD without screen windows. All of them were stuck one by one with optical glue. The working principle of the camera system is presented; moreover we have employed the mesh experiment to calibrate and test the CCD camera system in 15~24nm, the position resolution of about 19 μm is obtained at the wavelength of 17.1nm and 19.5nm.

  14. A rare gas optics-free absolute photon flux and energy analyzer to provide absolute photoionization rates of inflowing interstellar neutrals

    NASA Technical Reports Server (NTRS)

    Judge, Darrell L.

    1994-01-01

    A prototype spectrometer has been developed for space applications requiring long term absolute EUV photon flux measurements. The energy spectrum of the incoming photons is transformed directly into an electron energy spectrum by taking advantage of the photoelectric effect in one of several rare gases at low pressures. Using an electron energy spectrometer, followed by an electron multiplier detector, pulses due to individual electrons are counted. The overall efficiency of this process can be made essentially independent of gain drifts in the signal path, and the secular degradation of optical components which is often a problem in other techniques is avoided. A very important feature of this approach is its freedom from the problem of overlapping spectral orders that plagues grating EUV spectrometers. An instrument with these features has not been flown before, but is essential to further advances in our understanding of solar EUV flux dynamics, and the coupled dynamics of terrestrial and planetary atmospheres. The detailed characteristics of this optics-free spectrometer are presented in the publications section.

  15. TESIS experiment on EUV imaging spectroscopy of the Sun

    NASA Astrophysics Data System (ADS)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  16. Spherical EUV and Plasma Spectrometer (seps) -a Monitor to Measure the Plasma and EUV Environment in Space

    NASA Astrophysics Data System (ADS)

    Brunner, Raimund; Schmidtke, Gerhard; Konz, Werner; Pfeffer, Wilfried

    A low-cost monitor to measure the EUV and plasma environment in space is presented. The device consists of three (or more) isolated spheres, a metallic sphere, one or more highly trans-parent Inner Grids and Outer Grids. Each one is being connected to a sensitive floating elec-trometer. By setting different potentials to the grids as well as to the sphere and varying one or more of their voltages, measurements of spectral solar EUV irradiance (15-200 nm), of local plasma parameters such as electron and ion densities, electron energies and temperatures as well as ion compositions and debris events can be derived from the current recordings. This detector does not require any (solar) pointing device. The primary goal is to study the impact of solar activity events (e.g. CMEs) as well as subsequent reactions of the ionospheric/thermospheric systems (including space weather occurences). The capability of SEPS for measuring EUV pho-ton fluxes as well as plasma parameters in the energy range from 0 to +/-70 eV is demonstrated by laboratory measurements as performed in the IPM laboratory, at BESSY-PTB electron syn-chrotron in Berlin and at ESA/ESTEC plasma chamber. Based on the laboratory recording of plasma recombination EUV emission the sensor is suitable to detect also auroral and airglow radiations. -The state of the art in the development of this device is reported.

  17. Using Global Total Electron Content to Understand Interminimum Changes in Solar EUV Irradiance and Thermospheric Composition

    NASA Astrophysics Data System (ADS)

    McDonald, S. E.; Emmert, J. T.; Krall, J.; Mannucci, A. J.; Vergados, P.

    2017-12-01

    To understand how and why the distribution of geospace plasma in the ionosphere/plasmasphere is evolving over multi-decadal time scales in response to solar, heliospheric and atmospheric forcing, it is critically important to have long-term, stable datasets. In this study, we use a newly constructed dataset of GPS-based total electron content (TEC) developed by JPL. The JPL Global Ionosphere Mapping (GIM) algorithm was used to generate a 35-station dataset spanning two solar minimum periods (1993-2014). We also use altimeter-derived TEC measurements from TOPEX-Poseidon and Jason-1 to construct a continuous dataset for the 1995-2014 time period. Both longterm datasets are compared to each other to study interminimum changes in the global TEC (during 1995-1995 and 2008-2009). We use the SAMI3 physics-based model of the ionosphere to compare the simulations of 1995-2014 with the JPL TEC and TOPEX/Jason-1 datasets. To drive SAMI3, we use the Naval Research Laboratory Solar Spectral Irradiance (NRLSSI) model to specify the EUV irradiances, and NRLMSIS to specify the thermosphere. We adjust the EUV irradiances and thermospheric constituents to match the TEC datasets and draw conclusions regarding sources of the differences between the two solar minimum periods.

  18. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thompson, B. J.; Young, C. A., E-mail: barbara.j.thompson@nasa.gov

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using themore » following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.« less

  19. Persistence Mapping Using EUV Solar Imager Data

    NASA Technical Reports Server (NTRS)

    Thompson, B. J.; Young, C. A.

    2016-01-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call "Persistence Mapping," to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or "time-lapse" imaging uses the full sample (of size N ), Persistence Mapping rejects (N - 1)/N of the data set and identifies the most relevant 1/N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  20. Hemispherical Nature of EUV Shocks Revealed by SOHO, STEREO, and SDO Observations

    NASA Technical Reports Server (NTRS)

    Gopalswamy, Natchimuthuk; Nitta, N.; Akiyama, S.; Makela, P.; Yashiro, S.

    2011-01-01

    EUV wave transients associated with type II radio bursts are manifestation of CME-driven shocks in the solar corona. We use recent EUV wave observations from SOHO, STEREO, and SDO for a set of CMEs to show that the EUV transients have a spherical shape in the inner corona. We demonstrate this by showing that the radius of the EUV transient on the disk observed by one instrument is approximately equal to the height of the wave above the solar surface in an orthogonal view provided by another instrument. The study also shows that the CME-driven shocks often form very low in the corona at a heliocentric distance of 1.2 Rs, even smaller than the previous estimates from STEREO/CORl data (Gopalswamy et aI., 2009, Solar Phys. 259, 227). These results have important implications for the acceleration of solar energetic particles by CMEs

  1. Resolving Differences in Absolute Irradiance Measurements Between the SOHO/CELIAS/SEM and the SDO/EVE.

    PubMed

    Wieman, S R; Didkovsky, L V; Judge, D L

    The Solar EUV Monitor (SEM) onboard SOHO has measured absolute extreme ultraviolet (EUV) and soft X-ray solar irradiance nearly continuously since January 1996. The EUV Variability Experiment (EVE) on SDO, in operation since April of 2010, measures solar irradiance in a wide spectral range that encompasses the band passes (26 - 34 nm and 0.1 - 50 nm) measured by SOHO/SEM. However, throughout the mission overlap, irradiance values from these two instruments have differed by more than the combined stated uncertainties of the measurements. In an effort to identify the sources of these differences and eliminate them, we investigate in this work the effect of reprocessing the SEM data using a more accurate SEM response function (obtained from synchrotron measurements with a SEM sounding-rocket clone instrument taken after SOHO was already in orbit) and time-dependent, measured solar spectral distributions - i.e ., solar reference spectra that were unavailable prior to the launch of the SDO. We find that recalculating the SEM data with these improved parameters reduces mean differences with the EVE measurements from about 20 % to less than 5 % in the 26 - 34 nm band, and from about 35 % to about 15 % for irradiances in the 0.1 - 7 nm band extracted from the SEM 0.1 - 50 nm channel.

  2. Thin film multilayer filters for solar EUV telescopes.

    PubMed

    Chkhalo, N I; Drozdov, M N; Kluenkov, E B; Kuzin, S V; Lopatin, A Ya; Luchin, V I; Salashchenko, N N; Tsybin, N N; Zuev, S Yu

    2016-06-10

    Al, with a passband in the wavelength range of 17-60 nm, and Zr, with a passband in the wavelength range of 6.5-17 nm, thin films on a support grid or support membrane are frequently used as UV, visible, and near-IR blocking filters in solar observatories. Although they possess acceptable optical performance, these filters also have some shortcomings such as low mechanical strength and low resistance to oxidation. These shortcomings hinder meeting the requirements for filters of future telescopes. We propose multilayer thin film filters on the basis of Al, Zr, and other materials with improved characteristics. It was demonstrated that stretched multilayer films on a support grid with a mesh size up to 5 mm can withstand vibration loads occurring during spacecraft launch. A large mesh size is preferable for filters of high-resolution solar telescopes, since it allows image distortion caused by light diffraction on the support grid to be avoided. We have investigated the thermal stability of Al/Si and Zr/Si multilayers assuming their possible application as filters in the Intergelioprobe project, in which the observation of coronal plasma will take place close to the Sun. Zr/Si films show high thermal stability and may be used as blocking filters in the wavelength range of 12.5-17 nm. Al/Si films show lower thermal stability: a significant decrease in the film's transmission in the EUV spectral range and an increase in the visible spectrum have been observed. We suppose that the low thermal stability of Al/Si films restricts their application in the Intergelioprobe project. Thus, there is a lack of filters for the wavelength range of λ>17  nm. Be/Si and Cr/Si filters have been proposed for the wavelength range near 30.4 nm. Although these filters have lower transparency than Al/Si, they are superior in thermal stability. Multilayer Sc/Al filters with relatively high transmission at a wavelength of 58.4 nm (HeI line) and simultaneously sufficient rejection in the

  3. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    NASA Astrophysics Data System (ADS)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  4. Undercover EUV Solar Jets Observed by the Interface Region Imaging Spectrograph

    NASA Astrophysics Data System (ADS)

    Chen, N.-H.; Innes, D. E.

    2016-12-01

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si IV spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg II line profiles produced by the jets spires: reversed and non-reversed. Mg II lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (108 erg cm-2 s-1) toward the corona and the downward component is less than 3%.

  5. The Multi-Spectral Solar Telescope Array. II - Soft X-ray/EUV reflectivity of the multilayer mirrors

    NASA Technical Reports Server (NTRS)

    Barbee, Troy W., Jr.; Weed, J. W.; Hoover, Richard B. C., Jr.; Allen, Max J.; Lindblom, Joakim F.; O'Neal, Ray H.; Kankelborg, Charles C.; Deforest, Craig E.; Paris, Elizabeth S.; Walker, Arthur B. C.

    1992-01-01

    We have developed seven compact soft X-ray/EUV (XUV) multilayer coated and two compact FUV interference film coated Cassegrain and Ritchey-Chretien telescopes for a rocket borne observatory, the Multi-Spectral Solar Telescope Array. We report here on extensive measurements of the efficiency and spectral bandpass of the XUV telescopes carried out at the Stanford Synchrotron Radiation Laboratory.

  6. EUV Irradiance Inputs to Thermospheric Density Models: Open Issues and Path Forward

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.; Bruinsma, S.

    2018-01-01

    One of the objectives of the NASA Living With a Star Institute on "Nowcasting of Atmospheric Drag for low Earth orbit (LEO) Spacecraft" was to investigate whether and how to increase the accuracy of atmospheric drag models by improving the quality of the solar forcing inputs, namely, extreme ultraviolet (EUV) irradiance information. In this focused review, we examine the status of and issues with EUV measurements and proxies, discuss recent promising developments, and suggest a number of ways to improve the reliability, availability, and forecast accuracy of EUV measurements in the next solar cycle.

  7. Absolute measurement of the extreme UV solar flux

    NASA Technical Reports Server (NTRS)

    Carlson, R. W.; Ogawa, H. S.; Judge, D. L.; Phillips, E.

    1984-01-01

    A windowless rare-gas ionization chamber has been developed to measure the absolute value of the solar extreme UV flux in the 50-575-A region. Successful results were obtained on a solar-pointing sounding rocket. The ionization chamber, operated in total absorption, is an inherently stable absolute detector of ionizing UV radiation and was designed to be independent of effects from secondary ionization and gas effusion. The net error of the measurement is + or - 7.3 percent, which is primarily due to residual outgassing in the instrument, other errors such as multiple ionization, photoelectron collection, and extrapolation to the zero atmospheric optical depth being small in comparison. For the day of the flight, Aug. 10, 1982, the solar irradiance (50-575 A), normalized to unit solar distance, was found to be 5.71 + or - 0.42 x 10 to the 10th photons per sq cm sec.

  8. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, N.-H.; Innes, D. E.

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT tomore » 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.« less

  9. Exploring EUV Spicules Using 304 Angstrom He II Data from SDO AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian R.; Sterling, Alphonse C.; Falconer, David A.; Moore, Ron L.

    2014-01-01

    We present results from a statistical study of He II 304 Angstrom Extreme Ultraviolet (EUV) spicules at the limb of the Sun. We also measured properties of one macrospicule; macrospicules are longer than most spicules, and much broader in width than spicules. We use high-cadence (12 second) and high-resolution (0.6 arcseconds pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred near the solar north pole, where quiet Sun or coronal hole environments ensued. We examined the maximum lengths, maximum rise velocities, and lifetimes of 33 Extreme Ultraviolet (EUV) spicules and the macrospicule. For the bulk of the Extreme Ultraviolet (EUV) spicules these quantities are, respectively, approximately 10,000-40,000 kilometers, 20-100 kilometers per second, and approximately 100- approximately 1000 seconds. For the macrospicule the corresponding quantities were respectively approximately 60,000 kilometers, approximately 130 kilometers per second, approximately 1800 seconds, which is typical of macrospicules measured by other workers. Therefore macrospicules are taller, longer-lived, and faster than most Extreme Ultraviolet (EUV) spicules. The rise profiles of both the spicules and the macrospicules match well a second-order ("parabolic" ) trajectory, although the acceleration was often weaker than that of solar gravity in the profiles fitted to the trajectories. Our macrospicule also had an obvious brightening at its base at birth, while such brightening was not apparent for the Extreme Ultraviolet (EUV) spicules. Most of the Extreme Ultraviolet (EUV) spicules remained visible during their descent back to the solar surface, although a small percentage of the spicules and the macrospicule faded out before falling back to the surface. Our sample of macrospicules is not yet large enough to determine whether their initiation mechanism is identical to that of Extreme Ultraviolet (EUV

  10. On the Absence of EUV Emission from Comet C/2012 S1 (ISON)

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, W. Dean

    2016-01-01

    When the sungrazing comet C2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun's surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This null result is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. By comparing these properties with those of sungrazing comet C2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C2012 S1 (ISON) was at least a factor of four less than that of C2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.

  11. Development of a EUV Test Facility at the Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  12. How active was solar cycle 22?

    NASA Technical Reports Server (NTRS)

    Hoegy, W. R.; Pesnell, W. D.; Woods, T. N.; Rottman, G. J.

    1993-01-01

    Solar EUV observations from the Langmuir probe on Pioneer Venus Orbiter suggest that at EUV wavelengths solar cycle 22 was more active than solar cycle 21. The Langmuir probe, acting as a photodiode, measured the integrated solar EUV flux over a 13 1/2 year period from January 1979 to June 1992, the longest continuous solar EUV measurement. The Ipe EUV flux correlated very well with the SME measurement of L-alpha during the lifetime of SME and with the UARS SOLSTICE L-alpha from October 1991 to June 1992 when the Ipe measurement ceased. Starting with the peak of solar cycle 21, there was good general agreement of Ipe EUV with the 10.7 cm, Ca K, and He 10830 solar indices, until the onset of solar cycle 22. From 1989 to the start of 1992, the 10.7 cm flux exhibited a broad maximum consisting of two peaks of nearly equal magnitude, whereas Ipe EUV exhibited a strong increase during this time period making the second peak significantly higher than the first. The only solar index that exhibits the same increase in solar activity as Ipe EUV and L-alpha during the cycle 22 peak is the total magnetic flux. The case for high activity during this peak is also supported by the presence of very high solar flare intensity.

  13. ON THE ABSENCE OF EUV EMISSION FROM COMET C/2012 S1 (ISON)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryans, Paul; Pesnell, W. Dean

    2016-05-10

    When the sungrazing comet C/2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun’s surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This “null result” is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. Bymore » comparing these properties with those of sungrazing comet C/2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C/2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C/2012 S1 (ISON) was at least a factor of four less than that of C/2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.« less

  14. Design of a grazing incidence EUV imaging spectrometer for the solar orbiter ESA mission

    NASA Astrophysics Data System (ADS)

    Da Deppo, Vania; Poletto, Luca

    2017-11-01

    The paper describes the optical design and performance of an extreme-ultraviolet (EUV) spectrometer for imaging spectroscopy to be part of the scientific payload of the Solar Orbiter (SOLO) mission. The main scientific objectives are to study the solar polar region and observe in detail the evolution of corona structures from a favourable point of view at only 45 solar radii from the Sun (0.2 AU). The instrument concept is based on a grazing incidence telescope, (1200 m focal length, 18 arcmin x 18 arcmin FoV), in Wolter configuration couple to a normalincidence VLS grating spectrometer, which preserve the stigmaticity in an extended spectral region and in the whole field-of-view. The spectral range covered by the instrument is the 116-126 nm region at the first order and the 57-63 nm region at the second order. The spectral resolving element is 65 mÅ (I order), corresponding to a velocity resolution of 16 km/s.

  15. Center-to-Limb Variability of Hot Coronal EUV Emissions During Solar Flares

    NASA Astrophysics Data System (ADS)

    Thiemann, E. M. B.; Chamberlin, P. C.; Eparvier, F. G.; Epp, L.

    2018-02-01

    It is generally accepted that densities of quiet-Sun and active region plasma are sufficiently low to justify the optically thin approximation, and this is commonly used in the analysis of line emissions from plasma in the solar corona. However, the densities of solar flare loops are substantially higher, compromising the optically thin approximation. This study begins with a radiative transfer model that uses typical solar flare densities and geometries to show that hot coronal emission lines are not generally optically thin. Furthermore, the model demonstrates that the observed line intensity should exhibit center-to-limb variability (CTLV), with flares observed near the limb being dimmer than those occurring near disk center. The model predictions are validated with an analysis of over 200 flares observed by the EUV Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO), which uses six lines, with peak formation temperatures between 8.9 and 15.8 MK, to show that limb flares are systematically dimmer than disk-center flares. The data are then used to show that the electron column density along the line of sight typically increases by 1.76 × 10^{19} cm^{-2} for limb flares over the disk-center flare value. It is shown that the CTLV of hot coronal emissions reduces the amount of ionizing radiation propagating into the solar system, and it changes the relative intensities of lines and bands commonly used for spectral analysis.

  16. High speed reflectometer for EUV mask-blanks

    NASA Astrophysics Data System (ADS)

    Wies, Christian; Lebert, Rainer; Jagle, Bernhard; Juschkin, L.; Sobel, F.; Seitz, H.; Walter, Ronny; Laubis, C.; Scholze, F.; Biel, W.; Steffens, O.

    2005-06-01

    AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproducibility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1x1 mm2, 2000 spectral channels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are accumulated in about 20 s, providing statistical reproducibility below 0.2% RMS. The total uncertainty is below 0.5% absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by reference to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.

  17. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  18. Well-defined EUV wave associated with a CME-driven shock

    NASA Astrophysics Data System (ADS)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  19. PECULIAR STATIONARY EUV WAVE FRONTS IN THE ERUPTION ON 2011 MAY 11

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, R.; Fulara, A.; Chen, P. F.

    We present and interpret the observations of extreme ultraviolet (EUV) waves associated with a filament eruption on 2011 May 11. The filament eruption also produces a small B-class two ribbon flare and a coronal mass ejection. The event is observed by the Solar Dynamic Observatory with high spatio-temporal resolution data recorded by the Atmospheric Imaging Assembly. As the filament erupts, we observe two types of EUV waves (slow and fast) propagating outwards. The faster EUV wave has a propagation velocity of ∼500 km s{sup −1} and the slower EUV wave has an initial velocity of ∼120 km s{sup −1}. Wemore » report, for the first time, that not only does the slower EUV wave stop at a magnetic separatrix to form bright stationary fronts, but also the faster EUV wave transits a magnetic separatrix, leaving another stationary EUV front behind.« less

  20. Ultrahigh resolution photographic films for X-ray/EUV/FUV astronomy

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B.; Walker, Arthur B. C., Jr.; Deforest, Craig E.; Watts, Richard; Tarrio, Charles

    1993-01-01

    The quest for ultrahigh resolution full-disk images of the sun at soft X-ray/EUV/FUV wavelengths has increased the demand for photographic films with broad spectral sensitivity, high spatial resolution, and wide dynamic range. These requirements were made more stringent by the recent development of multilayer telescopes and coronagraphs capable of operating at normal incidence at soft X-ray/EUV wavelengths. Photographic films are the only detectors now available with the information storage capacity and dynamic range such as is required for recording images of the solar disk and corona simultaneously with sub arc second spatial resolution. During the Stanford/MSFC/LLNL Rocket X-Ray Spectroheliograph and Multi-Spectral Solar Telescope Array (MSSTA) programs, we utilized photographic films to obtain high resolution full-disk images of the sun at selected soft X-ray/EUV/FUV wavelengths. In order to calibrate our instrumentation for quantitative analysis of our solar data and to select the best emulsions and processing conditions for the MSSTA reflight, we recently tested several photographic films. These studies were carried out at the NIST SURF II synchrotron and the Stanford Synchrotron Radiation Laboratory. In this paper, we provide the results of those investigations.

  1. Absolute Radiometric Calibration of EUNIS-06

    NASA Technical Reports Server (NTRS)

    Thomas, R. J.; Rabin, D. M.; Kent, B. J.; Paustian, W.

    2007-01-01

    The Extreme-Ultraviolet Normal-Incidence Spectrometer (EUNIS) is a soundingrocket payload that obtains imaged high-resolution spectra of individual solar features, providing information about the Sun's corona and upper transition region. Shortly after its successful initial flight last year, a complete end-to-end calibration was carried out to determine the instrument's absolute radiometric response over its Longwave bandpass of 300 - 370A. The measurements were done at the Rutherford-Appleton Laboratory (RAL) in England, using the same vacuum facility and EUV radiation source used in the pre-flight calibrations of both SOHO/CDS and Hinode/EIS, as well as in three post-flight calibrations of our SERTS sounding rocket payload, the precursor to EUNIS. The unique radiation source provided by the Physikalisch-Technische Bundesanstalt (PTB) had been calibrated to an absolute accuracy of 7% (l-sigma) at 12 wavelengths covering our bandpass directly against the Berlin electron storage ring BESSY, which is itself a primary radiometric source standard. Scans of the EUNIS aperture were made to determine the instrument's absolute spectral sensitivity to +- 25%, considering all sources of error, and demonstrate that EUNIS-06 was the most sensitive solar E W spectrometer yet flown. The results will be matched against prior calibrations which relied on combining measurements of individual optical components, and on comparisons with theoretically predicted 'insensitive' line ratios. Coordinated observations were made during the EUNIS-06 flight by SOHO/CDS and EIT that will allow re-calibrations of those instruments as well. In addition, future EUNIS flights will provide similar calibration updates for TRACE, Hinode/EIS, and STEREO/SECCHI/EUVI.

  2. Thermal Evolution and Radiative Output of Solar Flares Observed by the EUV Variability Experiment (EVE)

    NASA Technical Reports Server (NTRS)

    Chamberlin, P. C.; Milligan, R. O.; Woods, T. N.

    2012-01-01

    This paper describes the methods used to obtain the thermal evolution and radiative output during solar flares as observed by the Extreme u ltraviolet Variability Experiment (EVE) onboard the Solar Dynamics Ob servatory (SDO). Presented and discussed in detail are how EVE measur ements, due to its temporal cadence, spectral resolution and spectral range, can be used to determine how the thermal plasma radiates at v arious temperatures throughout the impulsive and gradual phase of fla res. EVE can very accurately determine the radiative output of flares due to pre- and in-flight calibrations. Events are presented that sh ow the total radiated output of flares depends more on the flare duration than the typical GOES X-ray peak magnitude classification. With S DO observing every flare throughout its entire duration and over a la rge temperature range, new insights into flare heating and cooling as well as the radiative energy release in EUV wavelengths support exis ting research into understanding the evolution of solar flares.

  3. Responses of Solar Irradiance and the Ionosphere to an Intense Activity Region

    NASA Astrophysics Data System (ADS)

    Chen, Yiding; Liu, Libo; Le, Huijun; Wan, Weixing

    2018-03-01

    Solar rotation (SR) variation dominates solar extremely ultraviolet (EUV) changes on the timescale of days. The F10.7 index is usually used as an indicator for solar EUV. The SR variation of F10.7 significantly enhanced during the 2008th-2009th Carrington rotations (CRs) owing to an intense active region; F10.7 increased about 180 units during that SR period. That was the most prominent SR variation of F10.7 during solar cycle 23. In this paper, global electron content (GEC) is used to investigate ionospheric response to that strong variation of solar irradiance indicated by F10.7. The variation of GEC with F10.7 was anomalous (GEC-F10.7 slope significantly decreased) during the 2008th-2009th CRs; however, GEC versus EUV variation during that period was consistent with that during adjacent time intervals when using Solar Heliospheric Observatory/Solar EUV Monitor 26-34 nm EUV measurements. The reason is that F10.7 response to that intense active region was much stronger than EUV response; thus, the EUV-F10.7 slope decreased. We confirmed decreased EUV-F10.7 slope during the 2008th-2009th CRs for different wavelengths within 27-120 nm using Thermosphere, Ionosphere, Mesosphere Energetics and Dynamics/Solar EUV Experiment high spectral resolution EUV measurements. And on the basis of Solar Heliospheric Observatory/Solar EUV Monitor EUV measurements during solar cycle 23, we further presented that EUV-F10.7 slope statistically tends to decrease when the SR variation of F10.7 significantly enhances. Moreover, we found that ionospheric time lag effect to EUV is exaggerated when using F10.7, owing to the time lag effect of EUV to F10.7.

  4. SDO/AIA AND HINODE/EIS OBSERVATIONS OF INTERACTION BETWEEN AN EUV WAVE AND ACTIVE REGION LOOPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Liheng; Zhang, Jun; Li, Ting

    2013-09-20

    We present detailed analysis of an extreme-ultraviolet (EUV) wave and its interaction with active region (AR) loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly and the Hinode EUV Imaging Spectrometer (EIS). This wave was initiated from AR 11261 on 2011 August 4 and propagated at velocities of 430-910 km s{sup –1}. It was observed to traverse another AR and cross over a filament channel on its path. The EUV wave perturbed neighboring AR loops and excited a disturbance that propagated toward the footpoints of these loops. EIS observations of AR loops revealed that at the time of the wavemore » transit, the original redshift increased by about 3 km s{sup –1}, while the original blueshift decreased slightly. After the wave transit, these changes were reversed. When the EUV wave arrived at the boundary of a polar coronal hole, two reflected waves were successively produced and part of them propagated above the solar limb. The first reflected wave above the solar limb encountered a large-scale loop system on its path, and a secondary wave rapidly emerged 144 Mm ahead of it at a higher speed. These findings can be explained in the framework of a fast-mode magnetosonic wave interpretation for EUV waves, in which observed EUV waves are generated by expanding coronal mass ejections.« less

  5. SWAP: an EUV imager for solar monitoring on board of PROBA2

    NASA Astrophysics Data System (ADS)

    Katsiyannis, Athanassios C.; Berghmans, David; Hochedez, Jean-Francois; Nicula, Bogdan; Lawrence, Gareth; Defise, Jean-Marc; Ben-Moussa, Ali; Delouille, Veronique; Dominique, Marie; Lecat, Jean-Herve; Schmutz, W.; Theissen, Armin; Slemzin, Vladimir

    2005-08-01

    PROBA2 is an ESA technology demonstration mission to be launched in early 2007. The two primary scientific instruments on board of PROBA2 are SWAP (Sun Watcher using Active Pixel System detector and Image Processing) and the LYRA VUV radiometer. SWAP provides a full disk solar imaging capability with a bandpass filter centred at 17.5 nm (FeIX-XI) and a fast cadence of ≈1 min. The telescope is based on an off-axis Ritchey Chretien design while an extreme ultraviolet (EUV) enhanced APS CMOS will be used as a detector. As the prime goal of the SWAP is solar monitoring and advance warning of Coronal Mass Ejections (CME), on-board intellige nce will be implemented. Image recognition software using experimental algorithms will be used to detect CMEs during the first phase of eruption so the event can be tracked by the spacecraft without huma n intervention. LYRA will monitor solar irradiance in four different VUV passbands with a cadence of up to 100 Hz. The four channels were chosen for their relevance to solar physics, aeronomy and space weather: 115-125 nm (Lyman-α), 200-220 nm Herzberg continuum, the 17-70 nm Aluminium filter channel (that includes the HeII line at 30.4 nm) and the 1-20 nm Zirconium filter channel. On-board calibration sources will monitor the stability of the detectors and the filters throughout the duration of the mission.

  6. High speed reflectometer for EUV mask-blanks

    NASA Astrophysics Data System (ADS)

    Wies, C.; Lebert, R.; Jaegle, B.; Juschkin, L.; Sobel, F.; Seitz, H.; Walter, R.; Laubis, C.; Scholze, F.; Biel, W.; Steffens, O.

    2005-05-01

    AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproduci-bility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1×1 mm2, 2000 spectral chan-nels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are ac-cumulated in about 20 s, providing statistical reproducibility below 0.2 % RMS. The total uncertainty is below 0.5 % absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by refe-rence to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.

  7. The Nature of the Flaring EUVE Companion to HD 43162

    NASA Technical Reports Server (NTRS)

    Kulkarni, Shrinivas R.

    2005-01-01

    The purpose of our program was to observe and characterize the companion to HD 43162, EUVE J0614-2354, which (serendipitously) experienced an enormous flare event during our EUVE observation of HD 43162, one of the nearby solar analogs that we observed during our survey of this population. Our observation was carried out and the data have been received and reduced. We are able to identify EUVE J0614-2354 in both the X-ray (EPIC MOS + PN) and the UV (OM) data, which provides a sub-arcsecond position for this source. Our findings are consistent with the analysis of Christian et al. (2003a,b), who identify EUVE J0614-2354 with a coronally-active M-dwarf star at distance d = 15 plus or minus 5pc. The X-ray spectrum from the EPIC data are also consistent with this identification.

  8. It's Time For A New EUV Mission

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wood, K. S.; Barstow, M. A.; Cruddace, R. G.

    2010-01-01

    The J-PEX high-resolution EUV spectrometer has made a breakthrough in capability with an effective area of 7 cm2 (220-245 Å) and resolving power of 4000, which exceed EUVE by factors of 7 and 20 respectively, and cover a range beyond the 170-Å cutoff of the Chandra LETG. The EUV includes critical spectral features containing diagnostic information often not available at other wavelengths (e.g., He II Ly series), and the bulk of radiation from million degree plasmas is emitted in the EUV. Such plasmas are ubiquitous, and examples include the atmospheres of white dwarfs; accretion phenomena in young stars, CVs and AGN; stellar coronae; and the ISM of our own galaxy and of others. However, sensitive EUV spectroscopy of high resolving power is required to resolve source spectral lines and edges unambiguously, to identify features produced by the intervening ISM, and to measure line profiles and Doppler shifts. This allows exploitation of the full range of plasma diagnostic techniques developed in laboratory and solar physics. J-PEX has flown twice on NASA sounding rockets. In 2001 we observed the isolated white dwarf G191-B2B and detected both ISM and photospheric lines. In 2008 we successfully observed the binary white dwarf Feige 24, but observation time is severely limited with sounding rockets. NASA has approved no new EUV mission, but it is time for one. Here we describe the scientific case for high-resolution EUV spectroscopy, summarize the technology that makes such measurements practical, and present a concept for a 3-month orbital mission, in which J-PEX is modified for a low-cost orbital mission to acquire sensitive high-resolution spectra for 30 white dwarfs, making an important contribution to the study of white dwarf evolution and hence the chemical balance of the Galaxy, and to the understanding of structure in the LISM.

  9. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    NASA Technical Reports Server (NTRS)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  10. Thorough subcells diagnosis in a multi-junction solar cell via absolute electroluminescence-efficiency measurements

    PubMed Central

    Chen, Shaoqiang; Zhu, Lin; Yoshita, Masahiro; Mochizuki, Toshimitsu; Kim, Changsu; Akiyama, Hidefumi; Imaizumi, Mitsuru; Kanemitsu, Yoshihiko

    2015-01-01

    World-wide studies on multi-junction (tandem) solar cells have led to record-breaking improvements in conversion efficiencies year after year. To obtain detailed and proper feedback for solar-cell design and fabrication, it is necessary to establish standard methods for diagnosing subcells in fabricated tandem devices. Here, we propose a potential standard method to quantify the detailed subcell properties of multi-junction solar cells based on absolute measurements of electroluminescence (EL) external quantum efficiency in addition to the conventional solar-cell external-quantum-efficiency measurements. We demonstrate that the absolute-EL-quantum-efficiency measurements provide I–V relations of individual subcells without the need for referencing measured I–V data, which is in stark contrast to previous works. Moreover, our measurements quantify the absolute rates of junction loss, non-radiative loss, radiative loss, and luminescence coupling in the subcells, which constitute the “balance sheets” of tandem solar cells. PMID:25592484

  11. Prospective EUV observations of hot DA white dwarfs with the EUV Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Malina, Roger F.; Bowyer, Stuart

    1987-01-01

    The Extreme Ultraviolet Explorer (EUVE) will perform a high sensitivity EUV all-sky survey. A major category of sources which will be detected with the EUVE instruments consists of hot white dwarfs. Detailed preliminary studies of synthetic EUV observations of white dwarfs have been carried out using the predicted EUVE instrumental response functions. Using available information regarding space densities of white dwarfs and the distribution of neutral hydrogen in the interstellar medium, the numbers of DA white dwarfs which will be detectable in the different EUV bandpasses have been estimated.

  12. SoFAST: Automated Flare Detection with the PROBA2/SWAP EUV Imager

    NASA Astrophysics Data System (ADS)

    Bonte, K.; Berghmans, D.; De Groof, A.; Steed, K.; Poedts, S.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV imager onboard PROBA2 provides a non-stop stream of coronal extreme-ultraviolet (EUV) images at a cadence of typically 130 seconds. These images show the solar drivers of space-weather, such as flares and erupting filaments. We have developed a software tool that automatically processes the images and localises and identifies flares. On one hand, the output of this software tool is intended as a service to the Space Weather Segment of ESA's Space Situational Awareness (SSA) program. On the other hand, we consider the PROBA2/SWAP images as a model for the data from the Extreme Ultraviolet Imager (EUI) instrument prepared for the future Solar Orbiter mission, where onboard intelligence is required for prioritising data within the challenging telemetry quota. In this article we present the concept of the software, the first statistics on its effectiveness and the online display in real time of its results. Our results indicate that it is not only possible to detect EUV flares automatically in an acquired dataset, but that quantifying a range of EUV dynamics is also possible. The method is based on thresholding of macropixelled image sequences. The robustness and simplicity of the algorithm is a clear advantage for future onboard use.

  13. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    NASA Astrophysics Data System (ADS)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  14. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  15. The evaluation of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1987-01-01

    A high-efficiency, extreme ultraviolet (EUV) imaging spectrometer is constructed and tested. The spectrometer employs a concave toroidal grating illuminated at normal incidence in a Rowland circle mounting and has only one reflecting surface. The toroidal grating has been fabricated by a new technique employing an elastically-deformable sub-master grating replicated in a spherical form and then mechanically distorted to produce the desired aspect ratio of the toroidal surface for stigmatic imaging over the selected wavelength range. The fixed toroidal grating used in the spectrometer is then replicated from this surface. Photographic tests and initial photoelectric tests with a two-dimensional, pulse-counting detector system verify the image quality of the toroidal grating at wavelengths near 600 A. The results of these tests and the basic designs of two instruments which could employ the imaging spectrometer for astrophysical investigations in space are described; i.e., a high-resolution EUV spectroheliometer for studies of the solar chromosphere, transition region, and corona; and an EUV spectroscopic telescope for studies of non-solar objects.

  16. Set of instruments for solar EUV and soft X-ray monitoring onboard satellite Coronas-Photon

    NASA Astrophysics Data System (ADS)

    Kotov, Yury; Kochemasov, Alexey; Kuzin, Sergey; Kuznetsov, Vladimir; Sylwester, Janusz; Yurov, Vitaly

    Coronas-Photon mission is the third satellite of the Russian Coronas program on solar activity observation. The main goal of the "Coronas-Photon" is the study of solar hard electromagnetic radiation in the wide energy range from UV up to high energy gamma-radiation (2000MeV). Scientific payload for solar radiation observation consists of three types of instruments: Monitors (Natalya-2M, Konus-RF, RT-2, Penguin-M, BRM, PHOKA, Sphin-X, SOKOL spectral and timing measurements of full solar disk radiation have timing in flare/burst mode up to one msec. Instruments Natalya-2M, Konus-RF, RT-2 will cover the wide energy range of hard X-rays and soft gamma-rays (15keV to 2000MeV) and will together constitute the largest area detectors ever used for solar observations. Detectors of gamma-ray monitors are based on structured inorganic scintillators. For X-ray and EUV monitors the scintillation phoswich detectors, gas proportional counter, CdZnTe assembly and filter-covered Si-diodes are used. Telescope-spectrometer TESIS for imaging solar spectroscopy in X-rays has angular resolution up to 1arcsec in three spectral lines. Satellite platform and scientific payload is under construction to be launched in autumn 2008. Satellite orbit is circular with initial height 550km and inclination 82.5degrees. Accuracy of the spacecraft orientation to the Sun is better 3arcmin. In the report the capability of PHOKA, SphinX, SOKOL and TESIS as well as the observation program are described and discussed.

  17. The EUV spectrophotometer on Atmosphere Explorer.

    NASA Technical Reports Server (NTRS)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  18. Performance of multilayer coated diffraction gratings in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Gum, Jeffrey S.; Condor, Charles E.

    1990-01-01

    The effect of multilayer coating application on the performance of a diffraction grating in the EUV spectral region was evaluated by examining the performance of a 3600-line/mm and a 1200-line/mm replica blazed gratings, designed for operation in the 300-A spectral region in first order. A ten-layer IrSi multilayer optimized for 304 A was deposited using electron-beam evaporation. The grating efficiency was measured on the SURF II calibration beamline in a chamber designed for calibrating the solar EUV rocket telescope and spectrograph multilayer coatings. A significant (by a factor of about 7) enhancement in grating efficiency in the 300-A region was demonstrated.

  19. Validation of Earth atmosphere models using solar EUV observations from the CORONAS and PROBA2 satellites in occultation mode

    NASA Astrophysics Data System (ADS)

    Slemzin, Vladimir; Ulyanov, Artyom; Gaikovich, Konstantin; Kuzin, Sergey; Pertsov, Andrey; Berghmans, David; Dominique, Marie

    2016-02-01

    Aims: Knowledge of properties of the Earth's upper atmosphere is important for predicting the lifetime of low-orbit spacecraft as well as for planning operation of space instruments whose data may be distorted by atmospheric effects. The accuracy of the models commonly used for simulating the structure of the atmosphere is limited by the scarcity of the observations they are based on, so improvement of these models requires validation under different atmospheric conditions. Measurements of the absorption of the solar extreme ultraviolet (EUV) radiation in the upper atmosphere below 500 km by instruments operating on low-Earth orbits (LEO) satellites provide efficient means for such validation as well as for continuous monitoring of the upper atmosphere and for studying its response to the solar and geomagnetic activity. Method: This paper presents results of measurements of the solar EUV radiation in the 17 nm wavelength band made with the SPIRIT and TESIS telescopes on board the CORONAS satellites and the SWAP telescope on board the PROBA2 satellite in the occulted parts of the satellite orbits. The transmittance profiles of the atmosphere at altitudes between 150 and 500 km were derived from different phases of solar activity during solar cycles 23 and 24 in the quiet state of the magnetosphere and during the development of a geomagnetic storm. We developed a mathematical procedure based on the Tikhonov regularization method for solution of ill-posed problems in order to retrieve extinction coefficients from the transmittance profiles. The transmittance profiles derived from the data and the retrieved extinction coefficients are compared with simulations carried out with the NRLMSISE-00 atmosphere model maintained by Naval Research Laboratory (USA) and the DTM-2013 model developed at CNES in the framework of the FP7 project ATMOP. Results: Under quiet and slightly disturbed magnetospheric conditions during high and low solar activity the extinction coefficients

  20. Laboratory Studies in UV and EUV Solar Physics

    NASA Technical Reports Server (NTRS)

    Parkinson, W. H.; Wagner, William J. (Technical Monitor)

    2002-01-01

    The Ion Beam Experiment at the Center for Astrophysics is dedicated to the study of ion-electron collision processes of importance in solar physics. The analysis of measurements of Electron Impact Excitation (EIE) from the 3s3p(exp 3)P(exp o) metastable state to the 3s3p(exp 1)P state of Si(2+) was completed during the past year and a paper describing the results is available as a preprint. Our current program is directed at measuring absolute cross sections for dielectronic recombination (DR) and EIE in Si(3+), one of the primary ions used for probing the solar transition region. Our study of DR is particularly concerned with the effects of electric and magnetic fields on the recombination rates. Measurements of silicon ions with charge greater than n=2 have necessitated upgrading the experiment with a new ion source. The new source is also suitable for producing C(2+) beams to be used for measurements of EIE and DR for that system. The source is expected to be capable of producing beams of more highly charged systems as well.

  1. Simultaneous ASCA and EUVE Observations of Capella

    NASA Astrophysics Data System (ADS)

    Brickhouse, N. S.; Dupree, A. K.; Edgar, R. J.; Drake, S. A.; White, N. E.; Liedahl, D. A.; Singh, K. P.

    1997-05-01

    We present simultaneous observations taken in Mar 1996 of the bright stellar coronal source Capella (HD 34029) with the ASCA and EUVE satellites. Previous EUVE observations of Fe emission lines (Fe VIII --- XXIV, excluding XVII) revealed a narrow emission measure feature at 6 x 10(6) K, which has proven to be remarkably stable over several years (flux from Fe XVIII and XIX has not varied by more than 30%), while lines formed at higher temperatures have shown intensity variations up to factors of 4. Furthermore, extremely high signal-to-noise spectra obtained by summing all EUVE measurements show that the Fe/H abundance ratio is consistent with solar photospheric. (See Dupree et al. 1993, ApJ, 418, L41; Brickhouse, Raymond, & Smith 1995, ApJSupp, 97, 551; Brickhouse 1996, IAU Coll. 152, Astrophysics in the Extreme Ultraviolet, Bowyer & Malina, eds (Kluwer), 141.) Meanwhile, the ASCA data of Capella have proven notoriously difficult to analyze. The performance verification (PV) phase data suggested a somewhat subsolar Fe abundance, but models were in poor agreement with the data (chi (2red) ~ 6). (See Drake 1996, Conf. on Cosmic Abundances, U. Maryland). Since the emission lines observed by EUVE are formed at the same emitting temperatures as the X-ray spectrum (Capella is ``soft'' such that very little flux is observed above 2 keV), the emission measure distribution derived from EUVE lines should provide a direct prediction of the X-ray spectrum, with only the relative abundances of species other than Fe as free parameters. Like the PV data, the new ASCA spectrum is not well fit by any of the standard models. Applying the constraints imposed by EUVE does not make a major improvement in the fit --- multi-thermal, variable abundance models such as Raymond-Smith and MEKAL do not provide any acceptable fit (chi (2red) > 5). We discuss our efforts to understand the X-ray spectrum, including studies of the uncertainties in the atomic data and of the underlying assumptions

  2. Solar Demon: near real-time solar eruptive event detection on SDO/AIA images

    NASA Astrophysics Data System (ADS)

    Kraaikamp, Emil; Verbeeck, Cis

    Solar flares, dimmings and EUV waves have been observed routinely in extreme ultra-violet (EUV) images of the Sun since 1996. These events are closely associated with coronal mass ejections (CMEs), and therefore provide useful information for early space weather alerts. The Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) generates such a massive dataset that it becomes impossible to find most of these eruptive events manually. Solar Demon is a set of automatic detection algorithms that attempts to solve this problem by providing both near real-time warnings of eruptive events and a catalog of characterized events. Solar Demon has been designed to detect and characterize dimmings, EUV waves, as well as solar flares in near real-time on SDO/AIA data. The detection modules are running continuously at the Royal Observatory of Belgium on both quick-look data and synoptic science data. The output of Solar Demon can be accessed in near real-time on the Solar Demon website, and includes images, movies, light curves, and the numerical evolution of several parameters. Solar Demon is the result of collaboration between the FP7 projects AFFECTS and COMESEP. Flare detections of Solar Demon are integrated into the COMESEP alert system. Here we present the Solar Demon detection algorithms and their output. We will focus on the algorithm and its operational implementation. Examples of interesting flare, dimming and EUV wave events, and general statistics of the detections made so far during solar cycle 24 will be presented as well.

  3. EUV multilayer coatings for the Atmospheric Imaging Assembly instrument aboard the Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, R; Windt, D L; Robinson, J C

    2006-02-09

    Multilayer coatings for the 7 EUV channels of the AIA have been developed and completed successfully on all AIA flight mirrors. Mo/Si coatings (131, 171, 193.5, 211 {angstrom}) were deposited at Lawrence Livermore National Laboratory (LLNL). Mg/SiC (304, 335 {angstrom}) and Mo/Y (94 {angstrom}) coatings were deposited at Columbia University. EUV reflectance of the 131/335 {angstrom}, 171 {angstrom}, 193.5/211 {angstrom} primary and secondary flight mirrors and the 94/304 {angstrom} secondary flight mirror was measured at beamline 6.3.2. of the Advanced Light Source (ALS) at LBNL. EUV reflectance of the 94/304 {angstrom} primary and secondary flight mirrors was measured at beamlinemore » X24C of the National Synchrotron Light Source (NSLS) at Brookhaven National Lab. Preliminary EUV reflectance measurements of the 94, 304 and 335 {angstrom} coatings were performed with a laser plasma source reflectometer located at Columbia University. Prior to multilayer coating, Atomic Force Microscopy (AFM) characterization and cleaning of all flight substrates was performed at LLNL.« less

  4. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  5. The Impact of Different Absolute Solar Irradiance Values on Current Climate Model Simulations

    NASA Technical Reports Server (NTRS)

    Rind, David H.; Lean, Judith L.; Jonas, Jeffrey

    2014-01-01

    Simulations of the preindustrial and doubled CO2 climates are made with the GISS Global Climate Middle Atmosphere Model 3 using two different estimates of the absolute solar irradiance value: a higher value measured by solar radiometers in the 1990s and a lower value measured recently by the Solar Radiation and Climate Experiment. Each of the model simulations is adjusted to achieve global energy balance; without this adjustment the difference in irradiance produces a global temperature change of 0.48C, comparable to the cooling estimated for the Maunder Minimum. The results indicate that by altering cloud cover the model properly compensates for the different absolute solar irradiance values on a global level when simulating both preindustrial and doubled CO2 climates. On a regional level, the preindustrial climate simulations and the patterns of change with doubled CO2 concentrations are again remarkably similar, but there are some differences. Using a higher absolute solar irradiance value and the requisite cloud cover affects the model's depictions of high-latitude surface air temperature, sea level pressure, and stratospheric ozone, as well as tropical precipitation. In the climate change experiments it leads to an underestimation of North Atlantic warming, reduced precipitation in the tropical western Pacific, and smaller total ozone growth at high northern latitudes. Although significant, these differences are typically modest compared with the magnitude of the regional changes expected for doubled greenhouse gas concentrations. Nevertheless, the model simulations demonstrate that achieving the highest possible fidelity when simulating regional climate change requires that climate models use as input the most accurate (lower) solar irradiance value.

  6. Solar Control of Earth's Ionosphere: Observations from Solar Cycle 23

    NASA Astrophysics Data System (ADS)

    Doe, R. A.; Thayer, J. P.; Solomon, S. C.

    2005-05-01

    A nine year database of sunlit E-region electron density altitude profiles (Ne(z)) measured by the Sondrestrom ISR has been partitioned over a 30-bin parameter space of averaged 10.7 cm solar radio flux (F10.7) and solar zenith angle (χ) to investigate long-term solar and thermospheric variability, and to validate contemporary EUV photoionization models. A two stage filter, based on rejection of Ne(z) profiles with large Hall to Pedersen ratio, is used to minimize auroral contamination. Resultant filtered mean Ne(z) compares favorably with subauroral Ne measured for the same F10.7 and χ conditions at the Millstone Hill ISR. Mean Ne, as expected, increases with solar activity and decreases with large χ, and the variance around mean Ne is shown to be greatest at low F10.7 (solar minimum). ISR-derived mean Ne is compared with two EUV models: (1) a simple model without photoelectrons and based on the 5 -- 105 nm EUVAC model solar flux [Richards et al., 1994] and (2) the GLOW model [Solomon et al., 1988; Solomon and Abreu, 1989] suitably modified for inclusion of XUV spectral components and photoelectron flux. Across parameter space and for all altitudes, Model 2 provides a closer match to ISR mean Ne and suggests that the photoelectron and XUV enhancements are essential to replicate measured plasma densities below 150 km. Simulated Ne variance envelopes, given by perturbing the Model 2 neutral atmosphere input by the measured extremum in Ap, F10.7, and Te, are much narrower than ISR-derived geophysical variance envelopes. We thus conclude that long-term variability of the EUV spectra dominates over thermospheric variability and that EUV spectral variability is greatest at solar minimum. ISR -- model comparison also provides evidence for the emergence of an H (Lyman β) Ne feature at solar maximum. Richards, P. G., J. A. Fennelly, and D. G. Torr, EUVAC: A solar EUV flux model for aeronomic calculations, J. Geophys. Res., 99, 8981, 1994. Solomon, S. C., P. B. Hays

  7. Absolute and Mass-Dependent Titanium Isotope Compositions of Solar System Materials

    NASA Astrophysics Data System (ADS)

    Williams, N. H.; Fehr, M. A.; Akram, W. M.; Parkinson, I. J.; Schönbächler, M.

    2013-09-01

    Mass dependent Ti isotope data for various solar system material will be presented. This data has been obtained via double spike technique using ^47 Ti and ^49Ti as spikes. Absolute nucleosynthetic anomalie data for Ti will be presented also.

  8. Monitoring of solar far ultraviolet radiation from the OSO-5 satellite

    NASA Technical Reports Server (NTRS)

    Rense, W. A.; Parker, R.

    1972-01-01

    A spectrophotometer for monitoring the solar EUV in three broad wavelength bands is described. The kind of data obtained, along with sources of error, are presented. The content of the tape library which contains the data is outlined. The scientific results are discussed. These include the following: solar flares in the EUV, solar eclipse observations in the EUV, SFD's and relationship to solar flares, and the application of satellite sunrise and sunset data for the study of model upper atmospheres for the earth.

  9. Solar Radio Burst Associated with the Falling Bright EUV Blob

    NASA Astrophysics Data System (ADS)

    Karlický, Marian; Zemanová, Alena; Dudík, Jaroslav; Radziszewski, Krzysztof

    2018-02-01

    At the beginning of the 2015 November 4 flare, in the 1300–2000 MHz frequency range, we observed a very rare slow positively drifting burst. We searched for associated phenomena in simultaneous EUV observations made by IRIS, SDO/AIA, and Hinode/XRT, as well as in H α observations. We found that this radio burst was accompanied with the bright blob, visible at transition region, coronal, and flare temperatures, falling down to the chromosphere along the dark loop with a velocity of about 280 km s‑1. The dark loop was visible in H α but disappeared afterward. Furthermore, we found that the falling blob interacted with the chromosphere as expressed by a sudden change of the H α spectra at the location of this interaction. Considering different possibilities, we propose that the observed slow positively drifting burst is generated by the thermal conduction front formed in front of the falling hot EUV blob.

  10. Quality control of EUVE databases

    NASA Technical Reports Server (NTRS)

    John, L. M.; Drake, J.

    1992-01-01

    The publicly accessible databases for the Extreme Ultraviolet Explorer include: the EUVE Archive mailserver; the CEA ftp site; the EUVE Guest Observer Mailserver; and the Astronomical Data System node. The EUVE Performance Assurance team is responsible for verifying that these public EUVE databases are working properly, and that the public availability of EUVE data contained therein does not infringe any data rights which may have been assigned. In this poster, we describe the Quality Assurance (QA) procedures we have developed from the approach of QA as a service organization, thus reflecting the overall EUVE philosophy of Quality Assurance integrated into normal operating procedures, rather than imposed as an external, post facto, control mechanism.

  11. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A.

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUVmore » waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.« less

  12. EUVS Sounding Rocket Payload

    NASA Technical Reports Server (NTRS)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  13. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Ê/hr for sputtered carbon and 40 Ê/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  14. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  15. Demonstrating the Error Budget for the Climate Absolute Radiance and Refractivity Observatory Through Solar Irradiance Measurements

    NASA Technical Reports Server (NTRS)

    Thome, Kurtis; McCorkel, Joel; McAndrew, Brendan

    2016-01-01

    The Climate Absolute Radiance and Refractivity Observatory (CLARREO) mission addresses the need to observe highaccuracy, long-term climate change trends and to use decadal change observations as a method to determine the accuracy of climate change. A CLARREO objective is to improve the accuracy of SI-traceable, absolute calibration at infrared and reflected solar wavelengths to reach on-orbit accuracies required to allow climate change observations to survive data gaps and observe climate change at the limit of natural variability. Such an effort will also demonstrate National Institute of Standards and Technology (NIST) approaches for use in future spaceborne instruments. The current work describes the results of laboratory and field measurements with the Solar, Lunar for Absolute Reflectance Imaging Spectroradiometer (SOLARIS) which is the calibration demonstration system (CDS) for the reflected solar portion of CLARREO. SOLARIS allows testing and evaluation of calibration approaches, alternate design and/or implementation approaches and components for the CLARREO mission. SOLARIS also provides a test-bed for detector technologies, non-linearity determination and uncertainties, and application of future technology developments and suggested spacecraft instrument design modifications. Results of laboratory calibration measurements are provided to demonstrate key assumptions about instrument behavior that are needed to achieve CLARREO's climate measurement requirements. Absolute radiometric response is determined using laser-based calibration sources and applied to direct solar views for comparison with accepted solar irradiance models to demonstrate accuracy values giving confidence in the error budget for the CLARREO reflectance retrieval.

  16. Quasi-periodic Fast-mode Wave Trains Within a Global EUV Wave and Sequential Transverse Oscillations Detected by SDO-AIA

    NASA Technical Reports Server (NTRS)

    Liu, Wei; Ofman, Leon; Nitta, Nariaki; Aschwanden, Markus J.; Schrijver, Carolus J.; Title, Alan M.; Tarbell, Theodore D.

    2012-01-01

    We present the first unambiguous detection of quasi-periodic wave trains within the broad pulse of a global EUV wave (so-called EIT wave) occurring on the limb. These wave trains, running ahead of the lateral coronal mass ejection (CME) front of 2-4 times slower, coherently travel to distances greater than approximately solar radius/2 along the solar surface, with initial velocities up to 1400 kilometers per second decelerating to approximately 650 kilometers per second. The rapid expansion of the CME initiated at an elevated height of 110 Mm produces a strong downward and lateral compression, which may play an important role in driving the primary EUV wave and shaping its front forwardly inclined toward the solar surface. The wave trains have a dominant 2 minute periodicity that matches the X-ray flare pulsations, suggesting a causal connection. The arrival of the leading EUV wave front at increasing distances produces an uninterrupted chain sequence of deflections and/or transverse (likely fast kink mode) oscillations of local structures, including a flux-rope coronal cavity and its embedded filament with delayed onsets consistent with the wave travel time at an elevated (by approximately 50%) velocity within it. This suggests that the EUV wave penetrates through a topological separatrix surface into the cavity, unexpected from CME-caused magnetic reconfiguration. These observations, when taken together, provide compelling evidence of the fast-mode MHD wave nature of the primary (outer) fast component of a global EUV wave, running ahead of the secondary (inner) slow component of CME-caused restructuring.

  17. X-ray/EUV optics for astronomy, microscopy, polarimetry, and projection lithography; Proceedings of the Meeting, San Diego, CA, July 9-13, 1990

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B. (Editor); Walker, Arthur B. C., Jr. (Editor)

    1991-01-01

    Topics discussed in this issue include the fabrication of multilayer X-ray/EUV coatings; the design, characterization, and test of multilayer X-ray/EUV coatings; multilayer X-ray/EUV monochromators and imaging microscopes; X-ray/EUV telescopes; the test and calibration performance of X-ray/EUV instruments; XUV/soft X-ray projection lithography; X-ray/EUV space observatories and missions; X-ray/EUV telescopes for solar research; X-ray/EUV polarimetry; X-ray/EUV spectrographs; and X-ray/EUV filters and gratings. Papers are presented on the deposition-controlled uniformity of multilayer mirrors, interfaces in Mo/Si multilayers, the design and analysis of an aspherical multilayer imaging X-ray microscope, recent developments in the production of thin X-ray reflecting foils, and the ultraprecise scanning technology. Consideration is also given to an active sun telescope array, the fabrication and performance at 1.33 nm of a 0.24-micron-period multilayer grating, a cylindrical proportional counter for X-ray polarimetry, and the design and analysis of the reflection grating arrays for the X-Ray Multi-Mirror Mission.

  18. Secondary Electron Emission From Solar Cell Coverslides And Its Effect On Absolute Vehicle Charging

    NASA Astrophysics Data System (ADS)

    Ferguson, Dale C.

    2011-10-01

    It has often been stated that earthed conductive solar cell coverslides are the best way to prevent electrostatic discharges on space solar arrays in GEO. While it is true that such coverslides will prevent differential charging on the solar arrays, it will be shown through NASCAP- 2k simulations that the secondary electron emission of such coverslides is very important for absolute vehicle charging. In particular, carbon nanotube coatings, due to the extremely low secondary electron emission from carbon, may exacerbate absolute vehicle charging. However, if they are earthed, because of their conductivity they may minimize differential charging and the possibility of arcing elsewhere on the spacecraft. Such results may also be true for insulative coverslides if spacecraft thermal blankets are made of materials with high secondary electron emission. Finally, photoemission from coverslides is investigated, with regard to anti-reflection coatings. Surfaces which reflect UV can have low photoemission, while those that absorb may have higher photoemission rates. Thus, anti-reflection coatings may lead to higher absolute spacecraft charging rates. NASCAP-2k simulations will be used to investigate these dependences for realistic spacecraft.

  19. The Solar Chromosphere/Corona Interface. I; FUV-EUV Observations and Modeling of Unresolved Coronal Funnels

    NASA Technical Reports Server (NTRS)

    Martinez-Galarce, D. S.; Walker, A. B. C.; Barbee, T. W., II; Hoover, R. B.

    2003-01-01

    A coronal funnel model, developed by Rabin (199l), was tested against a calibrated spectroheliogram recorded in 171 - 175 Angstrom bandpass. This image was recorded on board a sounding rocket experiment flown on 1994 November 3, called the Multi-Spectral Solar Telescope Array, II (MSSTA II), MSSTA, a joint project of Stanford University, the NASA Marshall Space Flight Center and the Lawrence Livermore National Laboratory, is an observing platform composed of a set of normal-incidence, multilayer-coated optics designed to obtain narrow bandpass, high resolution images (1 - 3 arc sec) at selected FUV, EUV and soft X-ray wavelengths (44 Angstroms - 1550 Angstroms). Using full-disk images centered at 1550 Angstroms (C IV) and 173 Angstroms (FE IX/X), the funnel model, which is based on coronal back-heating, was tested against the data incorporating observed constraints on global coverage and measured flux. Found, was a class of funnel models that could account for the quiescent, globally diffuse and unresolved emission seen in the 171 - 175 Angstrom bandpass, where the funnels are assumed to be rooted in the C IV supergranular network. These models, when incorporated with the Chianti spectral code, suggest that this emission is mostly of upper transition region origin and primarily composed of FE IX plasma. The funnels are found to have constrictions, Gamma approx. 6 - 20, which is in good agreement with the observations. Further, the fitted models simultaneously satisfy global areal constraints seen in both images; namely, that a global network of funnels must cover approx. 70 - 95 % of the total solar surface area seen in the 171 - 175 Angstrom image, and = 45 % of the disk area seen in the 1550 Angstrom bandpass. These findings support the configuration of the EUV magnetic network as suggested by Reeves et af. (1974) and put forth in more detail by Gabriel (1976). Furthermore, the models are in good agreement with differential emission measure estimates made of the

  20. Track membranes with open pores used as diffractive filters for space-based x-ray and EUV solar observations.

    PubMed

    Dominique, Marie; Mitrofanov, A V; Hochedez, J-F; Apel, P Yu; Schühle, U; Pudonin, F A; Orelovich, O L; Zuev, S Yu; Bolsée, D; Hermans, C; BenMoussa, A

    2009-02-10

    We describe the fabrication and performance of diffractive filters designed for space-based x-ray and EUV solar observations. Unlike traditional thin film filters, diffractive filters can be made to have a high resistance against the destructive mechanical and acoustic loads of a satellite launch. The filters studied are made of plastic track-etched membranes that are metal-coated on one side only. They have all-through open cylindrical pores with diameters as small as 500 nm, limiting their transmittance to very short wavelengths. The spectral transmittance of various diffractive filters with different pore parameters was measured from the soft x-ray to the near IR range (namely, from 1-1100 nm).

  1. EUV Waves Driven by the Sudden Expansion of Transequatorial Loops Caused by Coronal Jets

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Tang, Zehao; Miao, Yuhu; Su, Jiangtao; Liu, Yu

    2018-06-01

    We present two events to study the driving mechanism of extreme-ultraviolet (EUV) waves that are not associated with coronal mass ejections (CMEs), by using high-resolution observations taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Observational results indicate that the observed EUV waves were accompanied by flares and coronal jets, but not the CMEs that were regarded as drivers of most EUV waves in previous studies. In the first case, it is observed that a coronal jet is ejected along a transequatorial loop system at a plane-of-the-sky (POS) speed of 335 ± 22 km s{}-1; in the meantime, an arc-shaped EUV wave appeared on the eastern side of the loop system. In addition, the EUV wave further interacted with another interconnecting loop system and launched a fast propagating (QFP) magnetosonic wave along the loop system, which had a period of 200 s and a speed of 388 ± 65 km s{}-1, respectively. In the second case, we observed a coronal jet that ejected at a POS speed of 282 ± 44 km s{}-1 along a transequatorial loop system as well as the generation of bright EUV waves on the eastern side of the loop system. Based on the observational results, we propose that the observed EUV waves on the eastern side of the transequatorial loop systems are fast-mode magnetosonic waves and that they are driven by the sudden lateral expansion of the transequatorial loop systems due to the direct impingement of the associated coronal jets, while the QFP wave in the fist case formed due to the dispersive evolution of the disturbance caused by the interaction between the EUV wave and the interconnecting coronal loops. It is noted that EUV waves driven by sudden loop expansions have shorter lifetimes than those driven by CMEs.

  2. Solar measurements from the Airglow-Solar Spectrometer Instrument (ASSI) on the San Marco 5 satellite

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.

    1994-01-01

    The analysis of the solar spectral irradiance from the Airglow-Solar Spectrometer Instrument (ASSI) on the San Marco 5 satellite is the focus for this research grant. A pre-print copy of the paper describing the calibrations of and results from the San Marco ASSI is attached to this report. The calibration of the ASSI included (1) transfer of photometric calibration from a rocket experiment and the Solar Mesosphere Explorer (SME), (2) use of the on-board radioactive calibration sources, (3) validation of the ASSI sensitivity over its field of view, and (4) determining the degradation of the spectrometers. We have determined that the absolute values for the solar irradiance needs adjustment in the current proxy models of the solar UV irradiance, and the amount of solar variability from the proxy models are in reasonable agreement with the ASSI measurements. This research grant also has supported the development of a new solar EUV irradiance proxy model. We expected that the magnetic flux is responsible for most of the heating, via Alfen waves, in the chromosphere, transition region, and corona. From examining time series of solar irradiance data and magnetic fields at different levels, we did indeed find that the chromospheric emissions correlate best with the large magnetic field levels.

  3. Reconstruction of Solar Extreme Ultraviolet Flux 1740 - 2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, Leif

    2016-11-01

    Solar extreme ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo-ionization of molecular oxygen. Solar heating of the ionosphere creates thermal winds, which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and falls with the Sun, and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us to deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the "Magnetic Crusade" of the 1830s and less reliable, but still usable, data are available for portions of the 100 years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F_{10.7} flux and the sunspot number, and we find that the reconstructed EUV flux reproduces the F_{10.7} flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant "solar magnetic ground state".

  4. Comparison of measured and modeled solar EUV flux and its effect on the E-F1 region ionosphere

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Buonsanto, M.J.; Solomon, S.C.; Tobiska, W.K.

    The response of the E-F1 region ionosphere to different solar EUV flux models is investigated theoretically using two different photochemical schemes, and the results are compared with incoherent scatter radar electron density measurements taken at Millstone Hill. The latest EUV flux model (Tobiska, 1991), which incorporates more recent measurements, has generally more flux at short wavelengths compared to the Hinteregger et al. (1981) flux model based on AE-E satellite data. This results in better agreement with the measurements in the E-F1 region and above. The Tobiska flux model, however, gives a smaller E{prime} region peak density, due to the influencemore » of low Lyman {beta} flux in the November 10, 1988, rocket measurements of Woods and Rottman (1990). The photochemical scheme of Buonsanto (1990) has been improved and now gives results similar to the more comprehensive scheme of (Solomon et al., 1988; Solomon and Abreu, 1989; S.C. Solomon and R.G. Roble, Simulation of the global thermospheric airglow, 1, Methodology, submitted to Journal of Geophysical Research, 1992), provided that the ratios of photoelectron impact ionization to photoionization (pe/pi) given by this latter model are included. The pe/pi ratios calculated by this model and by the models of Lilensten et al. (1989) and Richards and Torr (1988) differ significantly, and work is needed to resolve these differences.« less

  5. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  6. A battery power model for the EUVE spacecraft

    NASA Technical Reports Server (NTRS)

    Yen, Wen L.; Littlefield, Ronald G.; Mclean, David R.; Tuchman, Alan; Broseghini, Todd A.; Page, Brenda J.

    1993-01-01

    This paper describes a battery power model that has been developed to simulate and predict the behavior of the 50 ampere-hour nickel-cadmium battery that supports the Extreme Ultraviolet Explorer (EUVE) spacecraft in its low Earth orbit. First, for given orbit, attitude, solar array panel and spacecraft load data, the model calculates minute-by-minute values for the net power available for charging the battery for a user-specified time period (usually about two weeks). Next, the model is used to calculate minute-by-minute values for the battery voltage, current and state-of-charge for the time period. The model's calculations are explained for its three phases: sunrise charging phase, constant voltage phase, and discharge phase. A comparison of predicted model values for voltage, current and state-of-charge with telemetry data for a complete charge-discharge cycle shows good correlation. This C-based computer model will be used by the EUVE Flight Operations Team for various 'what-if' scheduling analyses.

  7. Solar photoionization as a loss mechanism of neutral interstellar hydrogen in interplanetary space

    NASA Technical Reports Server (NTRS)

    Ogawa, H. S.; Wu, C. Y. Robert; Gangopadhyay, P.; Judge, D. L.

    1995-01-01

    Two primary loss mechanisms of interstellar neutral hydrogen in interplanetary space are resonance charge exchange ionization with solar wind protons and photoionization by solar EUV radiation. The later process has often been neglected since the average photoionization rate has been estimated to be as much as 5 to 10 times smaller than the charge exchange rate. These factors are based on ionization rates from early measurements of solar EUV and solar wind fluxes. Using revised solar EUV and solar wind fluxes measured near the ecliptic plane we have reinvestigated the ionization rates of interplanetary hydrogen. The result of our analysis indicates that indeed the photoionization rate during solar minimum can be smaller than charge exchange by a factor of 5; however, during solar maximum conditions when solar EUV fluxes are high, and solar wind fluxes are low, photoionization can be over 60% of the charge exchange rate at Earth orbit. To obtain an accurate estimate of the importance of photoionization relative to charge exchange, we have included photoionization from both the ground and metastable states of hydrogen. We find, however, that the photoionization from the metastable state does not contribute significantly to the overall photoionization rate.

  8. The EUVE Proposal Database

    NASA Astrophysics Data System (ADS)

    Christian, C. A.; Olson, E. C.

    1993-01-01

    The proposal database and scheduling system for the Extreme Ultraviolet Explorer is described. The proposal database has been implemented to take input for approved observations selected by the EUVE Peer Review Panel and output target information suitable for the scheduling system to digest. The scheduling system is a hybrid of the SPIKE program and EUVE software which checks spacecraft constraints, produces a proposed schedule and selects spacecraft orientations with optimal configurations for acquiring star trackers, etc. This system is used to schedule the In Orbit Calibration activities that took place this summer, following the EUVE launch in early June 1992. The strategy we have implemented has implications for the selection of approved targets, which have impacted the Peer Review process. In addition, we will discuss how the proposal database, founded on Sybase, controls the processing of EUVE Guest Observer data.

  9. Recent solar extreme ultraviolet irradiance observations and modeling: A review

    NASA Technical Reports Server (NTRS)

    Tobiska, W. Kent

    1993-01-01

    For more than 90 years, solar extreme ultraviolet (EUV) irradiance modeling has progressed from empirical blackbody radiation formulations, through fudge factors, to typically measured irradiances and reference spectra was well as time-dependent empirical models representing continua and line emissions. A summary of recent EUV measurements by five rockets and three satellites during the 1980s is presented along with the major modeling efforts. The most significant reference spectra are reviewed and threee independently derived empirical models are described. These include Hinteregger's 1981 SERF1, Nusinov's 1984 two-component, and Tobiska's 1990/1991/SERF2/EUV91 flux models. They each provide daily full-disk broad spectrum flux values from 2 to 105 nm at 1 AU. All the models depend to one degree or another on the long time series of the Atmosphere Explorer E (AE-E) EUV database. Each model uses ground- and/or space-based proxies to create emissions from solar atmospheric regions. Future challenges in EUV modeling are summarized including the basic requirements of models, the task of incorporating new observations and theory into the models, the task of comparing models with solar-terrestrial data sets, and long-term goals and modeling objectives. By the late 1990s, empirical models will potentially be improved through the use of proposed solar EUV irradiance measurements and images at selected wavelengths that will greatly enhance modeling and predictive capabilities.

  10. Extreme Ultraviolet Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO): Overview of Science Objectives, Instrument Design, Data Products, and Model Developments

    NASA Technical Reports Server (NTRS)

    Woods, T. N.; Eparvier, F. G.; Hock, R.; Jones, A. R.; Woodraska, D.; Judge, D.; Didkovsky, L.; Lean, J.; Mariska, J.; Warren, H.; hide

    2010-01-01

    The highly variable solar extreme ultraviolet (EUV) radiation is the major energy input to the Earth's upper atmosphere, strongly impacting the geospace environment, affecting satellite operations, communications, and navigation. The Extreme ultraviolet Variability Experiment (EVE) onboard the NASA Solar Dynamics Observatory (SDO) will measure the solar EUV irradiance from 0.1 to 105 nm with unprecedented spectral resolution (0.1 nm), temporal cadence (ten seconds), and accuracy (20%). EVE includes several irradiance instruments: The Multiple EUV Grating Spectrographs (MEGS)-A is a grazingincidence spectrograph that measures the solar EUV irradiance in the 5 to 37 nm range with 0.1-nm resolution, and the MEGS-B is a normal-incidence, dual-pass spectrograph that measures the solar EUV irradiance in the 35 to 105 nm range with 0.1-nm resolution. To provide MEGS in-flight calibration, the EUV SpectroPhotometer (ESP) measures the solar EUV irradiance in broadbands between 0.1 and 39 nm, and a MEGS-Photometer measures the Sun s bright hydrogen emission at 121.6 nm. The EVE data products include a near real-time space-weather product (Level 0C), which provides the solar EUV irradiance in specific bands and also spectra in 0.1-nm intervals with a cadence of one minute and with a time delay of less than 15 minutes. The EVE higher-level products are Level 2 with the solar EUV irradiance at higher time cadence (0.25 seconds for photometers and ten seconds for spectrographs) and Level 3 with averages of the solar irradiance over a day and over each one-hour period. The EVE team also plans to advance existing models of solar EUV irradiance and to operationally use the EVE measurements in models of Earth s ionosphere and thermosphere. Improved understanding of the evolution of solar flares and extending the various models to incorporate solar flare events are high priorities for the EVE team.

  11. Resonance Scattering of Fe XVII X-ray and EUV Lines

    NASA Technical Reports Server (NTRS)

    Bhatia, A. K.; Saba, J. L. R.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    Over the years a number of calculations have been carried out to derive intensities of various X-ray and EUV lines in Fe XVII to compare with observed spectra. The predicted intensities have not agreed with solar observations, particularly for the line at 1.5.02 Angstroms; resonance scattering has been suggested as the source for much of the disagreement. The atomic data calculated earlier used seven configurations having n=3 orbitals and the scattering calculations were carried out only for incident energies above the threshold of the highest fine-structure level. These calculations have now been extended to thirteen configurations having n=4 orbitals and the scattering calculations are carried out below as well as above the threshold of the highest fine structure level. These improved calculations of Fe XVII change the intensity ratios compared to those obtained earlier, bringing the optically thin F(15.02)/F(16.78) ratio and several other ratios closer to the observed values. However, some disagreement with the solar observations still persists, even thought the agreement of the presently calculated optically thin F(15.02)/F(15.26) ratio with the experimental results of Brown et al. (1998) and Laming et al. (2000) has improved. Some of the remaining discrepancy is still thought to be the effect of opacity, which is consistent with expected physical conditions for solar sources. EUV intensity ratios are also calculated and compared with observations. Level populations and intensity ratios are calculated, as a function of column density of Fe XVII, in the slab and cylindrical geometries. As found previously, the predicted intensities for the resonance lines at 15.02 and 15.26 Angstroms exhibit initial increases in flux relative to the forbidden line at 17.10 Angstroms and the resonance line at 16.78 Angstroms as optical thickness increases. The same behavior is predicted for the lines at 12.262 and 12.122 Angstroms. Predicted intensities for some of the allowed

  12. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, S. D.; Kuehne, M.; Lemaire, P.; Marsch, E.

    1992-01-01

    The experiment Solar Ultraviolet Measurements of Emitted Radiation (SUMER) is designed for the investigations of plasma flow characteristics, turbulence and wave motions, plasma densities and temperatures, structures and events associated with solar magnetic activity in the chromosphere, the transition zone and the corona. Specifically, SUMER will measure profiles and intensities of Extreme Ultraviolet (EUV) lines emitted in the solar atmosphere ranging from the upper chromosphere to the lower corona; determine line broadenings, spectral positions and Doppler shifts with high accuracy, provide stigmatic images of selected areas of the Sun in the EUV with high spatial, temporal and spectral resolution and obtain full images of the Sun and the inner corona in selectable EUV lines, corresponding to a temperature from 10,000 to more than 1,800,000 K.

  13. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  14. The Longitudinal Properties of a Solar Energetic Particle Event Investigated Using Modern Solar Imaging

    NASA Technical Reports Server (NTRS)

    Rouillard, A. P.; Sheeley, N.R. Jr.; Tylka, A.; Vourlidas, A.; Ng, C. K.; Rakowski, C.; Cohen, C. M. S.; Mewaldt, R. A.; Mason, G. M.; Reames, D.; hide

    2012-01-01

    We use combined high-cadence, high-resolution, and multi-point imaging by the Solar-Terrestrial Relations Observatory (STEREO) and the Solar and Heliospheric Observatory to investigate the hour-long eruption of a fast and wide coronal mass ejection (CME) on 2011 March 21 when the twin STEREO spacecraft were located beyond the solar limbs. We analyze the relation between the eruption of the CME, the evolution of an Extreme Ultraviolet (EUV) wave, and the onset of a solar energetic particle (SEP) event measured in situ by the STEREO and near-Earth orbiting spacecraft. Combined ultraviolet and white-light images of the lower corona reveal that in an initial CME lateral "expansion phase," the EUV disturbance tracks the laterally expanding flanks of the CME, both moving parallel to the solar surface with speeds of approx 450 km/s. When the lateral expansion of the ejecta ceases, the EUV disturbance carries on propagating parallel to the solar surface but devolves rapidly into a less coherent structure. Multi-point tracking of the CME leading edge and the effects of the launched compression waves (e.g., pushed streamers) give anti-sunward speeds that initially exceed 900 km/s at all measured position angles. We combine our analysis of ultraviolet and white-light images with a comprehensive study of the velocity dispersion of energetic particles measured in situ by particle detectors located at STEREO-A (STA) and first Lagrange point (L1), to demonstrate that the delayed solar particle release times at STA and L1 are consistent with the time required (30-40 minutes) for the CME to perturb the corona over a wide range of longitudes. This study finds an association between the longitudinal extent of the perturbed corona (in EUV and white light) and the longitudinal extent of the SEP event in the heliosphere.

  15. GOES-R SUVI EUV Flatfields Generated Using Boustrophedon Scans

    NASA Astrophysics Data System (ADS)

    Shing, L.; Edwards, C.; Mathur, D.; Vasudevan, G.; Shaw, M.; Nwachuku, C.

    2017-12-01

    The Solar Ultraviolet Imager (SUVI) is mounted on the Solar Pointing Platform (SPP) of the Geostationary Operational Environmental Satellite, GOES-R. SUVI is a Generalized Cassegrain telescope with a large field of view that employs multilayer coatings optimized to operate in six extreme ultraviolet (EUV) narrow bandpasses centered at 9.4, 13.1, 17.1, 19.5, 28.4 and 30.4 nm. The SUVI CCD flatfield response was determined using two different techniques; The Kuhn-Lin-Lorentz (KLL) Raster and a new technique called, Dynamic Boustrophedon Scans. The new technique requires less time to collect the data and is also less sensitive to Solar features compared with the KLL method. This paper presents the flatfield results of the SUVI using this technique during Post Launch Testing (PLT).

  16. Identification of coronal sources of the solar wind from solar images in the EUV spectral range

    NASA Astrophysics Data System (ADS)

    Slemzin, V. A.; Shugai, Yu. S.

    2015-01-01

    Methods of localizing coronal sources of the solar wind (SW), such as coronal holes, quasi-stationary fluxes from active regions, and transient sources associated with small-scale active phenomena are considered based on vacuum-ultraviolet (EUV) images of the corona at low solar activity during the initial period of the 24th solar cycle (2010). It is shown that a SW velocity profile can be calculated from the relative areas of coronal holes (CH) at the central part of the disk based on the images in the ranges of 193 and 171 Å. The images in the 193 Å describe the geometry of large HCs that represent sources of fast SW well. The images in 171 Å are a better visualization of small CHs, based on which the profile of a slow SW component was calculated to a high accuracy (up to 65 km/s). According to Hinode/EIS data of October 15, 2010, using the Doppler spectroscopy method at the streamer base over the active region 11112, the source of the outgoing plasma flux with the mean velocity of 17 km/s was localized in the magnetic field region with an intensity of less than 200 Gauss. According to the estimate, the density of the plasma flux from this source is an order of magnitude greater than the value required for explaining the distinction between the calculated and measured profiles of a slow SW velocity. For finding the transient SW component based on small-scale flare activity, SW parameters were analyzed for the periods of flares accompanied by coronal mass ejections (CMEs), and for the periods without flares, according to the data obtained in 2010 from the ACE and GOES satellites and by coronagraphs on the STEREO-A and - B spacecraft. The ion ratios C+6/C+5 and O+7/O+6 and the mean charge of Fe ions for periods with flares were shown to be shifted toward large values, suggesting the presence of a hot SW component associated with flare activity. A noticeable correlation between the maximum charge of Fe ions and the peak power of a flare, previously observed for

  17. Universal EUV in-band intensity detector

    DOEpatents

    Berger, Kurt W.

    2004-08-24

    Extreme ultraviolet light is detected using a universal in-band detector for detecting extreme ultraviolet radiation that includes: (a) an EUV sensitive photodiode having a diode active area that generates a current responsive to EUV radiation; (b) one or more mirrors that reflects EUV radiation having a defined wavelength(s) to the diode active area; and (c) a mask defining a pinhole that is positioned above the diode active area, wherein EUV radiation passing through the pinhole is restricted substantially to illuminating the diode active area.

  18. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.; Oegerle, William R. (Technical Monitor)

    2002-01-01

    Attempts to measure polarization in coronal EUV emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584A, He II 304A, 0 IV 555+610A, 0 V 630A, Mg IX 368A, Mg X 610+625A, and Si XI 303A, formed at temperatures that evenly cover the range in logT from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  19. Convective blueshifts in the solar atmosphere. I. Absolute measurements with LARS of the spectral lines at 6302 Å

    NASA Astrophysics Data System (ADS)

    Löhner-Böttcher, J.; Schmidt, W.; Stief, F.; Steinmetz, T.; Holzwarth, R.

    2018-03-01

    Context. The solar convection manifests as granulation and intergranulation at the solar surface. In the photosphere, convective motions induce differential Doppler shifts to spectral lines. The observed convective blueshift varies across the solar disk. Aim. We focus on the impact of solar convection on the atmosphere and aim to resolve its velocity stratification in the photosphere. Methods: We performed high-resolution spectroscopic observations of the solar spectrum in the 6302 Å range with the Laser Absolute Reference Spectrograph at the Vacuum Tower Telescope. A laser frequency comb enabled the calibration of the spectra to an absolute wavelength scale with an accuracy of 1 m s-1. We systematically scanned the quiet Sun from the disk center to the limb at ten selected heliocentric positions. The analysis included 99 time sequences of up to 20 min in length. By means of ephemeris and reference corrections, we translated wavelength shifts into absolute line-of-sight velocities. A bisector analysis on the line profiles yielded the shapes and convective shifts of seven photospheric lines. Results: At the disk center, the bisector profiles of the iron lines feature a pronounced C-shape with maximum convective blueshifts of up to -450 m s-1 in the spectral line wings. Toward the solar limb, the bisectors change into a "\\"-shape with a saturation in the line core at a redshift of +100 m s-1. The center-to-limb variation of the line core velocities shows a slight increase in blueshift when departing the disk center for larger heliocentric angles. This increase in blueshift is more pronounced for the magnetically less active meridian than for the equator. Toward the solar limb, the blueshift decreases and can turn into a redshift. In general, weaker lines exhibit stronger blueshifts. Conclusions: Best spectroscopic measurements enabled the accurate determination of absolute convective shifts in the solar photosphere. We convolved the results to lower spectral

  20. A Long-Term Dissipation of the EUV He ii (30.4 nm) Segmentation in Full-Disk Solar Images

    NASA Astrophysics Data System (ADS)

    Didkovsky, Leonid

    2018-06-01

    Some quiet-Sun days observed by the Atmospheric Imaging Assembly (AIA) on-board the Solar Dynamics Observatory (SDO) during the time interval in 2010 - 2017 were used to continue our previous analyses reported by Didkovsky and Gurman ( Solar Phys. 289, 153, 2014a) and Didkovsky, Wieman, and Korogodina ( Solar Phys. 292, 32, 2017). The analysis consists of determining and comparing spatial spectral ratios (spectral densities over some time interval) from spatial (segmentation-cell length) power spectra. The ratios were compared using modeled compatible spatial frequencies for spectra from the Extreme ultraviolet Imaging Telescope (EIT) on-board the Solar and Heliospheric Observatory (SOHO) and from AIA images. With the new AIA data added to the EIT data we analyzed previously, the whole time interval from 1996 to 2017 reported here is approximately the length of two "standard" solar cycles (SC). The spectral ratios of segmentation-cell dimension structures show a significant and steady increase with no detected indication of SC-related returns to the values that characterize the SC minima. This increase in spatial power at high spatial frequencies is interpreted as a dissipation of medium-size EUV network structures to smaller-size structures in the transition region. Each of the latest ratio changes for 2010 through 2017 spectra calculated for a number of consecutive short-term intervals has been converted into monthly mean ratio (MMR) changes. The MMR values demonstrate variable sign and magnitudes, thus confirming the solar nature of the changes. These changes do not follow a "typical" trend of instrumental degradation or a long-term activity profile from the He ii (30.4 nm) irradiance measured by the Extreme ultraviolet Spectrophotometer (ESP) either. The ESP is a channel of the Extreme ultraviolet Variability Experiment (EVE) on-board SDO.

  1. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  2. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  3. Error Budget for a Calibration Demonstration System for the Reflected Solar Instrument for the Climate Absolute Radiance and Refractivity Observatory

    NASA Technical Reports Server (NTRS)

    Thome, Kurtis; McCorkel, Joel; McAndrew, Brendan

    2013-01-01

    A goal of the Climate Absolute Radiance and Refractivity Observatory (CLARREO) mission is to observe highaccuracy, long-term climate change trends over decadal time scales. The key to such a goal is to improving the accuracy of SI traceable absolute calibration across infrared and reflected solar wavelengths allowing climate change to be separated from the limit of natural variability. The advances required to reach on-orbit absolute accuracy to allow climate change observations to survive data gaps exist at NIST in the laboratory, but still need demonstration that the advances can move successfully from to NASA and/or instrument vendor capabilities for spaceborne instruments. The current work describes the radiometric calibration error budget for the Solar, Lunar for Absolute Reflectance Imaging Spectroradiometer (SOLARIS) which is the calibration demonstration system (CDS) for the reflected solar portion of CLARREO. The goal of the CDS is to allow the testing and evaluation of calibration approaches, alternate design and/or implementation approaches and components for the CLARREO mission. SOLARIS also provides a test-bed for detector technologies, non-linearity determination and uncertainties, and application of future technology developments and suggested spacecraft instrument design modifications. The resulting SI-traceable error budget for reflectance retrieval using solar irradiance as a reference and methods for laboratory-based, absolute calibration suitable for climatequality data collections is given. Key components in the error budget are geometry differences between the solar and earth views, knowledge of attenuator behavior when viewing the sun, and sensor behavior such as detector linearity and noise behavior. Methods for demonstrating this error budget are also presented.

  4. Test Plan for a Calibration Demonstration System for the Reflected Solar Instrument for the Climate Absolute Radiance and Refractivity Observatory

    NASA Technical Reports Server (NTRS)

    Thome, Kurtis; McCorkel, Joel; Hair, Jason; McAndrew, Brendan; Daw, Adrian; Jennings, Donald; Rabin, Douglas

    2012-01-01

    The Climate Absolute Radiance and Refractivity Observatory (CLARREO) mission addresses the need to observe high-accuracy, long-term climate change trends and to use decadal change observations as the most critical method to determine the accuracy of climate change. One of the major objectives of CLARREO is to advance the accuracy of SI traceable absolute calibration at infrared and reflected solar wavelengths. This advance is required to reach the on-orbit absolute accuracy required to allow climate change observations to survive data gaps while remaining sufficiently accurate to observe climate change to within the uncertainty of the limit of natural variability. While these capabilities exist at NIST in the laboratory, there is a need to demonstrate that it can move successfully from NIST to NASA and/or instrument vendor capabilities for future spaceborne instruments. The current work describes the test plan for the Solar, Lunar for Absolute Reflectance Imaging Spectroradiometer (SOLARIS) which is the calibration demonstration system (CDS) for the reflected solar portion of CLARREO. The goal of the CDS is to allow the testing and evaluation of calibration approaches , alternate design and/or implementation approaches and components for the CLARREO mission. SOLARIS also provides a test-bed for detector technologies, non-linearity determination and uncertainties, and application of future technology developments and suggested spacecraft instrument design modifications. The end result of efforts with the SOLARIS CDS will be an SI-traceable error budget for reflectance retrieval using solar irradiance as a reference and methods for laboratory-based, absolute calibration suitable for climate-quality data collections. The CLARREO mission addresses the need to observe high-accuracy, long-term climate change trends and advance the accuracy of SI traceable absolute calibration. The current work describes the test plan for the SOLARIS which is the calibration demonstration

  5. CONTRIBUTION OF VELOCITY VORTICES AND FAST SHOCK REFLECTION AND REFRACTION TO THE FORMATION OF EUV WAVES IN SOLAR ERUPTIONS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Hongjuan; Liu, Siqing; Gong, Jiancun

    2015-06-01

    We numerically study the detailed evolutionary features of the wave-like disturbance and its propagation in the eruption. This work is a follow-up to Wang et al., using significantly upgraded new simulations. We focus on the contribution of the velocity vortices and the fast shock reflection and refraction in the solar corona to the formation of the EUV waves. Following the loss of equilibrium in the coronal magnetic structure, the flux rope exhibits rapid motions and invokes the fast-mode shock at the front of the rope, which then produces a type II radio burst. The expansion of the fast shock, whichmore » is associated with outward motion, takes place in various directions, and the downward expansion shows the reflection and the refraction as a result of the non-uniform background plasma. The reflected component of the fast shock propagates upward and the refracted component propagates downward. As the refracted component reaches the boundary surface, a weak echo is excited. The Moreton wave is invoked as the fast shock touches the bottom boundary, so the Moreton wave lags the type II burst. A secondary echo occurs in the area where reflection of the fast shock encounters the slow-mode shock, and the nearby magnetic field lines are further distorted because of the interaction between the secondary echo and the velocity vortices. Our results indicate that the EUV wave may arise from various processes that are revealed in the new simulations.« less

  6. Spatial Structure of Multimode Oscillations in a Solar Flare on 14 May 2013 in EUV and Radio Bands

    NASA Astrophysics Data System (ADS)

    Kolotkov, Dmitry; Nakariakov, Valery; Nisticò, Giuseppe; Shibasaki, Kiyoto; Kupriyanova, Elena

    Quasi-periodic pulsations and coronal loop oscillations in an X-class solar flare on 14 May 2013 are considered. Rapidly decaying kink oscillations of coronal loops with periods of several minutes in the flaring active region detected in the EUV band with SDO/AIA after the impulsive phase of the flare. Oscillations of neighbouring loops are excited simultaneously, but get rapidly out of phase. In the impulsive phase, observations in the radio band with the Nobeyama Radioheliograph and Radiopolarimeter show quasi-periodic pulsations that are most pronounced in the 17 GHz band. In the correlation plots and the integrated flux the pulsations have a symmetric triangular shape. The period of pulsations is about 1 min. Analysis of the spatial locations of the radio sources reveal that the triangularity is likely to be caused by superposition of several harmonic modes.

  7. Update on EUV radiometry at PTB

    NASA Astrophysics Data System (ADS)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  8. Mechanisms of EUV exposure: electrons and holes

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.

  9. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    PubMed

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  10. Effects of Solar Irradiance on Ion Fluxes at Mars. MARS EXPRESS and MAVEN Observations

    NASA Astrophysics Data System (ADS)

    Dubinin, E.; Fraenz, M.; McFadden, J. P.; Eparvier, F. G.; Brain, D. A.; Jakosky, B. M.; Andrews, D. J.; Barbash, S.

    2016-12-01

    Recent observations by Mars Express and MAVEN spacecraft have shown that the Martian atmosphere/ionosphere is exposed to the impact of solar wind which results in losses of volatiles from Mars. This erosion is an important factor for the evolution of the Martian atmosphere and its water inventory. To estimate the escape forced by the solar wind during the early Solar system conditions we need to know how the ionosphere of Mars and escape fluxes depend on variations in the strength of the external drivers, in particularly, of solar wind and solar EUV flux. We present multi-instrument observations of the influence of the solar irradiance on the Martian ionosphere and escape fluxes. We use data obtained by the ASPERA-3 and MARSIS experiments on Mars Express and by the STATIC instrument and EUV monitor on MAVEN. Observations by Mars Express supplemented by the EUV monitoring at Earth orbit and translated to Mars orbit provide us information about this dependence over more than 10 years whereas the measurements made by MAVEN provide us for the first time the opportunity to study these processes with simultaneous monitoring of the ionospheric variations, planetary ion fluxes and solar irradiance. We can show that fluxes of planetary ions through different escape channels (trans-terminator fluxes, ion plume, plasma sheet) respond differently on the EUV variations. The most significant effect on the ion scavenging with increase of the solar irradiance is observed for low energy ions extracted from the ionosphere while the ion fluxes in the plume are almost insensitive to the EUV variations.

  11. Analytical techniques for mechanistic characterization of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  12. Testing the Interstellar Wind Helium Flow Direction with Galileo Euvs Data

    NASA Astrophysics Data System (ADS)

    Pryor, W. R.; Simmons, K. E.; Ajello, J. M.; Tobiska, W. K.; Retherford, K. D.; Stern, S. A.; Feldman, P. D.; Frisch, P. C.; Bzowski, M.; Grava, C.

    2014-12-01

    Forty years of measurements of the flow of interstellar helium through the heliosphere suggest that variations of the flow direction with time are possible. We will model Galileo Extreme Ultraviolet Spectrometer (EUVS) data to determine the best-fitting flow direction and compare it to values obtained by other spacecraft. The Galileo EUVS (Hord et al., 1992) was mounted on the spinning part of the spacecraft and obtained interstellar wind hydrogen Lyman-alpha 121.6 nm and helium 58.4 nm data on great circles passing near the ecliptic poles during the interplanetary cruise phase of the mission and also during the Jupiter orbital phase of the mission. The Galileo hydrogen cruise data have been previously published (Hord et al., 1991, Pryor et al., 1992; 1996; 2001), but the helium data have not. Our model was previously used by Ajello et al., 1978, 1979 to model Mariner 10 interstellar wind helium data, and by Stern et al., 2012 and Feldman et al., 2012 to model the interplanetary helium background near the moon in Lunar Reconnaissance Orbiter (LRO) Lyman-alpha Mapping Project (LAMP) data. The model has been updated to include recent determinations of daily helium 58.4 nm solar flux variations and helium losses due to EUV photoionization and electron impact ionization.

  13. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers

    NASA Astrophysics Data System (ADS)

    Larivière, Stéphane; Wilson, Christopher J.; Kutrzeba Kotowska, Bogumila; Versluijs, Janko; Decoster, Stefan; Mao, Ming; van der Veen, Marleen H.; Jourdan, Nicolas; El-Mekki, Zaid; Heylen, Nancy; Kesters, Els; Verdonck, Patrick; Béral, Christophe; Van den Heuvel, Dieter; De Bisschop, Peter; Bekaert, Joost; Blanco, Victor; Ciofi, Ivan; Wan, Danny; Briggs, Basoene; Mallik, Arindam; Hendrickx, Eric; Kim, Ryoung-han; McIntyre, Greg; Ronse, Kurt; Bömmels, Jürgen; Tőkei, Zsolt; Mocuta, Dan

    2018-03-01

    The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore's law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations. Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers. In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.

  14. Calibration of the Reflected Solar Instrument for the Climate Absolute Radiance and Refractivity Observatory

    NASA Technical Reports Server (NTRS)

    Thome, Kurtis; Barnes, Robert; Baize, Rosemary; O'Connell, Joseph; Hair, Jason

    2010-01-01

    The Climate Absolute Radiance and Refractivity Observatory (CLARREO) plans to observe climate change trends over decadal time scales to determine the accuracy of climate projections. The project relies on spaceborne earth observations of SI-traceable variables sensitive to key decadal change parameters. The mission includes a reflected solar instrument retrieving at-sensor reflectance over the 320 to 2300 nm spectral range with 500-m spatial resolution and 100-km swath. Reflectance is obtained from the ratio of measurements of the earth s surface to those while viewing the sun relying on a calibration approach that retrieves reflectance with uncertainties less than 0.3%. The calibration is predicated on heritage hardware, reduction of sensor complexity, adherence to detector-based calibration standards, and an ability to simulate in the laboratory on-orbit sources in both size and brightness to provide the basis of a transfer to orbit of the laboratory calibration including a link to absolute solar irradiance measurements.

  15. Non-Potential Magnetic Fields and Magnetic Reconnection In Low Collisional Plasmas-Discovery of Solar EUV Mini-Sigmoids and Development of Novel In-Space Propulsion Systems

    NASA Astrophysics Data System (ADS)

    Chesny, David

    Magnetic reconnection is the source of many of the most powerful explosions of astrophysical plasmas in the universe. Blazars, magnetars, stellar atmospheres, and planetary magnetic fields have all been shown to be primary sites of strong reconnection events. For studying the fundamental physics behind this process, the solar atmosphere is our most accessible laboratory setting. Magnetic reconnection resulting from non-potential fields leads to plasma heating and particle acceleration, often in the form of explosive activity, contributing to coronal heating and the solar wind. Large-scale non-potential (sigmoid) fields in the solar atmosphere are poorly understood due to their crowded neighborhoods. For the first time, small-scale, non-potential loop structures have been observed in quiet Sun EUV observations. Fourteen unique mini-sigmoid events and three diffuse non-potential loops have been discovered, suggesting a multi-scaled self-similarity in the sigmoid formation process. These events are on the order of 10 arcseconds in length and do not appear in X-ray emissions, where large-scale sigmoids are well documented. We have discovered the first evidence of sigmoidal structuring in EUV bright point phenomena, which are prolific events in the solar atmosphere. Observations of these mini-sigmoids suggest that they are being formed via tether-cutting reconnection, a process observed to occur at active region scales. Thus, tether-cutting is suggested to be ubiquitous throughout the solar atmosphere. These dynamics are shown to be a function of the free magnetic energy in the quiet Sun network. Recently, the reconnection process has been reproduced in Earth-based laboratory tokamaks. Easily achievable magnetic field configurations can induce reconnection and result in ion acceleration. Here, magnetic reconnection is utilized as the plasma acceleration mechanism for a theoretical propulsion system. The theory of torsional spine reconnection is shown to result in ion

  16. Results of solar observations by the CORONAS-F payload

    NASA Astrophysics Data System (ADS)

    Kuznetsov, V. D.; Sobelman, I. I.; Zhitnik, I. A.; Kuzin, S. V.; Kotov, Yu. D.; Charikov, Yu. E.; Kuznetsov, S. N.; Mazets, E. P.; Nusinov, A. A.; Pankov, A. M.; Sylwester, J.

    2011-05-01

    The CORONAS-F mission experiments and results have been reviewed. The observations with the DIFOS multi-channel photometer in a broad spectral range from 350 to 1500 nm have revealed the dependence of the relative amplitudes of p-modes of the global solar oscillations on the wavelength that agrees perfectly well with the earlier data obtained in a narrower spectral ranges. The SPIRIT EUV observations have enabled the study of various manifestations of solar activity and high-temperature events on the Sun. The data from the X-ray spectrometer RESIK, gamma spectrometer HELICON, flare spectrometer IRIS, amplitude-temporal spectrometer AVS-F, and X-ray spectrometer RPS-1 have been used to analyze the X- and gamma-ray emission from solar flares and for diagnostics of the flaring plasma. The absolute and relative content of various elements (such as potassium, argon, and sulfur) of solar plasma in flares has been determined for the first time with the X-ray spectrometer RESIK. The Solar Cosmic Ray Complex monitored the solar flare effects in the Earth's environment. The UV emission variations recorded during solar flares in the vicinity of the 120-nm wavelength have been analyzed and the amplitude of relative variations has been determined.

  17. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2002-01-01

    Attempts to measure polarization in coronal extreme ultraviolet (EUV) emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584 A, He II 304 A, O IV 555+610 A, O V 630 A, Mg IX 368 A, Mg X 610+625 A, and Si XI 303 A, formed at temperatures that evenly cover the range in log T from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Thus the large-scale corona is remarkably uniform, even though clearly displaying a great deal of structure and non-uniformity on smaller spatial scales. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  18. Modeling of the EUV and X-Ray Emission Spectra Induced by the Solar Winds Ions in the Heliosphere

    NASA Technical Reports Server (NTRS)

    Kharchenko, Vasili

    2005-01-01

    We have carried out investigation of the EUV and X-ray emission spectra induced in interaction between the Solar Wind (SW) and interstellar neutral gas. The spectra of most important SW ions have been computed for the charge-exchange mechanism of X-ray emission using new accurate spectroscopic data from recent laboratory measurements and theoretical calculations. Total spectra have been constructed as a sum of spectra induced in the charge-exchange collisions by individual O(exp q+), C(exp q+), N(exp q+), Ne(exp q+), Mg (exp q+) and Fe(exp q+) ions. Calculations have been performed for X-ray emission from the heliospheric hydrogen and helium gas. X-ray maps of the heliosphere have been computed. The power density of X-ray sources in the heliospheric ecliptic plane is shown for the H gas and for the He gas. Distances from the Sun (0,0) are given in AU. The helium cone is clear seen in the X-ray map of the charge-exchange emission induced by the solar wind. X-ray emission spectra detected by the Chandra X-ray telescope from the "dark" side of Moon has been identified as a X-ray background emission induced by the solar wind from the geocorona. Spectra and intensities of this charge-exchange X-rays have been compared with the heliospheric component of the X-ray background. Observations and modeling of the SW spectra induced from the geocorona indicate a strong presence of emission lines of highly charged oxygen ions. Anisotropy in distribution of heliospheric X-rays has been predicted and calculated for the regions of the fast and slow solar winds.

  19. Segmentation of photospheric magnetic elements corresponding to coronal features to understand the EUV and UV irradiance variability

    NASA Astrophysics Data System (ADS)

    Zender, J. J.; Kariyappa, R.; Giono, G.; Bergmann, M.; Delouille, V.; Damé, L.; Hochedez, J.-F.; Kumara, S. T.

    2017-09-01

    Context. The magnetic field plays a dominant role in the solar irradiance variability. Determining the contribution of various magnetic features to this variability is important in the context of heliospheric studies and Sun-Earth connection. Aims: We studied the solar irradiance variability and its association with the underlying magnetic field for a period of five years (January 2011-January 2016). We used observations from the Large Yield Radiometer (LYRA), the Sun Watcher with Active Pixel System detector and Image Processing (SWAP) on board PROBA2, the Atmospheric Imaging Assembly (AIA), and the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO). Methods: The Spatial Possibilistic Clustering Algorithm (SPoCA) is applied to the extreme ultraviolet (EUV) observations obtained from the AIA to segregate coronal features by creating segmentation maps of active regions (ARs), coronal holes (CHs) and the quiet sun (QS). Further, these maps are applied to the full-disk SWAP intensity images and the full-disk (FD) HMI line-of-sight (LOS) magnetograms to isolate the SWAP coronal features and photospheric magnetic counterparts, respectively. We then computed full-disk and feature-wise averages of EUV intensity and line of sight (LOS) magnetic flux density over ARs/CHs/QS/FD. The variability in these quantities is compared with that of LYRA irradiance values. Results: Variations in the quantities resulting from the segmentation, namely the integrated intensity and the total magnetic flux density of ARs/CHs/QS/FD regions, are compared with the LYRA irradiance variations. We find that the EUV intensity over ARs/CHs/QS/FD is well correlated with the underlying magnetic field. In addition, variations in the full-disk integrated intensity and magnetic flux density values are correlated with the LYRA irradiance variations. Conclusions: Using the segmented coronal features observed in the EUV wavelengths as proxies to isolate the underlying

  20. Novel EUV mask black border suppressing EUV and DUV OoB light reflection

    NASA Astrophysics Data System (ADS)

    Ito, Shin; Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Maruyama, Shingo; Watanabe, Genta; Yoshida, Itaru; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-05-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel BB called `Hybrid Black Border' (HBB) has been developed to eliminate EUV and DUV OOB light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern, defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the corners of the die. A CD uniformity budget including

  1. Nanoplasmonic generation of ultrashort EUV pulses

    NASA Astrophysics Data System (ADS)

    Choi, Joonhee; Lee, Dong-Hyub; Han, Seunghwoi; Park, In-Yong; Kim, Seungchul; Kim, Seung-Woo

    2012-10-01

    Ultrashort extreme-ultraviolet (EUV) light pulses are an important tool for time-resolved pump-probe spectroscopy to investigate the ultrafast dynamics of electrons in atoms and molecules. Among several methods available to generate ultrashort EUV light pulses, the nonlinear frequency upconversion process of high-harmonic generation (HHG) draws attention as it is capable of producing coherent EUV pulses with precise control of burst timing with respect to the driving near-infrared (NIR) femtosecond laser. In this report, we present and discuss our recent experimental data obtained by the plasmon-driven HHG method that generate EUV radiation by means of plasmonic nano-focusing of NIR femtosecond pulses. For experiment, metallic waveguides having a tapered hole of funnel shape inside were fabricated by adopting the focused-ion-beam process on a micro-cantilever substrate. The plasmonic field formed within the funnelwaveguides being coupled with the incident femtosecond pulse permitted intensity enhancement by a factor of ~350, which creates a hot spot of sub-wavelength size with intensities strong enough for HHG. Experimental results showed that with injection of noble gases into the funnel-waveguides, EUV radiation is generated up to wavelengths of 32 nm and 29.6 nm from Ar and Ne gas atoms, respectively. Further, it was observed that lower-order EUV harmonics are cut off in the HHG spectra by the tiny exit aperture of the funnel-waveguide.

  2. Surface roughness control by extreme ultraviolet (EUV) radiation

    NASA Astrophysics Data System (ADS)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  3. Coordinated XTE/EUVE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, ASCA, and XTE observed the eclipsing binary Algol (Beta Per) from 1-7 Feb. 96. The coordinated observation covered approximately 2 binary orbits of the system, with a net exposure of approximately 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointing), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the Fe abundance in the Algol system.

  4. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  5. EUVE observations of the Moon

    NASA Technical Reports Server (NTRS)

    Gladstone, G. R.; Mcdonald, J. S.; Boyd, W. T.

    1993-01-01

    During its all-sky survey, the Extreme Ultraviolet Explorer (EUVE) satellite observed the Moon several times at first and last quarters, and once near the Dec. 10, 1992 lunar eclipse. We present a preliminary reduction and analysis of this data, in the form of EUV images of the Moon and derived albedos.

  6. On the Performance of Multi-Instrument Solar Flare Observations During Solar Cycle 24

    NASA Astrophysics Data System (ADS)

    Milligan, Ryan O.; Ireland, Jack

    2018-02-01

    The current fleet of space-based solar observatories offers us a wealth of opportunities to study solar flares over a range of wavelengths. Significant advances in our understanding of flare physics often come from coordinated observations between multiple instruments. Consequently, considerable efforts have been, and continue to be, made to coordinate observations among instruments ( e.g. through the Max Millennium Program of Solar Flare Research). However, there has been no study to date that quantifies how many flares have been observed by combinations of various instruments. Here we describe a technique that retrospectively searches archival databases for flares jointly observed by the Ramaty High Energy Solar Spectroscopic Imager (RHESSI), Solar Dynamics Observatory (SDO)/ EUV Variability Experiment (EVE - Multiple EUV Grating Spectrograph (MEGS)-A and -B, Hinode/( EUV Imaging Spectrometer, Solar Optical Telescope, and X-Ray Telescope), and Interface Region Imaging Spectrograph (IRIS). Out of the 6953 flares of GOES magnitude C1 or greater that we consider over the 6.5 years after the launch of SDO, 40 have been observed by 6 or more instruments simultaneously. Using each instrument's individual rate of success in observing flares, we show that the numbers of flares co-observed by 3 or more instruments are higher than the number expected under the assumption that the instruments operated independently of one another. In particular, the number of flares observed by larger numbers of instruments is much higher than expected. Our study illustrates that these missions often acted in cooperation, or at least had aligned goals. We also provide details on an interactive widget ( Solar Flare Finder), now available in SSWIDL, which allows a user to search for flaring events that have been observed by a chosen set of instruments. This provides access to a broader range of events in order to answer specific science questions. The difficulty in scheduling coordinated

  7. CME Expansion as the Driver of Metric Type II Shock Emission as Revealed by Self-consistent Analysis of High-Cadence EUV Images and Radio Spectrograms

    NASA Astrophysics Data System (ADS)

    Kouloumvakos, A.; Patsourakos, S.; Hillaris, A.; Vourlidas, A.; Preka-Papadema, P.; Moussas, X.; Caroubalos, C.; Tsitsipis, P.; Kontogeorgos, A.

    2014-06-01

    On 13 June 2010, an eruptive event occurred near the solar limb. It included a small filament eruption and the onset of a relatively narrow coronal mass ejection (CME) surrounded by an extreme ultraviolet (EUV) wave front recorded by the Solar Dynamics Observatory's (SDO) Atmospheric Imaging Assembly (AIA) at high cadence. The ejection was accompanied by a GOES M1.0 soft X-ray flare and a Type-II radio burst; high-resolution dynamic spectra of the latter were obtained by the Appareil de Routine pour le Traitement et l'Enregistrement Magnetique de l'Information Spectral (ARTEMIS IV) radio spectrograph. The combined observations enabled a study of the evolution of the ejecta and the EUV wave front and its relationship with the coronal shock manifesting itself as metric Type-II burst. By introducing a novel technique, which deduces a proxy of the EUV compression ratio from AIA imaging data and compares it with the compression ratio deduced from the band-split of the Type-II metric radio burst, we are able to infer the potential source locations of the radio emission of the shock on that AIA images. Our results indicate that the expansion of the CME ejecta is the source for both EUV and radio shock emissions. Early in the CME expansion phase, the Type-II burst seems to originate in the sheath region between the EUV bubble and the EUV shock front in both radial and lateral directions. This suggests that both the nose and the flanks of the expanding bubble could have driven the shock.

  8. Vertical Oscillation of a Coronal Cavity Triggered by an EUV Wave

    NASA Astrophysics Data System (ADS)

    Zhang, Q. M.; Ji, H. S.

    2018-06-01

    In this paper, we report our multiwavelength observations of the vertical oscillation of a coronal cavity on 2011 March 16. The elliptical cavity with an underlying horn-like quiescent prominence was observed by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. The width and height of the cavity are 150″ and 240″, and the centroid of cavity is 128″ above the solar surface. At ∼17:50 UT, a C3.8 two-ribbon flare took place in active region 11169 close to the solar western limb. Meanwhile, a partial halo coronal mass ejection erupted and propagated at a linear speed of ∼682 km s‑1. Associated with the eruption, a coronal extreme-ultraviolet (EUV) wave was generated and propagated in the northeast direction at a speed of ∼120 km s‑1. Once the EUV wave arrived at the cavity from the top, it pushed the large-scale overlying magnetic field lines downward before bouncing back. At the same time, the cavity started to oscillate coherently in the vertical direction and lasted for ∼2 cycles before disappearing. The amplitude, period, and damping time are 2.4–3.5 Mm, 29–37 minutes, and 26–78 minutes, respectively. The vertical oscillation of the cavity is explained by a global standing MHD wave of fast kink mode. To estimate the magnetic field strength of the cavity, we use two independent methods of prominence seismology. It is found that the magnetic field strength is only a few Gauss and less than 10 G.

  9. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  10. EUVE GO Survey: High Levels of User Satisfaction

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.

    2000-12-01

    This paper describes the results of a detailed customer survey of Guest Observers (GOs) for NASA's Extreme Ultraviolet Explorer (EUVE) astronomy satellite observatory. The purpose of the research survey was to (1) measure the levels of GO customer satisfaction with respect to EUVE observing services, and (2) compare the observing experiences of EUVE GOs with their experiences using other satellite observatories. This survey was conducted as a business research project -- part of the author's graduate work as an MBA candidate. A total sample of 38 respondents, from a working population of 101 "active" EUVE GOs, participated in this survey. The results, which provided a profile of the "typical" EUVE GO, showed in a statistically significant fashion that these GOs were more than satisfied with the available EUVE observing services. In fact, the sample GOs generally rated their EUVE observing experiences to be better than average as compared to their experiences as GOs on other missions. These relatively high satisfaction results are particularly pleasing to the EUVE Project which, given its significantly reduced staffing environment at U.C. Berkeley, has continued to do more with less. This paper outlines the overall survey process: the relevant background and previous research, the survey design and methodology, and the final results and their interpretation. The paper also points out some general limitations and weaknesses of the study, along with some recommended actions for the EUVE Project and for NASA in general. This work was funded by NASA/UCB Cooperative Agreement NCC5-138.

  11. SWAP OBSERVATIONS OF THE LONG-TERM, LARGE-SCALE EVOLUTION OF THE EXTREME-ULTRAVIOLET SOLAR CORONA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seaton, Daniel B.; De Groof, Anik; Berghmans, David

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV solar telescope on board the Project for On-Board Autonomy 2 spacecraft has been regularly observing the solar corona in a bandpass near 17.4 nm since 2010 February. With a field of view of 54 × 54 arcmin, SWAP provides the widest-field images of the EUV corona available from the perspective of the Earth. By carefully processing and combining multiple SWAP images, it is possible to produce low-noise composites that reveal the structure of the EUV corona to relatively large heights. A particularly important step in this processing was tomore » remove instrumental stray light from the images by determining and deconvolving SWAP's point-spread function from the observations. In this paper, we use the resulting images to conduct the first-ever study of the evolution of the large-scale structure of the corona observed in the EUV over a three year period that includes the complete rise phase of solar cycle 24. Of particular note is the persistence over many solar rotations of bright, diffuse features composed of open magnetic fields that overlie polar crown filaments and extend to large heights above the solar surface. These features appear to be related to coronal fans, which have previously been observed in white-light coronagraph images and, at low heights, in the EUV. We also discuss the evolution of the corona at different heights above the solar surface and the evolution of the corona over the course of the solar cycle by hemisphere.« less

  12. Rocket flight of a multilayer coated high-density EUV toroidal grating

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  13. Automated detection of open magnetic field regions in EUV images

    NASA Astrophysics Data System (ADS)

    Krista, Larisza Diana; Reinard, Alysha

    2016-05-01

    Open magnetic regions on the Sun are either long-lived (coronal holes) or transient (dimmings) in nature, but both appear as dark regions in EUV images. For this reason their detection can be done in a similar way. As coronal holes are often large and long-lived in comparison to dimmings, their detection is more straightforward. The Coronal Hole Automated Recognition and Monitoring (CHARM) algorithm detects coronal holes using EUV images and a magnetogram. The EUV images are used to identify dark regions, and the magnetogam allows us to determine if the dark region is unipolar - a characteristic of coronal holes. There is no temporal sensitivity in this process, since coronal hole lifetimes span days to months. Dimming regions, however, emerge and disappear within hours. Hence, the time and location of a dimming emergence need to be known to successfully identify them and distinguish them from regular coronal holes. Currently, the Coronal Dimming Tracker (CoDiT) algorithm is semi-automated - it requires the dimming emergence time and location as an input. With those inputs we can identify the dimming and track it through its lifetime. CoDIT has also been developed to allow the tracking of dimmings that split or merge - a typical feature of dimmings.The advantage of these particular algorithms is their ability to adapt to detecting different types of open field regions. For coronal hole detection, each full-disk solar image is processed individually to determine a threshold for the image, hence, we are not limited to a single pre-determined threshold. For dimming regions we also allow individual thresholds for each dimming, as they can differ substantially. This flexibility is necessary for a subjective analysis of the studied regions. These algorithms were developed with the goal to allow us better understand the processes that give rise to eruptive and non-eruptive open field regions. We aim to study how these regions evolve over time and what environmental

  14. Solar Magnetism eXplorer (Solme X)

    NASA Technical Reports Server (NTRS)

    Peter, Hardi; Abbo, L.; Andretta, V.; Auchere, F.; Bemporad, A.; Berrilli, F.; Bommier, V.; Cassini, R.; Curdt, W.; Davila, J.; hide

    2011-01-01

    The magnetic field plays a pivotal role in many fields of Astrophysics. This is especially true for the physics of the solar atmosphere. Measuring the magnetic field in the upper solar atmosphere is crucial to understand the nature of the underlying physical processes that drive the violent dynamics of the solar corona-that can also affect life on Earth. SolmeX, a fully equipped solar space observatory for remote-sensing observations, will provide the first comprehensive measurements of the strength and direction of the magnetic field in the upper solar atmosphere. The mission consists of two spacecraft, one carrying the instruments, and another one in formation flight at a distance of about 200 m carrying the occulter to provide an artificial total solar eclipse. This will ensure high-quality coronagraphic observations above the solar limb. SolmeX integrates two spectro-polarimetric coronagraphs for off-limb observations, one in the EUV and one in the IR, and three instruments for observations on the disk. The latter comprises one imaging polarimeter in the EUV for coronal studies, a spectro-polarimeter in the EUV to investigate the low corona, and an imaging spectro-polarimeter in the UV for chromospheric studies. SOHO and other existing missions have investigated the emission of the upper atmosphere in detail (not considering polarization), and as this will be the case also for missions planned for the near future. Therefore it is timely that SolmeX provides the final piece of the observational quest by measuring the magnetic field in the upper atmosphere through polarimetric observations

  15. 3D structure and kinematics characteristics of EUV wave front

    NASA Astrophysics Data System (ADS)

    Podladchikova, T.; Veronig, A.; Dissauer, K.

    2017-12-01

    We present 3D reconstructions of EUV wave fronts using multi-point observations from the STEREO-A and STEREO-B spacecraft. EUV waves are large-scale disturbances in the solar corona that are initiated by coronal mass ejections, and are thought to be large-amplitude fast-mode MHD waves or shocks. The aim of our study is to investigate the dynamic evolution of the 3D structure and wave kinematics of EUV wave fronts. We study the events on December 7, 2007 and February 13, 2009 using data from the STEREO/EUVI-A and EUVI-B instruments in the 195 Å filter. The proposed approach is based on a complementary combination of epipolar geometry of stereo vision and perturbation profiles. We propose two different solutions to the matching problem of the wave crest on images from the two spacecraft. One solution is suitable for the early and maximum stage of event development when STEREO-A and STEREO-B see the different facets of the wave, and the wave crest is clearly outlined. The second one is applicable also at the later stage of event development when the wave front becomes diffuse and is faintly visible. This approach allows us to identify automatically the segments of the diffuse front on pairs of STEREO-A and STEREO-B images and to solve the problem of identification and matching of the objects. We find that the EUV wave observed on December 7, 2007 starts with a height of 30-50 Mm, sharply increases to a height of 100-120 Mm about 10 min later, and decreases to 10-20 Mm in the decay phase. Including the 3D evolution of the EUV wave front allowed us to correct the wave kinematics for projection and changing height effects. The velocity of the wave crest (V=215-266 km/s) is larger than the trailing part of the wave pulse (V=103-163 km/s). For the February 9, 2009 event, the upward movement of the wave crest shows an increase from 20 to 100 Mm over a period of 30 min. The velocity of wave crest reaches values of 208-211 km/s.

  16. Destruction of Sun-Grazing Comet C-2011 N3 (SOHO) Within the Low Solar Corona

    NASA Technical Reports Server (NTRS)

    Schrijver, C. J.; Brown, J. C.; Battams, K.; Saint-Hilaire, P.; Liu, W.; Hudson, H.; Pesnell, W. D.

    2012-01-01

    Observations of comets in Sun-grazing orbits that survive solar insolation long enough to penetrate into the Suns inner corona provide information on the solar atmosphere and magnetic field as well as on the makeup of the comet. On 6 July 2011, the Solar Dynamics Observatory (SDO) observed the demise of comet C2011 N3 (SOHO) within the low solar corona in five wavelength bands in the extreme ultraviolet (EUV). The comet penetrated to within 0.146 solarradius (100,000 kilometers) of the solar surface before its EUV signal disappeared.

  17. EUV laser produced and induced plasmas for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  18. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    NASA Astrophysics Data System (ADS)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  19. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    NASA Astrophysics Data System (ADS)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  20. EUVE observations of Algol: Detection of a continuum and implications for the coronal (Fe/H) abundance

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.; Lemen, James R.; Schmitt, Jurgen H. M. M.; Pye, John P.

    1995-01-01

    We report results from the first extreme ultraviolet spectrum of the prototypical eclipsing binary Algol (beta Per), obtained with the spectrometers on the Extreme Ultraviolet Explorer (EUVE). The Algol spectrum in the 80-350 A range is dominated by emission lines of Fe XVI-XXIV, and the He II 304 A line. The Fe emission is characteristic of high-temperature plasma at temperatures up to at least log T approximately 7.3 K. We have successfully modeled the observed quiescent spectrum using a continuous emission measure distribution with the bulk of the emitting material at log T greater than 6.5. We are able to adequately fit both the coronal lines and continuum data with a cosmic abundance plasma, but only if Algol's quiescent corona is dominated by material at log T greater than 7.5, which is physically ruled out by prior X-ray observations of the quiescent Algol spectrum. Since the coronal (Fe/H) abundance is the principal determinant of the line-to-continuum ratio in the EUV, allowing the abundance to be a free parameter results in models with a range of best-fit abundances approximately = 15%-40% of solar photospheric (Fe/H). Since Algol's photospheric (Fe/H) appears to be near-solar, the anomalous EUV line-to-continuum ratio could either be the result of element segregation in the coronal formation process, or other, less likely mechanisms that may enhance the continuum with respect to the lines.

  1. Particle protection capability of SEMI-compliant EUV-pod carriers

    NASA Astrophysics Data System (ADS)

    Huang, George; He, Long; Lystad, John; Kielbaso, Tom; Montgomery, Cecilia; Goodwin, Frank

    2010-04-01

    With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready, EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.

  2. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  3. Space Solar Patrol data and changes in weather and climate, including global warming

    NASA Astrophysics Data System (ADS)

    Avakyan, S. V.; Baranova, L. A.; Leonov, N. B.; Savinov, E. P.; Voronin, N. A.

    2010-08-01

    In this paper, the results obtained during the execution of several ISTC projects are presented. The general aim of these projects has been the study of global changes in the environment, connected with solar activity. A brief description of the optical apparatus of the Space Solar Patrol (SSP) developed and built in the framework of the ISTC projects 385, 385.2, 1523 and 2500 is given. The SSP is intended for permanent monitoring of spectra and absolute fluxes of soft x-ray and extreme ultraviolet (x-ray/EUV) radiation from the full disk of the Sun which ionizes the upper atmosphere of the Earth. Permanent solar monitoring in the main part of the ionizing radiation spectra 0.8-115 (119) nm does not exist. The apparatus of the SSP was developed in the years 1996-2005 with multiyear experience of developing such apparatus in S I Vavilov State Optical Institute. The basis of this apparatus is the use of unique detectors of ionizing radiation—open secondary electron multipliers, which are 'solar blind' to near UV, visible and IR radiation from the Sun, and new methodology of these solar spectroradiometric absolute measurements. The prospects are discussed of using the SSP data for the investigation and forecast of the influence of solar variability on the weather and climate including global warming and also on the biosphere including human beings (proposal 3878). This article was originally submitted for inclusion with the papers from the 9th International Symposium on Measurement Science and Intelligent Instruments (ISMTII-2009), published in the May 2010 issue.

  4. Multi-Wavelength Imaging of Solar Plasma - High-Beta Disruption Model of Solar Flares -

    NASA Astrophysics Data System (ADS)

    Shibasaki, Kiyoto

    Solar atmosphere is filled with plasma and magnetic field. Activities in the atmosphere are due to plasma instabilities in the magnetic field. To understand the physical mechanisms of activities / instabilities, it is necessary to know the physical conditions of magnetized plasma, such as temperature, density, magnetic field, and their spatial structures and temporal developments. Multi-wavelength imaging is essential for this purpose. Imaging observations of the Sun at microwave, X-ray, EUV and optical ranges are routinely going on. Due to free exchange of original data among solar physics and related field communities, we can easily combine images covering wide range of spectrum. Even under such circumstances, we still do not understand the cause of activities in the solar atmosphere well. The current standard model of solar activities is based on magnetic reconnection: release of stored magnetic energy by reconnection is the cause of solar activities on the Sun such as solar flares. However, recent X-ray, EUV and microwave observations with high spatial and temporal resolution show that dense plasma is involved in activities from the beginning. Based on these observations, I propose a high-beta model of solar activities, which is very similar to high-beta disruptions in magnetically confined fusion experiments.

  5. Vacuum ultraviolet instrumentation for solar irradiance and thermospheric airglow

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.; Rottman, Gary J.; Bailey, Scott M.; Solomon, Stanley C.

    1993-01-01

    A NASA sounding rocket experiment was developed to study the solar extreme ultraviolet (EUV) spectral irradiance and its effect on the upper atmosphere. Both the solar flux and the terrestrial molecular nitrogen via the Lyman-Birge-Hopfield bands in the far ultraviolet (FUV) were measured remotely from a sounding rocket on October 27, 1992. The rocket experiment also includes EUV instruments from Boston University (Supriya Chakrabarti), but only the National Center for Atmospheric Research (NCAR)/University of Colorado (CU) four solar instruments and one airglow instrument are discussed here. The primary solar EUV instrument is a 1/4 meter Rowland circle EUV spectrograph which has flown on three rockets since 1988 measuring the solar spectral irradiance from 30 to 110 nm with 0.2 nm resolution. Another solar irradiance instrument is an array of six silicon XUV photodiodes, each having different metallic filters coated directly on the photodiodes. This photodiode system provides a spectral coverage from 0.1 to 80 nm with about 15 nm resolution. The other solar irradiance instrument is a silicon avalanche photodiode coupled with pulse height analyzer electronics. This avalanche photodiode package measures the XUV photon energy providing a solar spectrum from 50 to 12,400 eV (25 to 0.1 nm) with an energy resolution of about 50 eV. The fourth solar instrument is an XUV imager that images the sun at 17.5 nm with a spatial resolution of 20 arc-seconds. The airglow spectrograph measures the terrestrial FUV airglow emissions along the horizon from 125 to 160 nm with 0.2 nm spectral resolution. The photon-counting CODACON detectors are used for three of these instruments and consist of coded arrays of anodes behind microchannel plates. The one-dimensional and two-dimensional CODACON detectors were developed at CU by Dr. George Lawrence. The pre-flight and post-flight photometric calibrations were performed at our calibration laboratory and at the Synchrotron Ultraviolet

  6. Prospect of space-based interferometry at EUV and soft X-ray wavelengths

    NASA Technical Reports Server (NTRS)

    Welsh, Barry Y.; Chakrabarti, Supriya

    1992-01-01

    We review the current capabilities of high-resolution, spectroscopic, space-borne instrumentation available for both solar and stellar observations in the EUV and soft X-ray wavelength regimes, and describe the basic design of a compact, all-reflection interferometer based on the spatial heterodyne technique; this is capable of producing a resolving power (lambda/Delta-lambda) of about 20,000 in the 100-200 A region using presently available multilayer optical components. Such an instrument can be readily constructed with existing technology. Due to its small size and lack of moving parts, it is ideally suited to spaceborne applications. Based on best estimates of the efficiency of this instrument at soft X-ray wavelengths, we review the possible use of this high-resolution interferometer in obtaining high-resolution full-disk spectroscopy of the sun. We also discuss its possible use for observations of diffuse sources such as the EUV interstellar background radiation.

  7. EUV Spectroscopy of High-redshift X-ray Objects

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.

    2010-03-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGNs for example, will have their maxima redshifted into the EUV waveband ( 90-912 Å/0.1-0.01 keV). Consequently, a wealth of spectral diagnostics, provided by, for example, the Fe L-shell complex ( 60-6 Å/0.2-2.0 keV) and the O VII/VIII lines ( 20 Å/0.5 keV), will be lost to X-ray instruments operating at traditional ( 0.5-10 keV) and higher X-ray energies. There are precedents in other wavebands. For example, HST evolutionary studies will become largely the province of JWST. Despite the successes of EUVE, the ROSAT WFC, and the Chandra LETG, the EUV continues to be unappreciated and under-utilized, partly because of a preconception that absorption by neutral galactic Hydrogen in the ISM prevents any useful extragalactic measurements at all EUV wavelengths and, until recently, by a lack of a suitable enabling technology. Thus, if future planned X-ray missions (e.g., IXO, Gen-X) are optimized again for traditional X-ray energies, their performance (effective area, resolving power) will be cut off at ultrasoft X-ray energies or at best be radically reduced in the EUV. This opens up a critical gap in performance located right at short EUV wavelengths, where the critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nano-laminate fabrication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on

  8. First environmental data from the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  9. Coordinated ASCA/EUVE/XTE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, Advanced Satellite for Cosmology and Astrophysics (ASCA), and X-ray Timing Explorer (XTE) observed the eclipsing binary Algol (Beta Per) from 1-7 Feb 1996. The coordinated observation covered approx. 2 binary orbits of the system, with a net exposure of approx. 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointings), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the abundance in the Algol system.

  10. The SWAP EUV Imaging Telescope Part I: Instrument Overview and Pre-Flight Testing

    NASA Astrophysics Data System (ADS)

    Seaton, D. B.; Berghmans, D.; Nicula, B.; Halain, J.-P.; De Groof, A.; Thibert, T.; Bloomfield, D. S.; Raftery, C. L.; Gallagher, P. T.; Auchère, F.; Defise, J.-M.; D'Huys, E.; Lecat, J.-H.; Mazy, E.; Rochus, P.; Rossi, L.; Schühle, U.; Slemzin, V.; Yalim, M. S.; Zender, J.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) is an EUV solar telescope onboard ESA's Project for Onboard Autonomy 2 (PROBA2) mission launched on 2 November 2009. SWAP has a spectral bandpass centered on 17.4 nm and provides images of the low solar corona over a 54×54 arcmin field-of-view with 3.2 arcsec pixels and an imaging cadence of about two minutes. SWAP is designed to monitor all space-weather-relevant events and features in the low solar corona. Given the limited resources of the PROBA2 microsatellite, the SWAP telescope is designed with various innovative technologies, including an off-axis optical design and a CMOS-APS detector. This article provides reference documentation for users of the SWAP image data.

  11. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  12. Design requirements for a stand alone EUV interferometer

    NASA Astrophysics Data System (ADS)

    Michallon, Ph.; Constancias, C.; Lagrange, A.; Dalzotto, B.

    2008-03-01

    EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.

  13. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  14. Association of 3He-rich solar energetic particles with large-scale coronal waves

    NASA Astrophysics Data System (ADS)

    Bucik, Radoslav; Innes, Davina; Guo, Lijia; Mason, Glenn M.; Wiedenbeck, Mark

    2016-07-01

    Impulsive or 3He-rich solar energetic particle (SEP) events have been typically associated with jets or small EUV brightenings. We identify 30 impulsive SEP events from ACE at L1 during the solar minimum period 2007-2010 and examine their solar sources with high resolution STEREO-A EUV images. At beginning of 2007, STEREO-A was near the Earth while at the end of the investigated period, when there were more events, STEREO-A was leading the Earth by 90°. Thus STEREO-A provided a better (more direct) view on 3He-rich flares generally located on the western Sun's hemisphere. Surprisingly, we find that about half of the events are associated with large-scale EUV coronal waves. This finding provides new insights on acceleration and transport of 3He-rich SEPs in solar corona. It is believed that elemental and isotopic fractionation in impulsive SEP events is caused by more localized processes operating in the flare sites. The EUV waves have been reported in gradual SEP events in association with fast coronal mass ejections. To examine their role on 3He-rich SEPs production the energy spectra and relative abundances are discussed. R. Bucik is supported by the Deutsche Forschungsgemeinschaft under grant BU 3115/2-1.

  15. Instrumentation and First Results of the Reflected Solar Demonstration System for the Climate Absolute Radiance and Refractivity Observatory

    NASA Technical Reports Server (NTRS)

    McCorkel, Joel; Thome, Kurtis; Hair, Jason; McAndrew, Brendan; Jennings, Don; Rabin, Douglas; Daw, Adrian; Lundsford, Allen

    2012-01-01

    The Climate Absolute Radiance and Refractivity Observatory (CLARREO) mission key goals include enabling observation of high accuracy long-term climate change trends, use of these observations to test and improve climate forecasts, and calibration of operational and research sensors. The spaceborne instrument suites include a reflected solar spectroradiometer, emitted infrared spectroradiometer, and radio occultation receivers. The requirement for the RS instrument is that derived reflectance must be traceable to Sl standards with an absolute uncertainty of <0.3% and the error budget that achieves this requirement is described in previo1L5 work. This work describes the Solar/Lunar Absolute Reflectance Imaging Spectroradiometer (SOLARIS), a calibration demonstration system for RS instrument, and presents initial calibration and characterization methods and results. SOLARIS is an Offner spectrometer with two separate focal planes each with its own entrance aperture and grating covering spectral ranges of 320-640, 600-2300 nm over a full field-of-view of 10 degrees with 0.27 milliradian sampling. Results from laboratory measurements including use of integrating spheres, transfer radiometers and spectral standards combined with field-based solar and lunar acquisitions are presented. These results will be used to assess the accuracy and repeatability of the radiometric and spectral characteristics of SOLARIS, which will be presented against the sensor-level requirements addressed in the CLARREO RS instrument error budget.

  16. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  17. An investigation of solar erythemal ultraviolet radiation at two sites in tourist attraction areas of Thailand

    NASA Astrophysics Data System (ADS)

    Buntoung, Sumaman; Pattarapanitchai, Somjet; Wattan, Rungrat; Masiri, Itsara; Promsen, Worrapass; Tohsing, Korntip; Janjai, Serm

    2013-05-01

    Islands on the southern coasts of Thailand are famous attractions for local and foreign tourists. Tourists usually expose their skins to solar radiation for tanning. Thus information on solar ultraviolet radiation (UV) is of importance for tourists to protect themselves from adverse effects of UV. In this work, solar erythemal ultraviolet radiation (EUV) at two touristic sites namely Samui island (9.451°N, 100.033°E) and Phuket island (8.104°N, 98.304°E) was investigated. In investigating EUV, broadband UV radiometers (Kipp & Zonen, model UVS-B-C) were installed at existing meteorological stations in Samui and Phuket islands. A one-year period of EUV data from these two sites was analyzed. The level of UV index at these sites was studied. The values of UV index higher than 12 at noon time of clear days are usually found in the summer at both sites. Seasonal variation of EUV at both sites was investigated. It was found that the tropical monsoons have strong influence on this variation. Finally, global broadband radiation measured at the sites was also used to establish a correlation between EUV and global broadband radiation. Higher correlation was found for the case of clear sky, as compared to the case of cloudy sky. The correlation obtained from this analysis can be used to estimate EUV from global broadband radiation at these two sites.

  18. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  19. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  20. Discovery of Strong EUV-induced Balmer Emission in the New WD+dM Binary EUVE J2013+40.0 (RE 2013+400)

    NASA Astrophysics Data System (ADS)

    Thorstensen, J. R.; Vennes, S.

    1993-12-01

    The binary system EUVE J2013+40.0 (= RE 2013+400) was discovered in the EUV-selected sample of white dwarfs identified in the course of the ROSAT Wide Field Camera (WFC) all-sky survey (Pounds et al. 1993, MNRAS, 260, 77). The intense extreme ultraviolet (EUV) emission from the hot white dwarf (DAO type) was also detected in the course of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (Bowyer et al. 1993, ApJ, submitted), and the subsequent optical identification campaign suggested the association of EUVE J2013+40.0 with the Feige 24 class of binary systems (see Vennes & Thorstensen, these proceedings). Such systems consist of a hot H-rich white dwarf (DA/DAO) and a red dwarf companion (dM) and are characterized by strong, narrow, variable Balmer emission. We obtained spectroscopy with 4 Angstroms resolution at the Michigan-Dartmouth-MIT Hiltner 2.4 m, covering the Hα and Hβ range. The Hα emission line velocity and equivalent widths varied with a period of 0.708 +/- 0.003 d; the velocity semiamplitude is 89 +/- 3 km s(-1) . The emission equivalent width reaches maximum strength 0.251 +/- 0.007 cycle after maximum emission-line velocity, that is, when the emission source reaches superior conjunction. This is just as expected if the emission arises from reprocessing of the EUV radiation incident upon the face of the dM star facing the white dwarf, as proposed for Feige 24 by Thorstensen et al. (1978, ApJ, 223, 260). EUVE J2013+40.0 is one of a handful of WD+dM binary systems in which the illumination effect is observed with unambiguous clarity. By comparing Feige 24 and EUVE J2013+40.0, and modelling the white dwarf EUV emission and red dwarf Balmer emission, we constrain the orbital inclinations. Additional spectroscopy of EUVE J2013+40.0 is being scheduled to determine the component masses. These are important input data for the study of the close binary systems which arise from common envelope evolution. This work is supported by a forthcoming NASA

  1. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  2. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  3. Carbon contamination topography analysis of EUV masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Y.-J.; Yankulin, L.; Thomas, P.

    2010-03-12

    The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.

  4. Exploring dynamic events in the solar corona

    NASA Astrophysics Data System (ADS)

    Downs, Cooper James

    With the advent of modern computational technology it is now becoming the norm to employ detailed 3D computer models as empirical tools that directly account for the inhomogeneous nature of the Sun-Heliosphere environment. The key advantage of this approach lies in the ability to compare model results directly to observational data and to use a successful comparison (or lack thereof) to glean information on the underlying physical processes. Using extreme ultraviolet waves (EUV waves) as the overarching scientific driver, we apply this observation modeling approach to study the complex dynamics of the magnetic and thermodynamic structures that are observed in the low solar corona. Representing a highly non-trivial effort, this work includes three main scientific thrusts: an initial modeling effort and two EUV wave case-studies. First we document the development of the new Low Corona (LC) model, a 3D time-dependent thermodynamic magnetohydrodynamic (MHD) model implemented within the Space Weather Modeling Framework (SWMF). Observation synthesis methods are integrated within the LC model, which provides the ability to compare model results directly to EUV imaging observations taken by spacecraft. The new model is then used to explore the dynamic interplay between magnetic structures and thermodynamic energy balance in the corona that is caused by coronal heating mechanisms. With the model development complete, we investigate the nature of EUV waves in detail through two case-studies. Starting with the 2008 March 25 event, we conduct a series of numerical simulations that independently vary fundamental parameters thought to govern the physical mechanisms behind EUV waves. Through the subsequent analysis of the 3D data and comparison to observations we find evidence for both wave and non-wave mechanisms contributing to the EUV wave signal. We conclude with a comprehensive observation and modeling analysis of the 2010 June 13 EUV wave event, which was observed by the

  5. Solar and terrestrial physics. [effects of solar activities on earth environment

    NASA Technical Reports Server (NTRS)

    1975-01-01

    The effects of solar radiation on the near space and biomental earth, the upper atmosphere, and the magnetosphere are discussed. Data obtained from the OSO satellites pertaining to the solar cycle variation of extreme ultraviolet (EUV) radiation are analyzed. The effects of solar cycle variation of the characteristics of the solar wind are examined. The fluid mechanics of shock waves and the specific relationship to the characteristics of solar shock waves are investigated. The solar and corpuscular heating of the upper atmosphere is reported based on the findings of the AEROS and NATE experiments. Seasonal variations of the upper atmosphere composition are plotted based on OGO-6 mass spectrometer data.

  6. Automated Identification of Coronal Holes from Synoptic EUV Maps

    NASA Astrophysics Data System (ADS)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  7. An Extreme-ultraviolet Wave Generating Upward Secondary Waves in a Streamer-like Solar Structure

    NASA Astrophysics Data System (ADS)

    Zheng, Ruisheng; Chen, Yao; Feng, Shiwei; Wang, Bing; Song, Hongqiang

    2018-05-01

    Extreme-ultraviolet (EUV) waves, spectacular horizontally propagating disturbances in the low solar corona, always trigger horizontal secondary waves (SWs) when they encounter the ambient coronal structure. We present the first example of upward SWs in a streamer-like structure after the passing of an EUV wave. This event occurred on 2017 June 1. The EUV wave happened during a typical solar eruption including a filament eruption, a coronal mass ejection (CME), and a C6.6 flare. The EUV wave was associated with quasi-periodic fast propagating (QFP) wave trains and a type II radio burst that represented the existence of a coronal shock. The EUV wave had a fast initial velocity of ∼1000 km s‑1, comparable to high speeds of the shock and the QFP wave trains. Intriguingly, upward SWs rose slowly (∼80 km s‑1) in the streamer-like structure after the sweeping of the EUV wave. The upward SWs seemed to originate from limb brightenings that were caused by the EUV wave. All of the results show that the EUV wave is a fast-mode magnetohydrodynamic (MHD) shock wave, likely triggered by the flare impulses. We suggest that part of the EUV wave was probably trapped in the closed magnetic fields of the streamer-like structure, and upward SWs possibly resulted from the release of slow-mode trapped waves. It is believed that the interplay of the strong compression of the coronal shock and the configuration of the streamer-like structure is crucial for the formation of upward SWs.

  8. OBSERVATIONS OF THERMAL FLARE PLASMA WITH THE EUV VARIABILITY EXPERIMENT

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Harry P.; Doschek, George A.; Mariska, John T.

    2013-06-20

    One of the defining characteristics of a solar flare is the impulsive formation of very high temperature plasma. The properties of the thermal emission are not well understood, however, and the analysis of solar flare observations is often predicated on the assumption that the flare plasma is isothermal. The EUV Variability Experiment (EVE) on the Solar Dynamics Observatory provides spectrally resolved observations of emission lines that span a wide range of temperatures (e.g., Fe XV-Fe XXIV) and allow for thermal flare plasma to be studied in detail. In this paper we describe a method for computing the differential emission measuremore » distribution in a flare using EVE observations and apply it to several representative events. We find that in all phases of the flare the differential emission measure distribution is broad. Comparisons of EVE spectra with calculations based on parameters derived from the Geostationary Operational Environmental Satellites soft X-ray fluxes indicate that the isothermal approximation is generally a poor representation of the thermal structure of a flare.« less

  9. The inner magnetosphere ion composition and local time distribution over a solar cycle

    NASA Astrophysics Data System (ADS)

    Kistler, L. M.; Mouikis, C. G.

    2016-03-01

    Using the Cluster/Composition and Distribution Function (CODIF) analyzer data set from 2001 to 2013, a full solar cycle, we determine the ion distributions for H+, He+, and O+ in the inner magnetosphere (L < 12) over the energy range 40 eV to 40 keV as a function magnetic local time, solar EUV (F10.7), and geomagnetic activity (Kp). Concentrating on L = 6-7 for comparison with previous studies at geosynchronous orbit, we determine both the average flux at 90° pitch angle and the pitch angle anisotropy as a function of energy and magnetic local time. We clearly see the minimum in the H+ spectrum that results from the competition between eastward and westward drifts. The feature is weaker in O+ and He+, leading to higher O+/H+ and He+/H+ ratios in the affected region, and also to a higher pitch angle anisotropy, both features expected from the long-term effects of charge exchange. We also determine how the nightside L = 6-7 densities and temperatures vary with geomagnetic activity (Kp) and solar EUV (F10.7). Consistent with other studies, we find that the O+ density and relative abundance increase significantly with both Kp and F10.7. He+ density increases with F10.7, but not significantly with Kp. The temperatures of all species decrease with increasing F10.7. The O+ and He+ densities increase from L = 12 to L ~ 3-4, both absolutely and relative to H+, and then drop off sharply. The results give a comprehensive view of the inner magnetosphere using a contiguous long-term data set that supports much of the earlier work from GEOS, ISEE, Active Magnetospheric Particle Tracer Explorers, and Polar from previous solar cycles.

  10. Solar Coronal Jets Extending to High Altitudes Observed during the 2017 August 21 Total Eclipse

    NASA Astrophysics Data System (ADS)

    Hanaoka, Yoichiro; Hasuo, Ryuichi; Hirose, Tsukasa; Ikeda, Akiko C.; Ishibashi, Tsutomu; Manago, Norihiro; Masuda, Yukio; Morita, Sakuhiro; Nakazawa, Jun; Ohgoe, Osamu; Sakai, Yoshiaki; Sasaki, Kazuhiro; Takahashi, Koichi; Toi, Toshiyuki

    2018-06-01

    Coronal jets, which extend from the solar surface to beyond 2 R ⊙, were observed in the polar coronal hole regions during the total solar eclipse on 2017 August 21. In a time-series of white-light images of the corona spanning 70 minutes taken with our multi-site observations of this eclipse, six jets were found as narrow structures upwardly ejected with an apparent speed of about 450 km s‑1 in polar plumes. On the other hand, extreme-ultraviolet (EUV) images taken with the Atmospheric Image Assembly of the Solar Dynamics Observatory show that all of the eclipse jets were preceded by EUV jets. Conversely, all the EUV jets whose brightnesses are comparable to ordinary soft X-ray jets and that occurred in the polar regions near the eclipse period, were observed as eclipse jets. These results suggest that ordinary polar jets generally reach high altitudes and escape from the Sun as part of the solar wind.

  11. Observations of an Eruptive Solar Flare in the Extended EUV Solar Corona

    NASA Astrophysics Data System (ADS)

    Seaton, Daniel B.; Darnel, Jonathan M.

    2018-01-01

    We present observations of a powerful solar eruption, accompanied by an X8.2 solar flare, from NOAA Active Region 12673 on 2017 September 10 by the Solar Ultraviolet Imager (SUVI) on the GOES-16 spacecraft. SUVI is noteworthy for its relatively large field of view, which allows it to image solar phenomena to heights approaching 2 solar radii. These observations include the detection of an apparent current sheet associated with magnetic reconnection in the wake of the eruption, and evidence of an extreme-ultraviolet wave at some of the largest heights ever reported. We discuss the acceleration of the nascent coronal mass ejection to approximately 2000 km s‑1 at about 1.5 solar radii. We compare these observations with models of eruptions and eruption-related phenomena. We also describe the SUVI data and discuss how the scientific community can access SUVI observations of the event.

  12. SUMER: Solar Ultraviolet Measurements of Emitted Radiation

    NASA Technical Reports Server (NTRS)

    Wilhelm, K.; Axford, W. I.; Curdt, W.; Gabriel, A. H.; Grewing, M.; Huber, M. C. E.; Jordan, M. C. E.; Lemaire, P.; Marsch, E.; Poland, A. I.

    1988-01-01

    The SUMER (solar ultraviolet measurements of emitted radiation) experiment is described. It will study flows, turbulent motions, waves, temperatures and densities of the plasma in the upper atmosphere of the Sun. Structures and events associated with solar magnetic activity will be observed on various spatial and temporal scales. This will contribute to the understanding of coronal heating processes and the solar wind expansion. The instrument will take images of the Sun in EUV (extreme ultra violet) light with high resolution in space, wavelength and time. The spatial resolution and spectral resolving power of the instrument are described. Spectral shifts can be determined with subpixel accuracy. The wavelength range extends from 500 to 1600 angstroms. The integration time can be as short as one second. Line profiles, shifts and broadenings are studied. Ratios of temperature and density sensitive EUV emission lines are established.

  13. EUV tools: hydrogen gas purification and recovery strategies

    NASA Astrophysics Data System (ADS)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  14. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  15. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  16. Ionospheric electron density response to solar flares as viewed by Digisondes

    NASA Astrophysics Data System (ADS)

    Handzo, R.; Forbes, J. M.; Reinisch, Bodo

    2014-04-01

    Solar flares are explosive events on the Sun that release energetic particles, X-rays, EUV, and radio emissions that have an almost immediate impact on Earth's ionosphere-thermosphere (IT) system and/or on operational systems that are affected by IT conditions. To assess such impacts, it is a key that we know how the ionosphere is modified. An objective of this paper is to evaluate how digisondes might serve in this role. Toward this end we utilize data from the Millstone Hill digisonde to reveal the height versus time bottomside F region responses to three X-class flares (X28, X8.3, and X1.7) at a middle latitude site. In terms of percent increase with respect to a preflare hourly mean, the long-lived (> 15-30 min) responses to these flares maximize between about 150 and 250 km and measurably last ~0.75-1.5 h after flare maximum. The relative magnitudes of these responses are complicated by flare position on the solar disk, which determines how much of the EUV solar emissions are attenuated by the solar atmosphere. At Millstone Hill there was little measurable response to these flares near the F2 layer peak; however, at the magnetic equator location of Jicamarca, the F2 peak electron density increased by ~15-40%. Herein, all of these flare response characteristics are interpreted in terms of available modeling results. We propose that such digisonde data, in combination with first-principles models and high-resolution measurements of solar EUV flux emissions (e.g., from Solar Dynamics Observatory/EUV Variability Experiment), can lead us to a deeper understanding of the ionospheric photochemistry and dynamics that underlies a predictive capability.

  17. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  18. Simulations of Solar Jets

    NASA Astrophysics Data System (ADS)

    Kohler, Susanna

    2017-02-01

    Formation of a coronal jet from twisted field lines that have reconnected with the ambient field. The colors show the radial velocity of the plasma. [Adapted from Szente et al. 2017]How do jets emitted from the Suns surface contribute to its corona and to the solar wind? In a recent study, a team of scientists performed complex three-dimensional simulations of coronal jets to answer these questions.Small ExplosionsCoronal jets are relatively small eruptions from the Suns surface, with heights of roughly 100 to 10,000 km, speeds of 10 to 1,000 km/s, and lifetimes of a few minutes to around ten hours. These jets are constantly present theyre emitted even from the quiet Sun, when activity is otherwise low and weve observed them with a fleet of Sun-watching space telescopes spanning the visible, extreme ultraviolet (EUV), and X-ray wavelength bands.A comparison of simulated observations based on the authors model (left panels) to actual EUV and X-ray observations of jets (right panels). [Szente et al. 2017]Due to their ubiquity, we speculate that these jets might contribute to heating the global solar corona (which is significantly hotter than the surface below it, a curiosity known as the coronal heating problem). We can also wonder what role these jets might play in driving the overall solar wind.Launching a JetLed by Judit Szente (University of Michigan), a team of scientists has explored the impact of coronal jets on the global corona and solar wind with a series of numerical simulations. Szente and collaborators used three-dimensional, magnetohydrodynamic simulations that provide realistic treatment of the solar atmosphere, the solar wind acceleration, and the complexities of heat transfer throughout the corona.In the authors simulations, a jet is initiated as a magnetic dipole rotates at the solar surface, winding up field lines. Magnetic reconnection between the twisted lines and the background field then launches the jet from the dense and hot solar

  19. New Views of the Solar Corona from STEREO and SDO

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.

    2012-01-01

    In the last few years, we have been treated to an unusual visual feast of solar observations of the corona in EUV wavelengths. The observations from the two vantage points of STEREO/SECCHI are now capturing the entire solar atmosphere simultaneously in four wavelengths. The SDO/AIA images provide us with arcsecond resolution images of the full visible disk in ten wavelengths. All these data are captured with cadences of a few seconds to a few minutes. In this talk, I review some intriguing results from our first attempts to deal with these observations which touch upon the problems of coronal mass ejection initiation and solar wind generation. I will also discuss data processing techniques that may help us recover even more information from the images. The talk will contain a generous portion of beautiful EUV images and movies of the solar corona.

  20. EUV and X-ray spectroheliograph study

    NASA Technical Reports Server (NTRS)

    Knox, E. D.; Pastor, R. A.; Salamon, A. L.; Sterk, A. A.

    1975-01-01

    The results of a program directed toward the definition of an EUV and X-ray spectroheliograph which has significant performance and operational improvements over the OSO-7 instrument are documented. The program investigated methods of implementing selected changes and incorporated the results of the study into a set of drawings which defines the new instrument. The EUV detector performance degradation observed during the OSO-7 mission was investigated and the most probable cause of the degradation identified.

  1. MUSE, the Multi-Slit Solar Explorer

    NASA Astrophysics Data System (ADS)

    Lemen, J. R.; Tarbell, T. D.; De Pontieu, B.; Wuelser, J. P.

    2017-12-01

    The Multi-Slit Solar Explorer (MUSE) has been selected for a Phase A study for the NASA Heliophysics Small Explorer program. The science objective of MUSE is to make high spatial and temporal resolution imaging and spectral observations of the solar corona and transition region in order to probe the mechanisms responsible for energy release in the corona and understand the dynamics of the solar atmosphere. The physical processes are responsible for heating the corona, accelerating the solar wind, and the rapid release of energy in CMEs and flares. The observations will be tightly coupled to state-of-the-art numerical modeling to provide significantly improved estimates for understanding and anticipating space weather. MUSE contains two instruments: an EUV spectrograph and an EUV context imager. Both have similar spatial resolutions and leverage extensive heritage from previous high-resolution instruments such as IRIS and the HiC rocket payload. The MUSE spectrograph employs a novel multi-slit design that enables a 100x improvement in spectral scanning rates, which will reveal crucial information about the dynamics (e.g., temperature, velocities) of the physical processes that are not observable with current instruments. The MUSE investigation builds on the success of IRIS by combining numerical modeling with a uniquely capable observatory: MUSE will obtain EUV spectra and images with the highest resolution in space (1/3 arcsec) and time (1-4 s) ever achieved for the transition region and corona, along 35 slits and a large context FOV simultaneously. The MUSE consortium includes LMSAL, SAO, Stanford, ARC, HAO, GSFC, MSFC, MSU, and ITA Oslo.

  2. MUSE: the Multi-Slit Solar Explorer

    NASA Astrophysics Data System (ADS)

    Tarbell, Theodore D.; De Pontieu, Bart

    2017-08-01

    The Multi-Slit Solar Explorer is a proposed Small Explorer mission for studying the dynamics of the corona and transition region using both conventional and novel spectral imaging techniques. The physical processes that heat the multi-million degree solar corona, accelerate the solar wind and drive solar activity (CMEs and flares) remain poorly known. A breakthrough in these areas can only come from radically innovative instrumentation and state-of-the-art numerical modeling and will lead to better understanding of space weather origins. MUSE’s multi-slit coronal spectroscopy will use a 100x improvement in spectral raster cadence to fill a crucial gap in our knowledge of Sun-Earth connections; it will reveal temperatures, velocities and non-thermal processes over a wide temperature range to diagnose physical processes that remain invisible to current or planned instruments. MUSE will contain two instruments: an EUV spectrograph (SG) and EUV context imager (CI). Both have similar spatial resolution and leverage extensive heritage from previous high-resolution instruments such as IRIS and the HiC rocket payload. The MUSE investigation will build on the success of IRIS by combining numerical modeling with a uniquely capable observatory: MUSE will obtain EUV spectra and images with the highest resolution in space (1/3 arcsec) and time (1-4 s) ever achieved for the transition region and corona, along 35 slits and a large context FOV simultaneously. The MUSE consortium includes LMSAL, SAO, Stanford, ARC, HAO, GSFC, MSFC, MSU, ITA Oslo and other institutions.

  3. EUV spectroscopy of high-redshift x-ray objects

    NASA Astrophysics Data System (ADS)

    Kowalski, M. P.; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.; Barstow, M. A.

    2010-07-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGN for example, will be redshifted into the EUV waveband. Consequently, a wealth of critical spectral diagnostics, provided by, for example, the Fe L-shell complex and the O VII/VIII lines, will be lost to future planned X-ray missions (e.g., IXO, Gen-X) if operated at traditional X-ray energies. This opens up a critical gap in performance located at short EUV wavelengths, where critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nanolaminate replication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on next-generation instrument designs. We conclude with a discussion of a breakthrough technology, nanolaminate replication, which enables such instruments.

  4. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  5. Method of fabricating reflection-mode EUV diffraction elements

    DOEpatents

    Naulleau, Patrick P.

    2002-01-01

    Techniques for fabricating a well-controlled, quantized-level, engineered surface that serves as substrates for EUV reflection multilayer overcomes problems associated with the fabrication of reflective EUV diffraction elements. The technique when employed to fabricate an EUV diffraction element that includes the steps of: (a) forming an etch stack comprising alternating layers of first and second materials on a substrate surface where the two material can provide relative etch selectivity; (b) creating a relief profile in the etch stack wherein the relief profile has a defined contour; and (c) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. For a typical EUV multilayer, if the features on the substrate are larger than 50 nm, the multilayer will be conformal to the substrate. Thus, the phase imparted to the reflected wavefront will closely match that geometrically set by the surface height profile.

  6. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  7. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  8. High reflectance coatings for space applications in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Gum, Jeffrey S.; Osantowski, John F.; Fleetwood, Charles M.

    1993-01-01

    Advances in optical coating and materials technology have made possible the development of instruments with substantially improved efficiency and made possible to consider more complex optical designs in the EUV. The importance of recent developments in chemical vapor deposited silicon carbide (CVD-SiC), SiC films and multilayer coatings is discussed in the context of EUV instrumentation design. The EUV performance of these coatings as well as some strengths and problem areas for their use in space will be addressed.

  9. EUV spectroscopy in astrophysics: The role of compact objects

    NASA Astrophysics Data System (ADS)

    Wood, K. S.; Kowalski, M. P.; Cruddace, R. G.; Barstow, M. A.

    2006-01-01

    The bulk of radiation from million-degree plasmas is emitted at EUV wavelengths. Such plasmas are ubiquitous in astrophysics, and examples include the atmospheres of white dwarfs, accretion phenomena in cataclysmic variables (CVs) and some active galactic nuclei (AGN), the coronae of active stars, and the interstellar medium (ISM) of our own galaxy as well as of others. Internally, white dwarfs are formally analogous to neutron stars, being stellar configurations where the thermal contribution to support is secondary. Both stellar types have various intrinsic and environmental parameters. Comparison of such analogous systems using scaled parameters can be fruitful. Source class characterization is mature enough that such analogies can be used to compare theoretical ideas across a wide dynamic range in parameters, one example being theories of quasiperiodic oscillations. However, the white dwarf side of this program is limited by the available photometry and spectroscopy at EUV wavelengths, where there exist critical spectral features that contain diagnostic information often not available at other wavelengths. Moreover, interstellar absorption makes EUV observations challenging. Results from an observation of the hot white dwarf G191-B2B are presented to demonstrate the promise of high-resolution EUV spectroscopy. Two types of CVs, exemplified by AM Her and EX Hya, are used to illustrate blending of spectroscopy and timing measurements. Dynamical timescales and envisioned performance parameters of next-generation EUV satellites (effective area >20 cm 2, spectral resolution >10,000) make possible a new level of source modeling. The importance of the EUV cannot be overlooked given that observations are continually being pushed to cosmological distances, where the spectral energy distributions of X-ray bright AGNs, for example, will have their maxima redshifted into the EUV. Sometimes wrongly dismissed for limitations of small bandwidth or local view from optical

  10. Cleaning process for EUV optical substrates

    DOEpatents

    Weber, Frank J.; Spiller, Eberhard A.

    1999-01-01

    A cleaning process for surfaces with very demanding cleanliness requirements, such as extreme-ultraviolet (EUV) optical substrates. Proper cleaning of optical substrates prior to applying reflective coatings thereon is very critical in the fabrication of the reflective optics used in EUV lithographic systems, for example. The cleaning process involves ultrasonic cleaning in acetone, methanol, and a pH neutral soap, such as FL-70, followed by rinsing in de-ionized water and drying with dry filtered nitrogen in conjunction with a spin-rinse.

  11. The quiescent and flaring EUV spectrum of Algol and its relationship to other active coronae. EUV spectroscopy of bright hyades coronae: 71 Tauri and Theta 1 Tauri

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1994-01-01

    This program involves analysis and interpretation of EUVE spectrometer observations of the active stars Algol (beta Per) and 71 Tauri. The EUVE satellite spectrometers observed the prototype eclipsing binary Algol over nearly 1.5 orbital periods. Effective exposure times were 100 ksec and 89 ksec in the short wave (70-180 A) and medium wave (140-370 A) channels. High temperature (up to 20 MK) Fe XVI-XXIV emission lines are clearly detected in the overall spectrum. In addition, a quiescent continuum is present which increases towards shorter wavelengths. Using synthesized spectra of optically thin line and continuum emission folded through the instrumental response, we have examined constraints on the (Fe/H) coronal abundance in Algol. We find that the coronal Fe is underabundant by factors that approximately equal 2-4 relative to solar photospheric values, unless an unreasonably large quantity of coronal plasma at T greater than 30 MK is present in the quiescent spectrum. The latter possibility is, however, inconsistent with available X-ray data. Lightcurves of the high temperature EUV lines compared to line emission at He II 304 A show considerable differences, with much deeper minima present in the He II line during both primary and secondary eclipses. Toward the end of the observation a moderate flare lasting approximately 6 hours was detected in the high temperature Fe emission lines. The 71 Tau observation, for about the same exposure time, revealed only a handful of weak emission lines; however, the strongest lines were also those of Fe XXIII/XX, suggesting a hot coronal plasma. No obvious flaring or other variation was present in the 71 Tau Deep Survey lightcurve.

  12. Fibrillar Chromospheric Spicule-Like Counterparts to an EUV and Soft X-Ray Blowout Coronal Jet

    NASA Technical Reports Server (NTRS)

    Sterling, Alphonse C.; Harra, Louise K.; Moore, Ronald L.

    2010-01-01

    We observe an erupting jet feature in a solar polar coronal hole, using data from Hinode/SOT, EIS, and XRT, with supplemental data from STEREO/EUVI. From EUV and soft X-ray (SXR) images we identify the erupting feature as a blowout coronal jet: in SXRs it is a jet with bright base, and in EUV it appears as an eruption of relatively cool (approximately 50,000 K) material of horizontal size scale approximately 30" originating from the base of the SXR jet. In SOT Ca II H images the most pronounced analog is a pair of thin (approximately 1") ejections, at the locations of either of the two legs of the erupting EUV jet. These Ca II features eventually rise beyond 45", leaving the SOT field of view, and have an appearance similar to standard spicules except that they are much taller. They have velocities similar to that of "type II" spicules, approximately 100 kilometers per second, and they appear to have spicule-like substructures splitting off from them with horizontal velocity approximately 50 kilometers per second, similar to the velocities of splitting spicules measured by Sterling et al. (2010). Motions of splitting features and of other substructures suggest that the macroscopic EUV jet is spinning or unwinding as it is ejected. This and earlier work suggests that a sub-population of Ca II type II spicules are the Ca II manifestation of portions of larger-scale erupting magnetic jets. A different sub-population of type II spicules could be blowout jets occurring on a much smaller horizontal size scale than the event we observe here.

  13. Laboratory Studies in UV and EUV Solar Physics

    NASA Technical Reports Server (NTRS)

    Wagner, William J. (Technical Monitor); Kohl, John L.

    2005-01-01

    A new 5 GHZ Electron Cyclotron Resonance (ECR) ion source for SAO's Ion Beam Experiment was designed, built and tested. Absolute cross sections were measured for electron impact excitation (EIE) in C(2+) (2s2p (3)P(sup o) - 2p(sup 2) (3)P), and empirical EIE rate coefficients were derived. The absolute cross section for EIE in Si(2+) (3s3p (3)P(sup o) - 3s3p (1)P(sup o)) was measured, and our experimental values for absolute cross sections for EIE in C(3+) (2s (2)S - 2p (2)P(sup o)) were reanalyzed and compared to values obtained by other experimental methods and by theory. In addition, a paper was published. The development and testing of the new ion source, the Si(2+) EIE measurements, and the reevaluation of the cross sections for C(3+) resulted from the Ph.D. research of Paul H. Janzen who completed the degree requirements for the Harvard University Department of Physics in 2002. John Kohl served as the Ph.D.Thesis Advisor. Because of delays in bringing the new ion source on line, the measurements of EIE in C(2+) (2s2p (3)P(sup o) - (2)p(sup 2) (3)P) were not completed until 2004. Preparations for measurements of EIE in C(2+) (1s(sup 2) (1)S - 2s2p (1)P(sup o)) are currently underway.

  14. A very small and super strong zebra pattern burst at the beginning of a solar flare

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tan, Baolin; Tan, Chengming; Zhang, Yin

    2014-08-01

    Microwave emission with spectral zebra pattern structures (ZPs) is frequently observed in solar flares and the Crab pulsar. The previous observations show that ZP is a structure only overlapped on the underlying broadband continuum with slight increments and decrements. This work reports an unusually strong ZP burst occurring at the beginning of a solar flare observed simultaneously by two radio telescopes located in China and the Czech Republic and by the EUV telescope on board NASA's satellite Solar Dynamics Observatory on 2013 April 11. It is a very short and super strong explosion whose intensity exceeds several times that ofmore » the underlying flaring broadband continuum emission, lasting for just 18 s. EUV images show that the flare starts from several small flare bursting points (FBPs). There is a sudden EUV flash with extra enhancement in one of these FBPs during the ZP burst. Analysis indicates that the ZP burst accompanying an EUV flash is an unusual explosion revealing a strong coherent process with rapid particle acceleration, violent energy release, and fast plasma heating simultaneously in a small region with a short duration just at the beginning of the flare.« less

  15. Classification and Physical parameters EUV coronal jets with STEREO/SECCHI.

    NASA Astrophysics Data System (ADS)

    Nistico, Giuseppe; Bothmer, Volker; Patsourakos, Spiro; Zimbardo, Gaetano

    In this work we present observations of EUV coronal jets, detected with the SECCHI (Sun Earth Connection Coronal and Heliospheric Investigation) imaging suites of the two STEREO spacecraft. Starting from catalogues of polar and equatorial coronal hole jets (Nistico' et al., Solar Phys., 259, 87, 2009; Ann. Geophys. in press), identified from simultaneous EUV and white-light coronagraph observations, taken during the time period March 2007 to April 2008 when solar activity was at minimum, we perfom a detailed study of some events. A basic char-acterisation of the magnetic morphology and identification of the presence of helical structure were established with respect to recently proposed models for their origin and temporal evo-lution. A classification of the events with respect to previous jet studies shows that amongst the 79 events, identified into polar coronal holes, there were 37 Eiffel tower -type jet events commonly interpreted as a small-scale ( 35 arcsec) magnetic bipole reconnecting with the ambi-ent unipolar open coronal magnetic fields at its looptops, 12 lambda-type jet events commonly interpreted as reconnection with the ambient field happening at the bipoles footpoints. Five events were termed micro-CME type jet events because they resembled classical three-part structured coronal mass ejections (CMEs) but on much smaller scales. The remainig 25 cases could not be uniquely classified. Thirty-one of the total number of events exhibited a helical magnetic field structure, indicative for a torsional motion of the jet around its axis of propaga-tion. The jet events are found to be also present in equatorial coronal holes. We also present the 3-D reconstruction, temperature, velocity, and density measurements of a number of jets during their evolution.

  16. Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Kim, Jinsu; Lowe, Jeff; Dattilo, Davide; Koh, Soowan; Choi, Jun Yeol; Dietze, Uwe; Shoki, Tsutomu; Kim, Byung Gook; Jeon, Chan-Uk

    2015-10-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation.

  17. Solar Flare Impulsive Phase Observations from SDO and Other Observatories

    NASA Technical Reports Server (NTRS)

    Chamberlin, Phillip C.; Woods, Thomas N.; Schrijver, Karel; Warren, Harry; Milligan, Ryan; Christe, Steven; Brosius, Jeffrey W.

    2010-01-01

    With the start of normal operations of the Solar Dynamics Observatory in May 2010, the Extreme ultraviolet Variability Experiment (EVE) and the Atmospheric Imaging Assembly (AIA) have been returning the most accurate solar XUV and EUV measurements every 10 and 12 seconds, respectively, at almost 100% duty cycle. The focus of the presentation will be the solar flare impulsive phase observations provided by EVE and AIA and what these observations can tell us about the evolution of the initial phase of solar flares. Also emphasized throughout is how simultaneous observations with other instruments, such as RHESSI, SOHO-CDS, and HINODE-EIS, will help provide a more complete characterization of the solar flares and the evolution and energetics during the impulsive phase. These co-temporal observations from the other solar instruments can provide information such as extending the high temperature range spectra and images beyond that provided by the EUV and XUV wavelengths, provide electron density input into the lower atmosphere at the footpoints, and provide plasma flows of chromospheric evaporation, among other characteristics.

  18. EUVE and IR observations of the Polars HU Aqr and AR UMa

    NASA Astrophysics Data System (ADS)

    Howell, S.; Ciardi, D.

    1999-12-01

    Simultaneous EUVE and ground-based near-infrared J and K observations of the magnetic CV HU Aqr were performed. The observations occurred during a super-high state never before observed in HU Aqr. The average EUVE count-rate was 30-60 times higher than had been measured previously, allowing us to present the first ever EUV spectra of HU Aqr. The near-infrared observations show a corresponding flux increase of 2-3 times over previous J and K observations. However, the near-infrared eclipse minimum during this super-high state are the same as seen in previous observations, indicating that the eclipse in the near-infrared is total. We present a detailed comparison of the EUV and near-infrared emission of HU Aqr as a function of orbital phase and discuss the geometry and physical properties of the high energy and infrared emitting regions. AR UMa is the brightest EUV source yet observed with the EUVE satellite and is also the polar with the largest magnetic field, 250 MG. EUVE observations of the polar AR UMa have allowed, for the first time, EUV time-resolved spectral analysis and radial velocity measurements. We present EUV phase-resolved photometry and spectroscopy and show that the He 304 emission line is not produced on the heated face of the secondary star, but emanates from the inner illuminated regions of the coupling region and accretion stream. We comment on the overall structure of the accretion geometry as well. The authors acknowledge partial support of the research by NASA cooperative agreement NCC5-138 via an EUVE guest Observer mini-grant.

  19. Coronal Magnetic Field Measurement from EUV Images Made by the Solar Dynamics Observatory

    NASA Technical Reports Server (NTRS)

    Gopalswamy, Natchimuthuk; Nitta, Nariaki; Akiyama, Sachiko; Makela, Pertti; Yashiro, Seiji

    2012-01-01

    By measuring the geometrical properties of the coronal mass ejection (CME) flux rope and the leading shock observed on 2010 June 13 by the Solar Dynamics Observatory (SDO) mission's Atmospheric Imaging Assembly we determine the Alfven speed and the magnetic field strength in the inner corona at a heliocentric distance of approx. 1.4 Rs The basic measurements are the shock standoff distance (Delta R) ahead of the CME flux rope, the radius of curvature of the flux rope (R(sub c)), and the shock speed. We first derive the Alfvenic Mach number (M) using the relationship, Delta R/R(sub c) = 0.81[(gamma-1) M(exp 2) + 2] / [(gamma +1)(M2 - 1)], where gamma is the only parameter that needed to be assumed. For gamma = 4/3, the Mach number declined from 3.7 to 1.5 indicating shock weakening within the field of view of the imager. The shock formation coincided with the appearance of a type II radio burst at a frequency of approx. 300 MHz (harmonic component), providing an independent confirmation of the shock. The shock compression ratio derived from the radio dynamic spectrum was found to be consistent with that derived from the theory of fast-mode MHD shocks. From the measured shock speed and the derived Mach number, we found the Alfven speed to increase from approx 140 km/s to 460 km/s over the distance range 1.2-1.5 Rs. By deriving the upstream plasma density from the emission frequency of the associated type II radio burst, we determined the coronal magnetic field to be in the range 1.3-1.5 G. The derived magnetic field values are consistent with other estimates in a similar distance range. This work demonstrates that the EUV imagers, in the presence of radio dynamic spectra, can be used as coronal magnetometers

  20. Protection efficiency of a standard compliant EUV reticle handling solution

    NASA Astrophysics Data System (ADS)

    He, Long; Lystad, John; Wurm, Stefan; Orvek, Kevin; Sohn, Jaewoong; Ma, Andy; Kearney, Patrick; Kolbow, Steve; Halbmaier, David

    2009-03-01

    For successful implementation of extreme ultraviolet lithography (EUVL) technology for late cycle insertion at 32 nm half-pitch (hp) and full introduction for 22 nm hp high volume production, the mask development infrastructure must be in place by 2010. The central element of the mask infrastructure is contamination-free reticle handling and protection. Today, the industry has already developed and balloted an EUV pod standard for shipping, transporting, transferring, and storing EUV masks. We have previously demonstrated that the EUV pod reticle handling method represents the best approach in meeting EUVL high volume production requirements, based on then state-of-the-art inspection capability at ~53nm polystyrene latex (PSL) equivalent sensitivity. In this paper, we will present our latest data to show defect-free reticle handling is achievable down to 40 nm particle sizes, using the same EUV pod carriers as in the previous study and the recently established world's most advanced defect inspection capability of ~40 nm SiO2 equivalent sensitivity. The EUV pod is a worthy solution to meet EUVL pilot line and pre-production exposure tool development requirements. We will also discuss the technical challenges facing the industry in refining the EUV pod solution to meet 22 nm hp EUVL production requirements and beyond.

  1. EUV lines observed with EIS/Hinode in a solar prominence

    NASA Astrophysics Data System (ADS)

    Labrosse, N.; Schmieder, B.; Heinzel, P.; Watanabe, T.

    2011-07-01

    Context. During a multi-wavelength observation campaign with Hinode and ground-based instruments, a solar prominence was observed for three consecutive days as it crossed the western limb of the Sun in April 2007. Aims: We report on observations obtained on 26 April 2007 using EIS (Extreme ultraviolet Imaging Spectrometer) on Hinode. They are analysed to provide a qualitative diagnostic of the plasma in different parts of the prominence. Methods: After correcting for instrumental effects, the rasters at different wavelengths are presented. Several regions within the same prominence are identified for further analysis. Selected profiles for lines with formation temperatures between log (T) = 4.7 and log (T) = 6.3, as well as their integrated intensities, are given. The profiles of coronal, transition region, and He ii lines are discussed. We pay special attention to the He ii line, which is blended with coronal lines. Results: Some quantitative results are obtained by analysing the line profiles. They confirm that depression in EUV lines can be interpreted in terms of two mechanisms: absorption of coronal radiation by the hydrogen and neutral helium resonance continua, and emissivity blocking. We present estimates of the He ii line integrated intensity in different parts of the prominence according to different scenarios for the relative contribution of absorption and emissivity blocking to the coronal lines blended with the He ii line. We estimate the contribution of the He ii 256.32 Å line to the He ii raster image to vary between ~44% and 70% of the raster's total intensity in the prominence according to the different models used to take into account the blending coronal lines. The inferred integrated intensities of the He ii 256 Å line are consistent with the theoretical intensities obtained with previous 1D non-LTE radiative transfer calculations, yielding a preliminary estimate of the central temperature of 8700 K, a central pressure of 0.33 dyn cm-2, and a

  2. Miniature Extreme Ultraviolet Solar Radiometers

    NASA Astrophysics Data System (ADS)

    McMullin, D. R.; Seely, J. F.; Bremer, J.; Jones, A. R.; Vest, R.; Sakdinawat, A.

    2015-12-01

    Free-standing zone plates for use in EUV solar radiometers have been fabricated using electron beam lithography and calibrated at the NIST SURF synchrotron facility. The radiometers that we are developing use zone plates (ZPs) to focus the total solar irradiance in narrow EUV spectral bands and measure it with negligible sensitivity to field angle and polarization, and with greater accuracy and greater long-term stability than radiometers that have alternative architectures. These radiometers are easy to accommodate on spacecraft due to their small size, low mass, low power requirements, low data rates, and modest pointing requirements. A proto-type instrument will be presented with performance characteristics and spacecraft resource requirements for hosting these new instruments. The compact size of the optical train make these zone plates attractive for small CubeSats. The robustness of the compact design makes these radiometers available for a large variety of applications.

  3. A Search for EUV Emission from the O4f Star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1996-01-01

    We obtained a 140 ks EUVE observation of the O4f star, zeta Puppis. Because of its low ISM column density and highly ionized stellar wind, a unique EUV window is accessible for viewing between 128 to 140 A, suggesting that this star may he the only O star observable with the EUVE. Although no SW spectrometer wavelength bin had a signal to noise greater than 3, a bin at 136 A had a signal to noise of 2.4. This bin is where models predict the brightest line due to OV emission should occur. We present several EUV line emission models. These models were constrained by fitting the ROSAT PSPC X-ray data and our EUVE data. If the OV emission is real, the best fits to the data suggest that there are discrepancies in our current understanding of EUV/X-ray production mechanisms. In particular, the emission measure of the EUV source is found to be much greater than the total wind emission measure, suggesting that the EUV shock must produce a very large density enhancement. In addition, the location of the EUV and X-ray shocks are found to be separated by approx. 0.3 stellar radii, but the EUV emission region is found to be approx. 400 times larger than the X-ray emission region. We also discuss the implications of a null detection and present relevant upper limits.

  4. Design and pitch scaling for affordable node transition and EUV insertion scenario

    NASA Astrophysics Data System (ADS)

    Kim, Ryoung-han; Ryckaert, Julien; Raghavan, Praveen; Sherazi, Yasser; Debacker, Peter; Trivkovic, Darko; Gillijns, Werner; Tan, Ling Ee; Drissi, Youssef; Blanco, Victor; Bekaert, Joost; Mao, Ming; Larivière, Stephane; McIntyre, Greg

    2017-04-01

    imec's DTCO and EUV achievement toward imec 7nm (iN7) technology node which is industry 5nm node equivalent is reported with a focus on cost and scaling. Patterning-aware design methodology supports both iArF multiple patterning and EUV under one compliant design rule. FinFET device with contacted poly pitch of 42nm and metal pitch of 32nm with 7.5-track, 6.5-track, and 6-track standard cell library are explored. Scaling boosters are used to provide additional scaling and die cost benefit while lessening pitch shrink burden, and it makes EUV insertion more affordable. EUV pattern fidelity is optimized through OPC, SMO, M3D, mask sizing and SRAF. Processed wafers were characterized and edge-placement-error (EPE) variability is validated for EUV insertion. Scale-ability and cost of ownership of EUV patterning in aligned with iN7 standard cell design, integration and patterning specification are discussed.

  5. Tether-Cutting Energetics of a Solar Quiet Region Prominence Eruption

    NASA Technical Reports Server (NTRS)

    Sterling, Alphonse C.; Moore, Ronald L.

    2003-01-01

    We study the morphology and energetics of a slowly evolving quiet-region solar prominence eruption occurring on 1999 February 8-9 in the solar north polar crown region, using soft X-ray data from the soft X-ray telescope (SXT) on Yohkoh and Fexv EUV 284 Angstrom data from the EUV Imaging Telescope (EIT) on the Solar and Heliospheric Observatory (SOHO). After rising at approximately equal to l kilometer per second for about six hours, the prominence accelerates to a velocity of approximately equal to 10 kilometers per second, leaving behind EUV and soft X-ray loop arcades of a weak flare in its source region. Intensity dimmings occur in the eruption region cospatially in EUV and soft X-rays, indicating that the dimmings result from a depletion of material. Over the first two hours of the prominences rapid rise, flare-like brightenings occur beneath the rising prominence that might correspond to tether-cutting magnetic reconnection. These brightenings have heating requirements of up to approximately 10(exp 28)-10(exp 29) ergs, and this is comparable to the mechanical energy required for the rising prominence over the same time period. If the ratio of mechanical energy to heating energy remains constant through the early phase of the eruption, then we infer that coronal signatures for the tether cutting may not be apparent at or shortly after the start of the fast phase in this or similar low-energy eruptions, since the plasma-heating energy levels would not exceed that of the background corona.

  6. Statistical and observational research of solar flare for total spectra and geometrical features

    NASA Astrophysics Data System (ADS)

    Nishimoto, S.; Watanabe, K.; Imada, S.; Kawate, T.; Lee, K. S.

    2017-12-01

    Impulsive energy release phenomena such as solar flares, sometimes affect to the solar-terrestrial environment. Usually, we use soft X-ray flux (GOES class) as the index of flare scale. However, the magnitude of effect to the solar-terrestrial environment is not proportional to that scale. To identify the relationship between solar flare phenomena and influence to the solar-terrestrial environment, we need to understand the full spectrum of solar flares. There is the solar flare irradiance model named the Flare Irradiance Spectral Model (FISM) (Chamberlin et al., 2006, 2007, 2008). The FISM can estimate solar flare spectra with high wavelength resolution. However, this model can not express the time evolution of emitted plasma during the solar flare, and has low accuracy on short wavelength that strongly effects and/or controls the total flare spectra. For the purpose of obtaining the time evolution of total solar flare spectra, we are performing statistical analysis of the electromagnetic data of solar flares. In this study, we select solar flare events larger than M-class from the Hinode flare catalogue (Watanabe et al., 2012). First, we focus on the EUV emission observed by the SDO/EVE. We examined the intensities and time evolutions of five EUV lines of 55 flare events. As a result, we found positive correlation between the "soft X-ray flux" and the "EUV peak flux" for all EVU lines. Moreover, we found that hot lines peaked earlier than cool lines of the EUV light curves. We also examined the hard X-ray data obtained by RHESSI. When we analyzed 163 events, we found good correlation between the "hard X-ray intensity" and the "soft X-ray flux". Because it seems that the geometrical features of solar flares effect to those time evolutions, we also looked into flare ribbons observed by SDO/AIA. We examined 21 flare events, and found positive correlation between the "GOES duration" and the "ribbon length". We also found positive correlation between the "ribbon

  7. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  8. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  9. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    NASA Astrophysics Data System (ADS)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  10. Models of the Solar Atmospheric Response to Flare Heating

    NASA Technical Reports Server (NTRS)

    Allred, Joel

    2011-01-01

    I will present models of the solar atmospheric response to flare heating. The models solve the equations of non-LTE radiation hydrodynamics with an electron beam added as a flare energy source term. Radiative transfer is solved in detail for many important optically thick hydrogen and helium transitions and numerous optically thin EUV lines making the models ideally suited to study the emission that is produced during flares. I will pay special attention to understanding key EUV lines as well the mechanism for white light production. I will also present preliminary results of how the model solar atmosphere responds to Fletcher & Hudson type flare heating. I will compare this with the results from flare simulations using the standard thick target model.

  11. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  12. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    NASA Astrophysics Data System (ADS)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  13. EUV Coronal Waves: Atmospheric and Heliospheric Connections and Energetics

    NASA Astrophysics Data System (ADS)

    Patsourakos, S.

    2015-12-01

    Since their discovery in late 90's by EIT on SOHO, the study EUV coronal waves has been a fascinating andfrequently strongly debated research area. While it seems as ifan overall consensus has been reached about the nurture and nature of this phenomenon,there are still several important questions regarding EUV waves. By focusing on the most recentobservations, we will hereby present our current understanding about the nurture and nature of EUV waves,discuss their connections with other atmospheric and heliospheric phenomena (e.g.,flares and CMEs, Moreton waves, coronal shocks, coronal oscillations, SEP events) and finallyassess their possible energetic contribution to the overall budget of relatederuptive phenomena.

  14. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  15. Solar X-Ray and Gamma-Ray Imaging Spectroscopy

    NASA Astrophysics Data System (ADS)

    Dennis, B. R.; Christe, S. D.; Shih, A. Y.; Holman, G. D.; Emslie, A. G.; Caspi, A.

    2018-02-01

    X-ray and gamma-ray Sun observations from a lunar-based observatory would provide unique information on solar atmosphere thermal and nonthermal processes. EUV and energetic neutral atom imaging spectroscopy would augment the scientific value.

  16. Unique Capabilities of the SUVI Telescopes For Both Space Weather Prediction and Fundamental Solar Physics

    NASA Astrophysics Data System (ADS)

    Slater, G. L.; Seaton, D. B.

    2017-12-01

    The recently launched Solar UltraViolet Imager (SUVI) aboard NOAA's GOES-16 satellite, provides image data that can potentially both improve earth effective solar storm predictions and contribute to fundamental research on structure and dynamics in what may be called the 'high EUV corona'. The wide field of view ( 53 x 53 arcmin) and passband set covering UV and EUV emission from plasmas ranging in temperature from 5000 K to 7 million K, allow for the detailed observation of structure and dynamics in the high EUV corona. Imaging this region is increasingly recognized as being critical to understanding how the low corona connects, disconnects from, and reconnects to, the high corona and heliosphere during transient events in the low corona. We will illustrate this claim with observations taken from the first few months on operation of the SUVI instrument.

  17. Recent perspectives in solar physics - Elemental composition, coronal structure and magnetic fields, solar activity

    NASA Technical Reports Server (NTRS)

    Newkirk, G., Jr.

    1975-01-01

    Elemental abundances in the solar corona are studied. Abundances in the corona, solar wind and solar cosmic rays are compared to those in the photosphere. The variation in silicon and iron abundance in the solar wind as compared to helium is studied. The coronal small and large scale structure is investigated, emphasizing magnetic field activity and examining cosmic ray generation mechanisms. The corona is observed in the X-ray and EUV regions. The nature of coronal transients is discussed with emphasis on solar-wind modulation of galactic cosmic rays. A schematic plan view of the interplanetary magnetic field during sunspot minimum is given showing the presence of magnetic bubbles and their concentration in the region around 4-5 AU by a fast solar wind stream.

  18. SolarSoft Desat Package for the Recovery of Saturated AIA Flare Images

    NASA Astrophysics Data System (ADS)

    Schwartz, Richard Alan; Torre, Gabriele; Piana, Michele; Massone, AnnaMaria

    2015-04-01

    The dynamic range of EUV images has been limited by the problem of CCD saturation as seen countless times in movies of solare flares made using the Solar Dynamics Observatory’s Atmospheric Imaging Assembly (SDO AIA). Concurrent with the saturation are the eight rays emanating from the saturation locus which are the result of diffraction off the wire meshes that support the EUV passband filters. This is the problem and its solution in a nutshell. By utilizing techniques similar to those used for making images from the rotating modulation collimators on the Ramaty High Energy Solar Spectroscopic Imager (RHESSI) we have developed a software package that can be used to make images of the EUV flare kernels in a highly automated way as described in Schwartz et al. (2014). Starting from cutouts centered around a flaring region, the software uses the point-spread-function (PSF) of the diffraction pattern to identify and reconstruct the region of the primary saturation. The software also uses the best information available to reconstruct the general scene obscured from overflow saturation and subtracts away the diffraction fringes. It is not a total correction for the PSF but is meant to provide the flare images above all. The software is freely available and distributed within the DESAT package of Solar Software.(Schwartz, R. A., Torre, G., & Piana, M. (2014), Astrophysical Journal Letters, 793, LL23 )

  19. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  20. Increasing EUV source efficiency via recycling of radiation power

    NASA Astrophysics Data System (ADS)

    Hassanein, Ahmed; Sizyuk, Valeryi; Sizyuk, Tatyana; Johnson, Kenneth C.

    2018-03-01

    EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.

  1. Performance of 100-W HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  2. Ptychographic imaging with partially coherent plasma EUV sources

    NASA Astrophysics Data System (ADS)

    Bußmann, Jan; Odstrčil, Michal; Teramoto, Yusuke; Juschkin, Larissa

    2017-12-01

    We report on high-resolution lens-less imaging experiments based on ptychographic scanning coherent diffractive imaging (CDI) method employing compact plasma sources developed for extreme ultraviolet (EUV) lithography applications. Two kinds of discharge sources were used in our experiments: a hollow-cathode-triggered pinch plasma source operated with oxygen and for the first time a laser-assisted discharge EUV source with a liquid tin target. Ptychographic reconstructions of different samples were achieved by applying constraint relaxation to the algorithm. Our ptychography algorithms can handle low spatial coherence and broadband illumination as well as compensate for the residual background due to plasma radiation in the visible spectral range. Image resolution down to 100 nm is demonstrated even for sparse objects, and it is limited presently by the sample structure contrast and the available coherent photon flux. We could extract material properties by the reconstruction of the complex exit-wave field, gaining additional information compared to electron microscopy or CDI with longer-wavelength high harmonic laser sources. Our results show that compact plasma-based EUV light sources of only partial spatial and temporal coherence can be effectively used for lens-less imaging applications. The reported methods may be applied in combination with reflectometry and scatterometry for high-resolution EUV metrology.

  3. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  4. Dynamic range considerations for EUV MAMA detectors. [Extreme UV Multianode Microchannel Array

    NASA Technical Reports Server (NTRS)

    Illing, Rainer M. E.; Bybee, Richard L.; Timothy, J. G.

    1990-01-01

    The multianode microchannel array (MAMA) has been chosen as the detector for two instruments on the ESA/NASA Solar Heliospheric Observatory. The response of the MAMA to the two extreme types of solar spectra, disk and corona, have been modeled with a view toward evaluating dynamic range effects present. The method of MAMA operation is discussed, with emphasis given to modeling the effect of electron cloud charge spreading to several detector anodes and amplifiers (n-fold events). Representative synthetic EUV spectra have been created. The detector response to these spectra is modeled by dissecting the input photon radiation field across the detector array into contributions to the various amplifier channels. The results of this dissection are shown for spectral regions across the entire wavelength region of interest. These results are used to identify regions in which total array photon counting rate or individual amplifier rate may exceed the design limits. This allows the design or operational modes to be tailored to eliminate the problem areas.

  5. Serendipitous EUV sources detected during the first year of the Extreme Ultraviolet Explorer right angle program

    NASA Technical Reports Server (NTRS)

    Mcdonald, K.; Craig, N.; Sirk, M. M.; Drake, J. J.; Fruscione, A.; Vallerga, J. V.; Malina, R. F.

    1994-01-01

    We report the detection of 114 extreme ultraviolet (EUV; 58 - 740 A) sources, of which 99 are new serendipitous sources, based on observations made with the imaging telescopes on board the Extreme Ultraviolet Explorer (EUVE) during the Right Angle Program (RAP). These data were obtained using the survey scanners and the Deep Survey instrument during the first year of the spectroscopic guest observer phase of the mission, from January 1993 to January 1994. The data set consists of 162 discrete pointings whose exposure times are typically two orders of magnitude longer than the average exposure times during the EUVE all-sky survey. Based on these results, we can expect that EUVE will serendipitously detect approximately 100 new EUV sources per year, or about one new EUV source per 10 sq deg, during the guest observer phase of the EUVE mission. New EUVE sources of note include one B star and three extragalactic objects. The B star (HR 2875, EUVE J0729 - 38.7) is detected in both the Lexan/B (approximately 100 A) and Al/Ti/C (approximately 200 A) bandpasses, and the detection is shown not to be a result of UV leaks. We suggest that we are detecting EUV and/or soft x rays from a companion to the B star. Three sources, EUVE J2132+10.1, EUVE J2343-14.9, and EUVE J2359-30.6 are identified as the active galactic nuclei MKN 1513, MS2340.9-1511, and 1H2354-315, respectively.

  6. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  7. A study of acoustic heating and forced convection in the solar corona

    NASA Technical Reports Server (NTRS)

    Foukal, P. V.

    1980-01-01

    The S055 EUV spectra was used to perform emission measure and line intensity ratio analyses of loop plasma conditions in a study on the thermodynamics of magnetic loops in the solar corona. The evidence that loops contain plasma hotter than the background corona, and thus, require enhanced local dissipation of magnetic or mechanical energy is discussed. The S055 EUV raster pictures were used to study physical conditions in cool ultraviolet absorbing clouds in the solar corona, and optical data were used to derive constraints on the dimension, time scales and optical depths in dark opaque clouds not seen in H alpha and CaK as filaments or prominences. Theoretical modelling of propagation of magnetically guided acoustic shocks in the solar chromosphere finds it still unlikely that high frequency acoustic shocks could reach the solar corona. Dynamic modelling of spicules shows that such guided slow mode shocks can explain the acceleration of cool spicular material seen high in the corona.

  8. Novel EUV mask black border and its impact on wafer imaging

    NASA Astrophysics Data System (ADS)

    Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Watanabe, Genta; Ito, Shin; Yoshida, Itaru; Maruyama, Shingo; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-03-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.

  9. Association of Impulsive Solar Energetic Particle Events With Large-Scale Coronal Waves

    NASA Astrophysics Data System (ADS)

    Bucik, R.; Innes, D.; Mason, G. M.; Wiedenbeck, M. E.

    2016-12-01

    Impulsive or 3He-rich solar energetic particle (SEP) events have been commonly associated with EUV jets and narrow CMEs which are believed to be the signatures of magnetic reconnection involving field lines open to interplanetary space. The elemental and isotopic fractionation in these events are thought to be caused by processes confined to the flare sites. In addition to their anomalous abundances, 3He-rich SEPs show puzzling energy spectral shapes varying from rounded forms to power laws where the later are characteristics of shock acceleration. In this study we identify 32 impulsive SEP events observed by the ACE near the Earth during the solar minimum period 2007-2010 and examine their solar sources with the high resolution STEREO EUV images. Leading the Earth, STEREO-A provided for the first time a direct view on impulsive SEP event sources, which are generally located on the Sun's western hemisphere. Surprisingly, we find that about half of the impulsive SEP events in this survey are associated with large-scale EUV coronal waves. An examination of the wave front propagation and the coronal magnetic field connections suggests that the EUV waves may affect the injection of 3He-rich SEPs into interplanetary space. We found the events with jets tend to be associated with rounded spectra and the events with coronal waves with power laws. This suggests that coronal waves may be related to the unknown second stage mechanism commonly used to interpret spectral forms of 3He-rich SEPs. R. Bucik is supported by the Deutsche Forschungsgemeinschaft under grant BU 3115/2-1.

  10. Brightness and magnetic evolution of solar coronal bright points

    NASA Astrophysics Data System (ADS)

    Ugarte-Urra, I.

    2004-12-01

    This thesis presents a study of the brightness and magnetic evolution of several Extreme ultraviolet (EUV) coronal bright points (hereafter BPs). BPs are loop-like features of enhanced emission in the coronal EUV and X-ray images of the Sun, that are associated to the interaction of opposite photospheric magnetic polarities with magnetic fluxes of ≈1018 - 1019 Mx. The study was carried out using several instruments on board the Solar and Heliospheric Observatory (SOHO): the Extreme Ultraviolet Imager (EIT), the Coronal Diagnostic Spectrometer (CDS) and the Michelson Doppler Imager (MDI), supported by the high resolution imaging from the Transition Region And Coronal Explorer (TRACE). The results confirm that, down to 1'' (i.e. ~715 km) resolution, BPs are made of small loops with lengths of ~6 Mm and cross-sections of ~2 Mm. The loops are very dynamic, evolving in time scales as short as 1 - 2 minutes. This is reflected in a highly variable EUV response with fluctuations highly correlated in spectral lines at transition region temperatures (in the range 3.2x10^4 - 3.5x10^5 K), but not always at coronal temperatures. A wavelet analysis of the intensity variations reveals, for the first time, the existence of quasi-periodic oscillations with periods ranging 400 -- 1000 s, in the range of periods characteristic of the chromospheric network. The link between BPs and network bright points is discussed, as well as the interpretation of the oscillations in terms of global acoustic modes of closed magnetic structures. A comparison of the magnetic flux evolution of the magnetic polarities to the EUV flux changes is also presented. Throughout their lifetime, the intrinsic EUV emission of BPs is found to be dependent on the total magnetic flux of the polarities. In short time scales, co-spatial and co-temporal TRACE and MDI images, reveal the signature of heating events that produce sudden EUV brightenings simultaneous to magnetic flux cancellations. This is interpreted in

  11. EUV lithography: NXE platform performance overview

    NASA Astrophysics Data System (ADS)

    Peeters, Rudy; Lok, Sjoerd; Mallman, Joerg; van Noordenburg, Martijn; Harned, Noreen; Kuerz, Peter; Lowisch, Martin; van Setten, Eelco; Schiffelers, Guido; Pirati, Alberto; Stoeldraijer, Judon; Brandt, David; Farrar, Nigel; Fomenkov, Igor; Boom, Herman; Meiling, Hans; Kool, Ron

    2014-04-01

    The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML's third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.

  12. The Origin of the EUV Late Phase: A Case Study of the C8.8 Flare on 2010 May 5

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Klimchuk, J. A.; Eparvier, F. G.; Jones, A. R.

    2012-01-01

    Since the launch of NASA's Solar Dynamics Observatory on 2010 February 11, the Extreme ultraviolet Variability Experiment (EVE) has observed numerous flares. One interesting feature observed by EVE is that a subset of flares exhibit an additional enhancement of the 2-3 million K emission several hours after the flares soft X-ray emission. From the Atmospheric Imaging Assembly (AIA) images, we observe that this secondary emission, dubbed the EUV late phase, occurs in the same active region as the flare but not in the same coronal loops. Here, we examine the C8.8 flare that occurred on 2010 May 5 as a case study of EUV late phase flares. In addition to presenting detailed observations from both AIA and EVE, we develop a physical model of this flare and test it using the Enthalpy Based Thermal Evolution of Loops (EBTEL) model.

  13. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  14. AN IMAGING STUDY OF A COMPLEX SOLAR CORONAL RADIO ERUPTION

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, S. W.; Chen, Y.; Song, H. Q.

    2016-08-10

    Solar coronal radio bursts are enhanced radio emission excited by energetic electrons accelerated during solar eruptions. Studying these bursts is important for investigating the origin and physical mechanism of energetic particles and further diagnosing coronal parameters. Earlier studies suffered from a lack of simultaneous high-quality imaging data of the radio burst and the eruptive structure in the inner corona. Here we present a study on a complex solar radio eruption consisting of a type II burst and three reversely drifting type III bursts, using simultaneous EUV and radio imaging data. It is found that the type II burst is closelymore » associated with a propagating and evolving CME-driven EUV shock structure, originated initially at the northern shock flank and later transferred to the top part of the shock. This source transfer is coincident with the presence of shock decay and enhancing signatures observed at the corresponding side of the EUV front. The electron energy accelerated by the shock at the flank is estimated to be ∼0.3 c by examining the imaging data of the fast-drifting herringbone structure of the type II burst. The reverse-drifting type III sources are found to be within the ejecta and correlated with a likely reconnection event therein. The implications for further observational studies and relevant space weather forecasting techniques are discussed.« less

  15. Plasma-based EUV light source

    DOEpatents

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  16. The SOLAR-C Mission: Plan B Payload Concept

    NASA Astrophysics Data System (ADS)

    Shimizu, T.; Sakao, T.; Katsukawa, Y.; Group, J. S. W.

    2012-08-01

    The telescope concepts for the SOLAR-C Plan B mission as of the time of the Hinode-3 meeting were briefly presented for having comments from the international solar physics community. The telescope candidates are 1) near IR-visible-UV telescope with 1.5m aperture and enhanced spectro-polarimetric capability, 2) UV/EUV high throughput spectrometer, and 3) next generation X-ray telescope.

  17. Preliminary Error Budget for the Reflected Solar Instrument for the Climate Absolute Radiance and Refractivity Observatory

    NASA Technical Reports Server (NTRS)

    Thome, Kurtis; Gubbels, Timothy; Barnes, Robert

    2011-01-01

    The Climate Absolute Radiance and Refractivity Observatory (CLARREO) plans to observe climate change trends over decadal time scales to determine the accuracy of climate projections. The project relies on spaceborne earth observations of SI-traceable variables sensitive to key decadal change parameters. The mission includes a reflected solar instrument retrieving at-sensor reflectance over the 320 to 2300 nm spectral range with 500-m spatial resolution and 100-km swath. Reflectance is obtained from the ratio of measurements of the earth s surface to those while viewing the sun relying on a calibration approach that retrieves reflectance with uncertainties less than 0.3%. The calibration is predicated on heritage hardware, reduction of sensor complexity, adherence to detector-based calibration standards, and an ability to simulate in the laboratory on-orbit sources in both size and brightness to provide the basis of a transfer to orbit of the laboratory calibration including a link to absolute solar irradiance measurements. The Climate Absolute Radiance and Refractivity Observatory (CLARREO) mission addresses the need to observe high-accuracy, long-term climate change trends and to use decadal change observations as the most critical method to determine the accuracy of climate change projections such as those in the IPCC Report. A rigorously known accuracy of both decadal change observations as well as climate projections is critical in order to enable sound policy decisions. The CLARREO Project will implement a spaceborne earth observation mission designed to provide rigorous SI traceable observations (i.e., radiance, reflectance, and refractivity) that are sensitive to a wide range of key decadal change variables, including: 1) Surface temperature and atmospheric temperature profile 2) Atmospheric water vapor profile 3) Far infrared water vapor greenhouse 4) Aerosol properties and anthropogenic aerosol direct radiative forcing 5) Total and spectral solar

  18. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  19. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  20. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    NASA Astrophysics Data System (ADS)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  1. International Solar Cycle Studies (ISCS), "Solar Energy Flux Study: from the interior to the outer layer" — Working Group 1 report

    NASA Astrophysics Data System (ADS)

    Pap, Judit; Fröhlich, Claus

    The purpose of this report is to describe the research activities and plans of Working Group 1: "Solar Energy Flux Study: From the Interior to the Outer Layer" of the International Solar Cycle Study (ISCS), which is an international research organization operating under the auspices of the Scientific Committee on Solar-Terrestrial Physics (SCOSTEP). As part of the report, we also summarize the status of the measurements and results on the solar energy flux variations. The main objective of ISCS's Working Group 1 is to coordinate and support comprehensive international research of the variations in the solar energy flux during the rising portion and maximum of solar cycle 23. The research activities of ISCS's Working Group 1 will concentrate on the following tasks: (1) to measure and study the variations in the solar radiative and mass output and solar activity indices during the solar activity cycle, (2) to understand why the solar radiative and mass output and the solar activity indices vary during the solar cycle, and (3) to study the role of solar variability in solar-terrestrial changes and its contribution to global change. ISCS WG1 "Solar Energy Flux Study: From the Interior to the Outer Layer" has been divided into three panels: •| Panel 1: Variations in Total and Spectral Irradiance from Infrared to Far UV. Panel leaders: Martin Anklin of the Physikalisch-Meteorologishes Observatorium Davos, Switzerland (total irradiance), Gerard Thuillier of the Service d'Aeronomie-CNRS, Verrieres, France (visible and infrared), and Linton Floyd of the Naval Research Laboratory, Washington, DC, USA (ultraviolet). •| Panel 2: Variations in EUV, X-ray and Particle Fluxes. Panel leaders: Gerhard Schmidtke of Fraunhofer IPM, Freiburg, Germany and W. Kent Tobiska of FDC/Jet Propulsion Laboratory, Pasadena, CA, USA (EUV/XUV), and David Winningham of the Southwest Research Institute, San Antonio, TX, USA (particles). •| Panel 3: Solar Indices, Cosmogenic Isotopes, Solar

  2. EUV efficiency of a 6000-grooves per mm diffraction grating

    NASA Technical Reports Server (NTRS)

    Hurwitz, Mark; Bowyer, Stuart; Edelstein, Jerry; Harada, Tatsuo; Kita, Toshiaki

    1990-01-01

    In order to explore whether grooves ruled mechanically at a density of 6000 per mm can perform well at EUV wavelengths, a sample grating is measured with this density in an EUV calibration facility. Measurements are presented of the planar uniform line-space diffraction grating's efficiency and large-angle scattering.

  3. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    NASA Astrophysics Data System (ADS)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  4. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    NASA Astrophysics Data System (ADS)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-07-01

    Gas giants' early (≲5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether the stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲2 MJ planets interior to 5 au in the FUV scenario, a sharp concentration of ≲3 MJ planets between ≈1.5-2 au in the EUV case and a relative abundance of ≈2-3.5 MJ giants interior to 0.5 au in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, although our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  5. “Dandelion” Filament Eruption and Coronal Waves Associated with a Solar Flare on 2011 February 16

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cabezas, Denis P.; Ishitsuka, Mutsumi; Ishitsuka, José K.

    Coronal disturbances associated with solar flares, such as H α Moreton waves, X-ray waves, and extreme ultraviolet (EUV) coronal waves, are discussed herein in relation to magnetohydrodynamic fast-mode waves or shocks in the corona. To understand the mechanism of coronal disturbances, full-disk solar observations with high spatial and temporal resolution over multiple wavelengths are of crucial importance. We observed a filament eruption, whose shape is like a “dandelion,” associated with the M1.6 flare that occurred on 2011 February 16 in H α images taken by the Flare Monitoring Telescope at Ica University, Peru. We derive the three-dimensional velocity field ofmore » the erupting filament. We also identify winking filaments that are located far from the flare site in the H α images, whereas no Moreton wave is observed. By comparing the temporal evolution of the winking filaments with those of the coronal wave seen in the EUV images data taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory and by the Extreme Ultraviolet Imager on board the Solar Terrestrial Relations Observatory-Ahead , we confirm that the winking filaments were activated by the EUV coronal wave.« less

  6. Joint observations of solar corona in space projects ARKA and KORTES

    NASA Astrophysics Data System (ADS)

    Vishnyakov, Eugene A.; Bogachev, Sergey A.; Kirichenko, Alexey S.; Reva, Anton A.; Loboda, Ivan P.; Malyshev, Ilya V.; Ulyanov, Artem S.; Dyatkov, Sergey Yu.; Erkhova, Nataliya F.; Pertsov, Andrei A.; Kuzin, Sergey V.

    2017-05-01

    ARKA and KORTES are two upcoming solar space missions in extreme ultraviolet and X-ray wavebands. KORTES is a sun-oriented mission designed for the Russian segment of International Space Station. KORTES consists of several imaging and spectroscopic instruments that will observe the solar corona in a number of wavebands, covering EUV and X-ray ranges. The surveillance strategy of KORTES is to cover a wide range of observations including simultaneous imaging, spectroscopic and polarization measurements. ARKA is a small satellite solar mission intended to take highresolution images of the Sun at the extreme ultraviolet wavelengths. ARKA will be equipped with two high-resolution EUV telescopes designed to collect images of the Sun with approximately 150 km spatial resolution in the field of view of about 10'×10'. The scientific results of the mission may have a significant impact on the theory of coronal heating and may help to clarify the physics of small-scale solar structures and phenomena including oscillations of fine coronal structures and the physics of micro- and nanoflares.

  7. Tether-Cutting Energetics of a Solar Quiet Region Prominence Eruption

    NASA Technical Reports Server (NTRS)

    Sterling, Alphonse C.; Moore, Ronald L.

    2003-01-01

    We study the morphology and energetics of a slowly-evolving quiet region solar prominence eruption occurring on 1999 February 8-9 in the solar north polar crown region, using soft X-ray data from the soft X-ray telescope (SXT) on Yohkoh and Fe xv EUV 284 A data from the EUV Imaging Telescope (EIT) on SOHO. After rising at approx. 1 km/s for about six hours, the prominence accelerates to a velocity of approx. 10 km/s, leaving behind EUV and soft X-ray loop arcades of a weak flare in its source region. Intensity dimmings occur in the eruption region cospatially in EUV and soft X-rays, indicating that the dimmings result from a depletion of material. Over the first two hours of the prominence s rapid rise, flare-like brightenings occur beneath the rising prominence which may correspond to "tether cutting" magnetic reconnection. These brightenings have heating requirements of up to approx. 10(exp 28)-10(exp 29) ergs, and this is comparable to the mechanical energy required for the rising prominence over the same time period. If the ratio of mechanical energy to heating energy remains constant through the early phase of the eruption, then we infer that coronal signatures for the tether cutting may not be apparent at or shortly after the start of the fast phase in this or similar low-energy eruptions, since the plasma-heating energy levels would not exceed that of the background corona.

  8. Characteristics of EUV Coronal Jets Observed with STEREO/SECCHI

    NASA Astrophysics Data System (ADS)

    Nisticò, G.; Bothmer, V.; Patsourakos, S.; Zimbardo, G.

    2009-10-01

    In this paper we present the first comprehensive statistical study of EUV coronal jets observed with the SECCHI (Sun Earth Connection Coronal and Heliospheric Investigation) imaging suites of the two STEREO spacecraft. A catalogue of 79 polar jets is presented, identified from simultaneous EUV and white-light coronagraph observations, taken during the time period March 2007 to April 2008, when solar activity was at a minimum. The twin spacecraft angular separation increased during this time interval from 2 to 48 degrees. The appearances of the coronal jets were always correlated with underlying small-scale chromospheric bright points. A basic characterization of the morphology and identification of the presence of helical structure were established with respect to recently proposed models for their origin and temporal evolution. Though each jet appeared morphologically similar in the coronagraph field of view, in the sense of a narrow collimated outward flow of matter, at the source region in the low corona the jet showed different characteristics, which may correspond to different magnetic structures. A classification of the events with respect to previous jet studies shows that amongst the 79 events there were 37 Eiffel tower-type jet events, commonly interpreted as a small-scale (˜35 arc sec) magnetic bipole reconnecting with the ambient unipolar open coronal magnetic fields at its loop tops, and 12 lambda-type jet events commonly interpreted as reconnection with the ambient field happening at the bipole footpoints. Five events were termed micro-CME-type jet events because they resembled the classical coronal mass ejections (CMEs) but on much smaller scales. The remaining 25 cases could not be uniquely classified. Thirty-one of the total number of events exhibited a helical magnetic field structure, indicative for a torsional motion of the jet around its axis of propagation. A few jets are also found in equatorial coronal holes. In this study we present sample

  9. Nanoimaging using soft X-ray and EUV laser-plasma sources

    NASA Astrophysics Data System (ADS)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  10. Solar Dynamics Observatory Lessons Learned

    NASA Technical Reports Server (NTRS)

    Rivera, Rachel; Uhl, Andrew; Secunda, Mark

    2010-01-01

    Mission is to study how solar activity is created and how space weather results from that activity. Atmospheric Imaging Assembly (AIA): High Resolution Images of 10 wavelengths every 10 seconds. Extreme Ultraviolet Variability Experiment (EVE): Measure Sun's brightness in EUV. Helioseismic and Magnetic Imager (HMI): Measures Doppler shift to study waves of the Sun. Launched February 11, 2010.

  11. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  12. Pulsations in the Earth's Lower Ionosphere Synchronized With Solar Flare Emission

    NASA Astrophysics Data System (ADS)

    Hayes, Laura A.; Gallagher, Peter T.; McCauley, Joseph; Dennis, Brian R.; Ireland, Jack; Inglis, Andrew

    2017-10-01

    Solar flare emission at X-ray and extreme ultraviolet (EUV) energies can cause substantial enhancements in the electron density in the Earth's lower ionosphere. It has now become clear that flares exhibit quasi-periodic pulsations with timescales of minutes at X-ray energies, but to date, it has not been known if the ionosphere is sensitive to this variability. Here using a combination of very low frequency (24 kHz) measurement together with space-based X-ray and EUV observations, we report pulsations of the ionospheric D region, which are synchronized with a set of pulsating flare loops. Modeling of the ionosphere show that the D region electron density varies by up to an order of magnitude over the timescale of the pulsations (˜ 20 min). Our results reveal that the Earth's ionosphere is more sensitive to small-scale changes in solar soft X-ray flux than previously thought and implies that planetary ionospheres are closely coupled to small-scale changes in solar/stellar activity.

  13. Stability and imaging of the ASML EUV alpha demo tool

    NASA Astrophysics Data System (ADS)

    Hermans, Jan V.; Baudemprez, Bart; Lorusso, Gian; Hendrickx, Eric; van Dijk, Andre; Jonckheere, Rik; Goethals, Anne-Marie

    2009-03-01

    Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ <=10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development

  14. An Eruptive Complex Solar Flare and Events in its Aftermath

    NASA Astrophysics Data System (ADS)

    Luoni, M. L.; Francile, C.; Mandrini, C. H.; Cremades, H.

    2017-10-01

    We present a study of the M6.6 flare that occurred on 13 February 2011 in AR 11158. The flare was accompanied by a CME and EUV waves. We use multiwavelength observations from the ground: H-alpha Solar Telescope for Argentina (HASTA), and space: Helioseismic and Magnetic Imager (HMI) and Atmospheric Imaging Assembly (AIA), both onboard the Solar and Dynamic Observatory (SDO).

  15. Magnetron sputtering for the production of EUV mask blanks

    NASA Astrophysics Data System (ADS)

    Kearney, Patrick; Ngai, Tat; Karumuri, Anil; Yum, Jung; Lee, Hojune; Gilmer, David; Vo, Tuan; Goodwin, Frank

    2015-03-01

    Ion Beam Deposition (IBD) has been the primary technique used to deposit EUV mask blanks since 1995 when it was discovered it could produce multilayers with few defects. Since that time the IBD technique has been extensively studied and improved and is finally approaching usable defectivities. But in the intervening years, the defectivity of magnetron sputtering has been greatly improved. This paper evaluates the suitability of a modern magnetron tool to produce EUV mask blanks and the ability to support HVM production. In particular we show that the reflectivity and uniformity of these tools are superior to current generation IBD tools, and that the magnetron tools can produce EUV films with defect densities comparable to recent best IBD tool performance. Magnetron tools also offer many advantages in manufacturability and tool throughput; however, challenges remain, including transitioning the magnetron tools from the wafer to mask formats. While work continues on quantifying the capability of magnetron sputtering to meet the mask blank demands of the industry, for the most part the remaining challenges do not require any fundamental improvements to existing technology. Based on the recent results and the data presented in this paper there is a clear indication that magnetron deposition should be considered for the future of EUV mask blank production.

  16. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    NASA Astrophysics Data System (ADS)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  17. Development of a 1m-normal-incidence-EUV-Telescope

    NASA Technical Reports Server (NTRS)

    Grewing, M.; Kraemer, G.; Schulz-Luepertz, E.; Wulf-Mathies, C.; Bowyer, S.; Jacobsen, P.; Jelinsky, P.; Kimble, R.

    1982-01-01

    A brief description is given of the 1m-EUV-Telescope and its focal plane instrumentation, namely an EUV spectrometer and six EUV/FUV photometers. The telescope is scheduled for launch on an Aries rocket on June 17, 1982. The principal goals are the white dwarf HZ43 and a photometric scan across the sky in an area of the sky where 21 cm line observations reveal a steep density gradient. The optical bench of the telescope is a cylinder made of a graphite epoxy compound. Despite its low specific weight, the bench shows an excellent mechanical performance, with an elasticity modulus of approximately 70,000 N/cu mm. It is pointed out that by carefully combining layers with different winding angles of the carbon fiber, the thermal expansion along the cylinder axis is almost negligible, even under severe thermal loads

  18. Shock Formation Height in the Solar Corona Estimated from SDO and Radio Observations

    NASA Technical Reports Server (NTRS)

    Gopalswamy, N.; Nitta, N.

    2011-01-01

    Wave transients at EUV wavelengths and type II radio bursts are good indicators of shock formation in the solar corona. We use recent EUV wave observations from SDO and combine them with metric type II radio data to estimate the height in the corona where the shocks form. We compare the results with those obtained from other methods. We also estimate the shock formation heights independently using white-light observations of coronal mass ejections that ultimately drive the shocks.

  19. On the Failure of Standard Emission Measure Analysis for Solar Extreme-Ultraviolet and Ultraviolet Irradiance Spectra

    NASA Astrophysics Data System (ADS)

    Judge, P. G.; Woods, T. N.; Brekke, P.; Rottman, G. J.

    1995-12-01

    We perform emission measure analysis of new and accurate UV ( lambda > 1200 A) and extreme-ultraviolet (EUV) ( lambda <= 1200 A) irradiance ("Sun-as-a-star") emission-line spectra of the Sun. Our data consist of (1) daily averaged UV irradiances from the SOLSTICE on the UARS spacecraft and (2) EUV irradiances obtained on the same date from a \\frac {1}{4} m spectrograph flown on a sounding rocket. Both instruments have a spectral resolution of roughly 1 A. The absolute uncertainties in these data are at most +/-15% (+/-2 sigma ), one of the highest photometric accuracies yet achieved. We find large, highly significant and systematic discrepancies in the emission measure analysis of transition region lines which can only be accounted for by a breakdown of one or more standard assumptions. All strong lines above 1000 A, which are from the Li and Na isoelectronic sequences, are too strong by factors of between 2.5 and 7 compared with their counterparts in the EUV region. Previous studies were tantalizingly close to finding these discrepancies, but those data lacked the wavelength coverage and relative photometric precision necessary for definitive conclusions. We argue that either dynamical effects, inaccurate treatments of atomic processes, and/or Lyman continuum absorption are the culprits. However, we favor the former explanation. In any event, this study should have implications for models of the solar transition region, for observing programs with the CDS and SUMER instruments on SOHO, and for analysis of UV spectra for stars across the cool half of the H-R diagram. Finally, the discrepancy is not seen for the "coronal" Li-like ions.

  20. Coronal Magnetography of Solar Active Regions Using Coordinated SOHO/CDS and VLA Observations

    NASA Technical Reports Server (NTRS)

    Brosius, Jeffrey W.

    1999-01-01

    The purpose of this project is to apply the coronal magnetographic technique to SOHO (Solar Heliospheric Observatory) /CDS (Coronal Diagnostic Spectrometer) EUV (Extreme Ultraviolet Radiation) and coordinated VLA microwave observations of solar active regions to derive the strength and structure of the coronal magnetic field. A CDS observing plan was developed for obtaining spectra needed to derive active region differential emission measures (DEMs) required for coronal magnetography. VLA observations were proposed and obtained. SOHO JOP 100 was developed, tested, approved, and implemented to obtain coordinated CDS (Coronal Diagnostic Spectrometer)/EIT (Ultraviolet Imaging Telescope)/ VLA (Very Large Array)/ TRACE (Transition Region and Coronal Explorer)/ SXT (Solar X Ray Telescope) observations of active regions on April 12, May 9, May 13, and May 23. Analysis of all four data sets began, with heaviest concentration on COS data. It is found that 200-pixel (14 A in NIS1) wavelength windows are appropriate for extracting broadened Gaussian line profile fit parameters for lines including Fe XIV at 334.2, Fe XVI at 335.4, Fe XVI at 360.8, and Mg IX at 368.1 over the 4 arcmin by 4 arcmin CDS field of view. Extensive efforts were focused on learning and applying were focused on learning and applying CDS software, and including it in new IDL procedures to carry out calculations relating to coronal magnetography. An important step is to extract Gaussian profile fits to all the lines needed to derive the DEM in each spatial pixel of any given active region. The standard CDS absolute intensity calibration software was applied to derived intensity images, revealing that ratios between density-insensitive lines like Fe XVI 360.8/335.4 yield good agreement with theory. However, the resulting absolute intensities of those lines are very high, indicating that revisions to the CDS absolute intensity calibrations remain to be included in the CDS software, an essential step to

  1. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  2. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  3. Mission Concepts for High-Resolution Solar Imaging with a Photon Sieve

    NASA Astrophysics Data System (ADS)

    Rabin, Douglas M.; Davila, Joseph; Daw, Adrian N.; Denis, Kevin L.; Novo-Gradac, Anne-Marie; Shah, Neerav; Widmyer, Thomas R.

    2017-08-01

    The best EUV coronal imagers are unable to probe the expected energy dissipation scales of the solar corona (<100 km) because conventional optics cannot be figured to near diffraction-limited accuracy at these wavelengths. Davila (2011) has proposed that a photon sieve, a diffractive imaging element similar to a Fresnel zone plate, provides a technically feasible path to the required angular resolution. We have produced photon sieves as large as 80 mm clear aperture. We discuss laboratory measurements of these devices and the path to larger apertures. The focal length of a sieve with high EUV resolution is at least 10 m. Options for solar imaging with such a sieve include a sounding rocket, a single spacecraft with a deployed boom, and two spacecraft flying in precise formation.

  4. ``Big Bang" for NASA's Buck: Nearly Three Years of EUVE Mission Operations at UCB

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.; Nevitt, R.; McDonald, K. E.; Cullison, J.; Malina, R. F.

    1999-12-01

    After over seven years in orbit, NASA's Extreme Ultraviolet Explorer (EUVE) satellite continues to perform flawlessly and with no significant loss of science capabilities. EUVE continues to produce important and exciting science results and, with reentry not expected until 2003-2004, many more such discoveries await. In the nearly three years since the outsourcing of EUVE from NASA's Goddard Space Flight Center, the small EUVE operations team at the University of California at Berkeley (UCB) has successfully conducted all aspects of the EUVE mission -- from satellite operations, science and mission planning, and data processing, delivery, and archival, to software support, systems administration, science management, and overall mission direction. This paper discusses UCB's continued focus on automation and streamlining, in all aspects of the Project, as the means to maximize EUVE's overall scientific productivity while minimizing costs. Multitasking, non-traditional work roles, and risk management have led to expanded observing capabilities while achieving significant cost reductions and maintaining the mission's historical 99 return. This work was funded under NASA Cooperative Agreement NCC5-138.

  5. Contamination Effects on EUV Optics

    NASA Technical Reports Server (NTRS)

    Tveekrem, J.

    1999-01-01

    During ground-based assembly and upon exposure to the space environment, optical surfaces accumulate both particles and molecular condensibles, inevitably resulting in degradation of optical instrument performance. Currently, this performance degradation (and the resulting end-of-life instrument performance) cannot be predicted with sufficient accuracy using existing software tools. Optical design codes exist to calculate instrument performance, but these codes generally assume uncontaminated optical surfaces. Contamination models exist which predict approximate end-of-life contamination levels, but the optical effects of these contamination levels can not be quantified without detailed information about the optical constants and scattering properties of the contaminant. The problem is particularly pronounced in the extreme ultraviolet (EUV, 300-1,200 A) and far (FUV, 1,200-2,000 A) regimes due to a lack of data and a lack of knowledge of the detailed physical and chemical processes involved. Yet it is in precisely these wavelength regimes that accurate predictions are most important, because EUV/FUV instruments are extremely sensitive to contamination.

  6. Thermodynamic Spectrum of Solar Flares Based on SDO/EVE Observations: Techniques and First Results

    NASA Technical Reports Server (NTRS)

    Wang, Yuming; Zhou, Zhenjun; Zhang, Jie; Liu, Kai; Liu, Rui; Shen, Chenglong; Chamberlin, Phillip C.

    2016-01-01

    The Solar Dynamics Observatory (SDO)/EUV Variability Experiment (EVE) provides rich information on the thermodynamic processes of solar activities, particularly on solar flares. Here, we develop a method to construct thermodynamic spectrum (TDS) charts based on the EVE spectral lines. This tool could potentially be useful for extreme ultraviolet (EUV) astronomy to learn about the eruptive activities on distant astronomical objects. Through several cases, we illustrate what we can learn from the TDS charts. Furthermore, we apply the TDS method to 74 flares equal to or greater than the M5.0 class, and reach the following statistical results. First, EUV peaks are always behind the soft X-ray (SXR) peaks and stronger flares tend to have faster cooling rates. There is a power-law correlation between the peak delay times and the cooling rates, suggesting a coherent cooling process of flares from SXR to EUV emissions. Second, there are two distinct temperature drift patterns, called Type I and Type II. For Type I flares, the enhanced emission drifts from high to low temperature like a quadrilateral, whereas for Type II flares the drift pattern looks like a triangle. Statistical analysis suggests that Type II flares are more impulsive than Type I flares. Third, for late-phase flares, the peak intensity ratio of the late phase to the main phase is roughly correlated with the flare class, and the flares with a strong late phase are all confined. We believe that the re-deposition of the energy carried by a flux rope, which unsuccessfully erupts out, into thermal emissions is responsible for the strong late phase found in a confined flare. Furthermore, we show the signatures of the flare thermodynamic process in the chromosphere and transition region in the TDS charts. These results provide new clues to advance our understanding of the thermodynamic processes of solar flares and associated solar eruptions, e.g., coronal mass ejections.

  7. Ultra-low roughness magneto-rheological finishing for EUV mask substrates

    NASA Astrophysics Data System (ADS)

    Dumas, Paul; Jenkins, Richard; McFee, Chuck; Kadaksham, Arun J.; Balachandran, Dave K.; Teki, Ranganath

    2013-09-01

    EUV mask substrates, made of titania-doped fused silica, ideally require sub-Angstrom surface roughness, sub-30 nm flatness, and no bumps/pits larger than 1 nm in height/depth. To achieve the above specifications, substrates must undergo iterative global and local polishing processes. Magnetorheological finishing (MRF) is a local polishing technique which can accurately and deterministically correct substrate figure, but typically results in a higher surface roughness than the current requirements for EUV substrates. We describe a new super-fine MRF® polishing fluid whichis able to meet both flatness and roughness specifications for EUV mask blanks. This eases the burden on the subsequent global polishing process by decreasing the polishing time, and hence the defectivity and extent of figure distortion.

  8. Design and progress in the fabrication of an EUV micro exposure tool optics for PREUVE

    NASA Astrophysics Data System (ADS)

    Geyl, Roland; Tanne, Jean-Francois

    2001-12-01

    SAGEM, through its REOSC product line, is participating since November 1999 to PREUVE, the French EUV initiative, and work within this program especially in the field of EUV illumination and projection optics. After a short description of the PREUVE main lines of activity, we will detail our contributions to this program and work progress. This is mainly focused on basic EUV optics fabrication technology in order to ensure the fabrication of the entire optics assembly of an EUV micro exposure tool.

  9. Optical element for full spectral purity from IR-generated EUV light sources

    NASA Astrophysics Data System (ADS)

    van den Boogaard, A. J. R.; Louis, E.; van Goor, F. A.; Bijkerk, F.

    2009-03-01

    Laser produced plasma (LLP) sources are generally considered attractive for high power EUV production in next generation lithography equipment. Such plasmas are most efficiently excited by the relatively long, infrared wavelengths of CO2-lasers, but a significant part of the rotational-vibrational excitation lines of the CO2 radiation will be backscattered by the plasma's critical density surface and consequently will be present as parasitic radiation in the spectrum of such sources. Since most optical elements in the EUV collecting and imaging train have a high reflection coefficient for IR radiation, undesirable heating phenomena at the resist level are likely to occur. In this study a completely new principle is employed to obtain full separation of EUV and IR radiation from the source by a single optical component. While the application of a transmission filter would come at the expense of EUV throughput, this technique potentially enables wavelength separation without loosing reflectance compared to a conventional Mo/Si multilayer coated element. As a result this method provides full spectral purity from the source without loss in EUV throughput. Detailed calculations on the principal of functioning are presented.

  10. EUV process improvement with novel litho track hardware

    NASA Astrophysics Data System (ADS)

    Stokes, Harold; Harumoto, Masahiko; Tanaka, Yuji; Kaneyama, Koji; Pieczulewski, Charles; Asai, Masaya

    2017-03-01

    Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.

  11. Solar magnetism eXplorer (SolmeX). Exploring the magnetic field in the upper atmosphere of our closest star

    NASA Astrophysics Data System (ADS)

    Peter, Hardi; Abbo, L.; Andretta, V.; Auchère, F.; Bemporad, A.; Berrilli, F.; Bommier, V.; Braukhane, A.; Casini, R.; Curdt, W.; Davila, J.; Dittus, H.; Fineschi, S.; Fludra, A.; Gandorfer, A.; Griffin, D.; Inhester, B.; Lagg, A.; Landi Degl'Innocenti, E.; Maiwald, V.; Sainz, R. Manso; Martínez Pillet, V; Matthews, S.; Moses, D.; Parenti, S.; Pietarila, A.; Quantius, D.; Raouafi, N.-E.; Raymond, J.; Rochus, P.; Romberg, O.; Schlotterer, M.; Schühle, U.; Solanki, S.; Spadaro, D.; Teriaca, L.; Tomczyk, S.; Trujillo Bueno, J.; Vial, J.-C.

    2012-04-01

    The magnetic field plays a pivotal role in many fields of Astrophysics. This is especially true for the physics of the solar atmosphere. Measuring the magnetic field in the upper solar atmosphere is crucial to understand the nature of the underlying physical processes that drive the violent dynamics of the solar corona—that can also affect life on Earth. SolmeX, a fully equipped solar space observatory for remote-sensing observations, will provide the first comprehensive measurements of the strength and direction of the magnetic field in the upper solar atmosphere. The mission consists of two spacecraft, one carrying the instruments, and another one in formation flight at a distance of about 200 m carrying the occulter to provide an artificial total solar eclipse. This will ensure high-quality coronagraphic observations above the solar limb. SolmeX integrates two spectro-polarimetric coronagraphs for off-limb observations, one in the EUV and one in the IR, and three instruments for observations on the disk. The latter comprises one imaging polarimeter in the EUV for coronal studies, a spectro-polarimeter in the EUV to investigate the low corona, and an imaging spectro-polarimeter in the UV for chromospheric studies. SOHO and other existing missions have investigated the emission of the upper atmosphere in detail (not considering polarization), and as this will be the case also for missions planned for the near future. Therefore it is timely that SolmeX provides the final piece of the observational quest by measuring the magnetic field in the upper atmosphere through polarimetric observations.

  12. The Origin of the EUV Emission in Her X-1

    NASA Technical Reports Server (NTRS)

    Leahy, D. A.; Marshall, H.

    1999-01-01

    Her X-1 exhibits a strong orbital modulation of its EUV flux with a large decrease around time of eclipse of the neutron star, and a significant dip which appears at different orbital phases at different 35-day phases. We consider observations of Her X-1 in the EUVE by the Extreme Ultraviolet Explorer (EUVE), which includes data from 1995 near the end of the Short High state, and date from 1997 at the start of the Short High state. The observed EUV lightcurve has bright and faint phases. The bright phase can be explained as the low energy tail of the soft x-ray pulse. The faint phase emission has been modeled to understand its origin. We find: the x-ray heated surface of HZ Her is too cool to produce enough emission; the accretion disk does not explain the orbital modulation; however, reflection of x-rays off of HZ Her can produce the observed lightcurve with orbital eclipses. The dip can be explained by shadowing of the companion by the accretion disk. We discuss the constraints on the accretion disk geometry derived from the observed shadowing.

  13. Solar activity indices as a proxy for the variation of ionospheric Total Electron Content (TEC) over Bahir Dar, Ethiopia during the year 2010-2014

    NASA Astrophysics Data System (ADS)

    Kassa, Tsegaye; Tilahun, Samson; Damtie, Baylie

    2017-09-01

    This paper was aimed at investigating the solar variations of vTEC as a function of solar activity parameters, EUV and F10.7 radio flux. The daily values of ionospheric vertical Total Electron Content (vTEC) were observed using a dual frequency GPS receiver deployed at Bahir Dar (11.6°N and 37.36°E), Ethiopia. Measurements were taken during the period of 2010-2014 for successive five years and analysis was done on only quiet day observations. A quadratic fit was used as a model to describe the daily variation of vTEC in relation to solar parameters. Linear and non-linear coefficients of the vTEC variations were calculated in order to capture the trend of the variation. The variation of vTEC have showed good agreement with the trend of solar parameters in almost all of the days we consider during the period of our observations. We have explicitly observed days with insignificant TECU deviation (eg. modeling with respect to EUV, DOY = 49 in 2010 and modeling with respect to F10.7, DOY = 125 in 2012 and the like) and days with maximum deviation (about 50 TECU). A maximum deviation were observed, on average, during months of equinox whereas minimum during solstice months. This implies that there is a need to consider more parameters, including EUV and F10.7, that can affect the variation of vTEC during equinox seasons. Relatively, small deviations was observed in modeling vTEC as a function of EUV compared to that of the variation due to F10.7 cm flux. This may also tell us that EUV can be more suitable in modeling the solar variation of vTEC especially for longterm trends. Even though, the linear trend of solar variations of vTEC was frequently observed, significant saturation and amplification trends of the solar variations of vTEC were also observed to some extent across the months of the years we have analyzed. This mixed trend of the solar variation of vTEC implies the need for thorough investigation on the effect of solar parameters on TEC. However, based on

  14. Magnetic Flux Emergence and the Initiation of Filament Eruptions and CMEs as Observed by the EUV Imaging Telescope on SOHO

    NASA Astrophysics Data System (ADS)

    Neupert, W. M.

    2005-05-01

    Solar observations over more than twenty years (e.g., Gaizauskas and Svestka, 1987, summarizing the "Flare Build-up Study", Feynman and Martin, 1995, and more recently, Wang and Sheeley, 1999) have demonstrated that emergence of new magnetic flux in the vicinity of quiescent filament fields frequently leads to the eruption of those filaments, given polarity orientations favorable for magnetic reconnection. Concurrently, models of the interaction of such magnetic flux configurations have been developed to explain the initiation of flares (e.g., Priest and Forbes, 2002) and coronal mass ejections (Chen et al., 2002). We have used observations made in the 195 Angstrom (Fe XII) band by the EUV imaging Telescope (EIT) on SOHO to identify instances of emerging flux, indicated by new EUV emission, and subsequent eruption of a quiescent filament in a search for coronal changes that might appear as a result of merging magnetic fields. Limiting our study to quiescent filaments distant from active regions, we have identified events in which a slow increase in filament height begins shortly (a few hours) after first appearance of an EUV emission source either within or beside the filament channel. For long filaments, the apex of the rising filament appears to lie above the developing EUV source, implying that the field supporting the filament is locally interacting with the emerging field. Transient EUV features at onset of the eruptive phase include low-lying loops over the neutral line and, more rarely, localized sources apparently associated with the rising filament. No evidence of reconfiguring of an overlying corona (only faintly detected by the EIT) prior to CME initiation has been found. Our results support the hypothesis that at least in some instances the emergence of new magnetic field leads to a loss of filament equilibrium and a coronal mass ejection. This work is supported by NASA Intergovernmental Transfer W-10118 to NOAA's Space Environment Center. SOHO is a

  15. Evaluation of long term solar activity effects on GPS derived TEC

    NASA Astrophysics Data System (ADS)

    Mansoori, Azad A.; Khan, Parvaiz A.; Ahmad, Rafi; Atulkar, Roshni; M, Aslam A.; Bhardwaj, Shivangi; Malvi, Bhupendra; Purohit, P. K.; Gwal, A. K.

    2016-10-01

    The solar activity hence the solar radiance follows a long term periodic variability with eleven years periodicity, known as solar cycle. This drives the long term variability of the ionosphere. In the present problem we investigate the long term behaviour of the ionosphere with the eleven year cyclic solar activity. Under the present study we characterize the ionospheric variability by Total Electron Content (TEC) using measurements made by Global Positioning System (GPS) and solar cycle variability by various solar activity indices. We make use of five solar activity indices viz. sunspot number (Rz), solar radio Flux (F10.7 cm), EUV Flux (26-34 nm), flare index and CME occurrences. The long term variability of these solar activity indices were then compared and correlated with the variability of ionospheric TEC, at a mid latitude station, Usuda (36.13N, 138.36E), of Japan, during the solar cycle 23 and ascending phase of cycle 24. From our study, we found that long term changes in the ionospheric TEC vary synchronously with corresponding changes in the solar activity indices. The correlation analysis shows that all the solar activity indices exhibit a very strong correlation with TEC (R =0.76 -0.99). Moreover the correlation between the two is stronger in the descending phase of the solar cycle. The correlation is found to be remarkably strongest during the deep minimum of the solar cycle 24 i.e. between 2007- 2009. Also we noticed a hysteresis effect exists with solar radio flux (F10.7 cm) and solar EUV flux (26-34 nm). This effect is absent with other parameters.

  16. Method of fabricating reflection-mode EUV diffusers

    DOEpatents

    Anderson, Erik; Naulleau, Patrick P.

    2005-03-01

    Techniques for fabricating well-controlled, random relief, engineered surfaces that serve as substrates for EUV optical devices are accomplished with grayscale exposure. The method of fabricating a multilevel EUV optical element includes: (a) providing a substrate; (b) depositing a layer of curable material on a surface of the substrate; (c) creating a relief profile in a layer of cured material from the layer of curable material wherein the relief profile comprises multiple levels of cured material that has a defined contour; and (d) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. The curable material can comprise photoresist or a low dielectric constant material.

  17. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  18. The development and test of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. Gethyn; Walker, A. B. C., Jr.; Morgan, J. S.; Huber, M. C. E.; Tondello, G.

    1992-01-01

    The objectives were to address currently unanswered fundamental questions concerning the fine scale structure of the chromosphere, transition region, and corona. The unique characteristics of the spectroheliometer was used in combination with plasma diagnostic techniques to study the temperature, density, and velocity structures of specific features in the solar outer atmosphere. A unified understanding was sought of the interplay between the time dependent geometry of the magnetic field structure and the associated flows of mass and energy, the key to which lies in the smallest spatial scales that are unobservable with current EUV instruments. Toroidal diffraction gratings were fabricated and tested by a new technique using an elastically deformable substrate. The toroidal diffraction gratings was procured and tested to be used for the evaluation of the Multi-Anode Microchannel Array (MAMA) detector systems for the Solar Ultraviolet Measurements of Emitted Radiation (SUMER) and UV Coronagraph Spectrometer (UVCS) instruments on the SOHO mission.

  19. Exploring EUV and SAQP pattering schemes at 5nm technology node

    NASA Astrophysics Data System (ADS)

    Hamed Fatehy, Ahmed; Kotb, Rehab; Lafferty, Neal; Jiang, Fan; Word, James

    2018-03-01

    For years, Moore's law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source's wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore's law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target's line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV. In this work we are comparing two

  20. Strong non-radial propagation of energetic electrons in solar corona

    NASA Astrophysics Data System (ADS)

    Klassen, A.; Dresing, N.; Gómez-Herrero, R.; Heber, B.; Veronig, A.

    2018-06-01

    Analyzing the sequence of solar energetic electron events measured at both STEREO-A (STA) and STEREO-B (STB) spacecraft during 17-21 July 2014, when their orbital separation was 34°, we found evidence of a strong non-radial electron propagation in the solar corona below the solar wind source surface. The impulsive electron events were associated with recurrent flare and jet (hereafter flare/jet) activity at the border of an isolated coronal hole situated close to the solar equator. We have focused our study on the solar energetic particle (SEP) event on 17 July 2014, during which both spacecraft detected a similar impulsive and anisotropic energetic electron event suggesting optimal connection of both spacecraft to the parent particle source, despite the large angular separation between the parent flare and the nominal magnetic footpoints on the source surface of STA and STB of 68° and 90°, respectively. Combining the remote-sensing extreme ultraviolet (EUV) observations, in-situ plasma, magnetic field, and energetic particle data we investigated and discuss here the origin and the propagation trajectory of energetic electrons in the solar corona. We find that the energetic electrons in the energy range of 55-195 keV together with the associated EUV jet were injected from the flare site toward the spacecraft's magnetic footpoints and propagate along a strongly non-radial and inclined magnetic field below the source surface. From stereoscopic (EUV) observations we estimated the inclination angle of the jet trajectory and the respective magnetic field of 63° ± 11° relative to the radial direction. We show how the flare accelerated electrons reach very distant longitudes in the heliosphere, when the spacecraft are nominally not connected to the particle source. This example illustrates how ballistic backmapping can occasionally fail to characterize the magnetic connectivity during SEP events. This finding also provides an additional mechanism (one among others

  1. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform

    NASA Astrophysics Data System (ADS)

    Bekaert, Joost; Di Lorenzo, Paolo; Mao, Ming; Decoster, Stefan; Larivière, Stéphane; Franke, Joern-Holger; Blanco Carballo, Victor M.; Kutrzeba Kotowska, Bogumila; Lazzarino, Frederic; Gallagher, Emily; Hendrickx, Eric; Leray, Philippe; Kim, R. Ryoung-han; McIntyre, Greg; Colsters, Paul; Wittebrood, Friso; van Dijk, Joep; Maslow, Mark; Timoshkov, Vadim; Kiers, Ton

    2017-03-01

    The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an `aggressive' full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.

  2. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    NASA Technical Reports Server (NTRS)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  3. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    PubMed

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  4. The Absolute Magnitude of the Sun in Several Filters

    NASA Astrophysics Data System (ADS)

    Willmer, Christopher N. A.

    2018-06-01

    This paper presents a table with estimates of the absolute magnitude of the Sun and the conversions from vegamag to the AB and ST systems for several wide-band filters used in ground-based and space-based observatories. These estimates use the dustless spectral energy distribution (SED) of Vega, calibrated absolutely using the SED of Sirius, to set the vegamag zero-points and a composite spectrum of the Sun that coadds space-based observations from the ultraviolet to the near-infrared with models of the Solar atmosphere. The uncertainty of the absolute magnitudes is estimated by comparing the synthetic colors with photometric measurements of solar analogs and is found to be ∼0.02 mag. Combined with the uncertainty of ∼2% in the calibration of the Vega SED, the errors of these absolute magnitudes are ∼3%–4%. Using these SEDs, for three of the most utilized filters in extragalactic work the estimated absolute magnitudes of the Sun are M B = 5.44, M V = 4.81, and M K = 3.27 mag in the vegamag system and M B = 5.31, M V = 4.80, and M K = 5.08 mag in AB.

  5. Evidence of solar wind energy deposition into the ionosphere of Mars

    NASA Technical Reports Server (NTRS)

    Mantas, G. P.; Hanson, W. B.

    1985-01-01

    Suprathermal electron fluxes measured in the ionosphere of Mars by the retarding potential analyzer (RPA) on Viking lander 1 are presented and compared with the photoelectron flux that is produced by the absorption of the solar EUV. The calculation of the equilibrium photoelectron population on Mars is based on the multistream electron transport theory and a model neutral atmosphere and ionosphere that was actually observed by Viking lander 1. From the theoretical equilibrium photoelectron population, the expected RPA volt-ampere characteristic curves are computed and compared with those recorded by the instrument. The theoretical and the observed RPA currents below about 170 km are in agreement, confirming that the solar EUV is the main source of suprathermal electrons at these altitudes. Above about 170 km an additional source of suprathermal electrons is required to explain the observations.

  6. EUV observations of the active sun from the Harvard experiment on ATM. [Apollo Telescope Mount

    NASA Technical Reports Server (NTRS)

    Noyes, R. W.; Foukal, P. V.; Reeves, E. M.; Schmahl, E. J.; Timothy, J. G.; Vernazza, J. E.; Withbroe, G. L.; Huber, M. C. E.

    1975-01-01

    Some extreme UV observations of solar active regions made with a scanning spectroheliometer are described. Spectroheliograms constructed from digital data using a computer-driven cathode-ray tube display show clearly how the appearance of an active region changes as a function of temperature. Flare studies indicate that the impulsive rise in EUV emission occurs essentially simultaneously at all levels from the transition zone to the corona. Observations of sunspots reveal a very intense emission in transition zone lines. A matrix of Mg x rasters covering the entire sun reveals several hundred bright points having dimensions of 30 arc seconds or less. Other observations include coronal holes and prominences.

  7. Preliminary error budget analysis of the coronagraphic instrument metis for the solar orbiter ESA mission

    NASA Astrophysics Data System (ADS)

    Da Deppo, Vania; Poletto, Luca; Crescenzio, Giuseppe; Fineschi, Silvano; Antonucci, Ester; Naletto, Giampiero

    2017-11-01

    METIS, the Multi Element Telescope for Imaging and Spectroscopy, is the solar coronagraph foreseen for the ESA Solar Orbiter mission. METIS is conceived to image the solar corona from a near-Sun orbit in three different spectral bands: in the HeII EUV narrow band at 30.4 nm, in the HI UV narrow band at 121.6 nm, and in the polarized visible light band (590 - 650 nm). It also incorporates the capability of multi-slit spectroscopy of the corona in the UV/EUV range at different heliocentric heights. METIS is an externally occulted coronagraph which adopts an "inverted occulted" configuration. The Inverted external occulter (IEO) is a small circular aperture at the METIS entrance; the Sun-disk light is rejected by a spherical mirror M0 through the same aperture, while the coronal light is collected by two annular mirrors M1-M2 realizing a Gregorian telescope. To allocate the spectroscopic part, one portion of the M2 is covered by a grating (i.e. approximately 1/8 of the solar corona will not be imaged). This paper presents the error budget analysis for this new concept coronagraph configuration, which incorporates 3 different sub-channels: UV and EUV imaging sub-channel, in which the UV and EUV light paths have in common the detector and all of the optical elements but a filter, the polarimetric visible light sub-channel which, after the telescope optics, has a dedicated relay optics and a polarizing unit, and the spectroscopic sub-channel, which shares the filters and the detector with the UV-EUV imaging one, but includes a grating instead of the secondary mirror. The tolerance analysis of such an instrument is quite complex: in fact not only the optical performance for the 3 sub-channels has to be maintained simultaneously, but also the positions of M0 and of the occulters (IEO, internal occulter and Lyot stop), which guarantee the optimal disk light suppression, have to be taken into account as tolerancing parameters. In the aim of assuring the scientific

  8. Brightness and magnetic evolution of solar coronal bright points

    NASA Astrophysics Data System (ADS)

    Ugarte Urra, Ignacio

    This thesis presents a study of the brightness and magnetic evolution of several Extreme ultraviolet (EUV) coronal bright points (hereafter BPs). The study was carried out using several instruments on board the Solar and Heliospheric Observatory, supported by the high resolution imaging from the Transition Region And Coronal Explorer. The results confirm that, down to 1" resolution, BPs are made of small loops with lengths of [approximate]6 Mm and cross-sections of ≈2 Mm. The loops are very dynamic, evolving in time scales as short as 1 - 2 minutes. This is reflected in a highly variable EUV response with fluctuations highly correlated in spectral lines at transition region temperatures, but not always at coronal temperatures. A wavelet analysis of the intensity variations reveals the existence of quasi-periodic oscillations with periods ranging 400--1000s, in the range of periods characteristic of the chromospheric network. The link between BPs and network bright points is discussed, as well as the interpretation of the oscillations in terms of global acoustic modes of closed magnetic structures. A comparison of the magnetic flux evolution of the magnetic polarities to the EUV flux changes is also presented. Throughout their lifetime, the intrinsic EUV emission of BPs is found to be dependent on the total magnetic flux of the polarities. In short time scales, co-spatial and co-temporal coronal images and magnetograms, reveal the signature of heating events that produce sudden EUV brightenings simultaneous to magnetic flux cancellations. This is interpreted in terms of magnetic reconnection events. Finally, a electron density study of six coronal bright points produces values of ≈1.6×10 9 cm -3 , closer to active region plasma than to quiet Sun. The analysis of a large coronal loop (half length of 72 Mm) introduces the discussion on the prospects of future plasma diagnostics of BPs with forthcoming solar missions.

  9. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    NASA Technical Reports Server (NTRS)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  10. Shot noise, LER, and quantum efficiency of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Brainard, Robert L.; Trefonas, Peter; Lammers, Jeroen H.; Cutler, Charlotte A.; Mackevich, Joseph F.; Trefonas, Alexander; Robertson, Stewart A.

    2004-05-01

    The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge. An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge

  11. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode /EUV Imaging Spectrometer (EIS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watanabe, Tetsuya; Hara, Hirohisa; Murakami, Izumi

    2017-06-10

    Line intensities emerging from the Ne-sequence iron ion (Fe xvii) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe xvii λ 204.6/ λ 254.8 are derived in the laboratory by unblending the contributions of the Fe xiii and xii line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the linemore » ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.« less

  12. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  13. Solar XUV Imaging and Non-dispersive Spectroscopy for Solar-C Enabled by Scientific CMOS APS Arrays

    NASA Astrophysics Data System (ADS)

    Stern, Robert A.; Lemen, J. R.; Shing, L.; Janesick, J.; Tower, J.

    2009-05-01

    Monolithic CMOS Advanced Pixel Sensor (APS) arrays are showing great promise as eventual replacements for the current workhorse of solar physics focal planes, the scientific CCD. CMOS APS devices have individually addressable pixels, increased radiation tolerance compared to CCDs, and require lower clock voltages, and thus lower power. However, commercially available CMOS chips, while suitable for use with intensifiers or fluorescent coatings, are generally not optimized for direct detection of EUV and X-ray photons. A high performance scientific CMOS array designed for these wavelengths will have significant new capabilities compared to CCDs, including the ability to read out small regions of the solar disk at high (sub sec) cadence, count single X-ray photons with Fano-limited energy resolution, and even operate at room temperature with good noise performance. Such capabilities will be crucial for future solar X-ray and EUV missions such as Solar-C. Sarnoff Corporation has developed scientific grade, monolithic CMOS arrays for X-ray imaging and photon counting. One prototype device, the "minimal" array, has 8 um pixels, is 15 to 25 um thick, is fabricated on high-resistivity ( 10 to 20 kohm-cm) Si wafers, and can be back-illuminated. These characteristics yield high quantum efficiency and high spatial resolution with minimal charge sharing among pixels, making it ideal for the detection of keV X-rays. When used with digital correlated double sampling, the array has demonstrated noise performance as low as 2 e, allowing single photon counting of X-rays over a range of temperatures. We report test results for this device in X-rays, and discuss the implications for future solar space missions.

  14. Coater/developer based techniques to improve high-resolution EUV patterning defectivity

    NASA Astrophysics Data System (ADS)

    Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Liu, Eric; Ko, Akiteru; Kawakami, Shinichiro; Shimoaoki, Takeshi; Hashimoto, Yusaku; Tanaka, Koichiro; Petrillo, Karen; Meli, Luciana; De Silva, Anuja; Xu, Yongan; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex

    2017-10-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.

  15. Ultimate patterning limits for EUV at 5nm node and beyond

    NASA Astrophysics Data System (ADS)

    Ali, Rehab Kotb; Hamed Fatehy, Ahmed; Lafferty, Neal; Word, James

    2018-03-01

    The 5nm technology node introduces more aggressive geometries than previous nodes. In this paper, we are introducing a comprehensive study to examine the pattering limits of EUV at 0.33NA. The study is divided into two main approaches: (A) Exploring pattering limits of Single Exposure EUV Cut/Block mask in Self-Aligned-Multi-Patterning (SAMP) process, and (B) Exploring the pattering limits of a Single Exposure EUV printing of metal Layers. The printability of the resulted OPC masks is checked through a model based manufacturing flow for the two pattering approaches. The final manufactured patterns are quantified by Edge Placement Error (EPE), Process Variation Band (PVBand), soft/hard bridging and pinching, Image Log Slope (ILS) and Common Depth of Focus (CDOF)

  16. A study of EUV emission from the O4f star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1995-01-01

    Our 20 ks observation did not allow us to carry out our primary objective, i.e., to test the limitations of deeply embedded EUV and X-ray sources. However, it did provide a very useful constraint in our analysis of a newly acquired high S/N ROSAT PSPC X-ray spectrum of Zeta Pup. In addition, modifications to our stellar wind opacity code have been preformed to investigate the sensitivity of the EUV opacity energy range to different photospheric model flux inputs and different wind structures. These analyses provided the justification for a 140 ks follow up EUVE Cycle III observation of this star. We have recently been informed that our requested observation has been accepted as a Type 1 target for Cycle III. The remainder of this report focuses on the following: (1) a brief background on the status of X-ray emission from OB stars; (2) a discussion on the importance of EUV observations; (3) a discussion of our scientific objectives; and (4) a summary of our technical approach for our Cycle III observation (including the predicted EUV counts for various lines.)

  17. SLOW PATCHY EXTREME-ULTRAVIOLET PROPAGATING FRONTS ASSOCIATED WITH FAST CORONAL MAGNETO-ACOUSTIC WAVES IN SOLAR ERUPTIONS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Ding, M. D.; Chen, P. F., E-mail: guoyang@nju.edu.cn

    2015-08-15

    Using the high spatiotemporal resolution extreme ultraviolet (EUV) observations of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory, we conduct a statistical study of the observational properties of the coronal EUV propagating fronts. We find that it might be a universal phenomenon for two types of fronts to coexist in a large solar eruptive event. It is consistent with the hybrid model of EUV propagating fronts, which predicts that coronal EUV propagating fronts consist of both a fast magneto-acoustic wave and a nonwave component. We find that the morphologies, propagation behaviors, and kinematic features of the two EUVmore » propagating fronts are completely different from each other. The fast magneto-acoustic wave fronts are almost isotropic. They travel continuously from the flaring region across multiple magnetic polarities to global distances. On the other hand, the slow nonwave fronts appear as anisotropic and sequential patches of EUV brightening. Each patch propagates locally in the magnetic domains where the magnetic field lines connect to the bottom boundary and stops at the magnetic domain boundaries. Within each magnetic domain, the velocities of the slow patchy nonwave component are an order of magnitude lower than that of the fast-wave component. However, the patches of the slow EUV propagating front can jump from one magnetic domain to a remote one. The velocities of such a transit between different magnetic domains are about one-third to one-half of those of the fast-wave component. The results show that the velocities of the nonwave component, both within one magnetic domain and between different magnetic domains, are highly nonuniform due to the inhomogeneity of the magnetic field in the lower atmosphere.« less

  18. High-Resolution EUV Spectroscopy of White Dwarfs

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  19. MASC: Magnetic Activity of the Solar Corona

    NASA Astrophysics Data System (ADS)

    Auchere, Frederic; Fineschi, Silvano; Gan, Weiqun; Peter, Hardi; Vial, Jean-Claude; Zhukov, Andrei; Parenti, Susanna; Li, Hui; Romoli, Marco

    We present MASC, an innovative payload designed to explore the magnetic activity of the solar corona. It is composed of three complementary instruments: a Hard-X-ray spectrometer, a UV / EUV imager, and a Visible Light / UV polarimetric coronagraph able to measure the coronal magnetic field. The solar corona is structured in magnetically closed and open structures from which slow and fast solar winds are respectively released. In spite of much progress brought by two decades of almost uninterrupted observations from several space missions, the sources and acceleration mechanisms of both types are still not understood. This continuous expansion of the solar atmosphere is disturbed by sporadic but frequent and violent events. Coronal mass ejections (CMEs) are large-scale massive eruptions of magnetic structures out of the corona, while solar flares trace the sudden heating of coronal plasma and the acceleration of electrons and ions to high, sometimes relativistic, energies. Both phenomena are most probably driven by instabilities of the magnetic field in the corona. The relations between flares and CMEs are still not understood in terms of initiation and energy partition between large-scale motions, small-scale heating and particle acceleration. The initiation is probably related to magnetic reconnection which itself results magnetic topological changes due to e.g. flux emergence, footpoints motions, etc. Acceleration and heating are also strongly coupled since the atmospheric heating is thought to result from the impact of accelerated particles. The measurement of both physical processes and their outputs is consequently of major importance. However, despite its fundamental importance as a driver for the physics of the Sun and of the heliosphere, the magnetic field of our star’s outer atmosphere remains poorly understood. This is due in large part to the fact that the magnetic field is a very difficult quantity to measure. Our knowledge of its strength and

  20. CONSTRAINING SOLAR FLARE DIFFERENTIAL EMISSION MEASURES WITH EVE AND RHESSI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caspi, Amir; McTiernan, James M.; Warren, Harry P.

    2014-06-20

    Deriving a well-constrained differential emission measure (DEM) distribution for solar flares has historically been difficult, primarily because no single instrument is sensitive to the full range of coronal temperatures observed in flares, from ≲2 to ≳50 MK. We present a new technique, combining extreme ultraviolet (EUV) spectra from the EUV Variability Experiment (EVE) onboard the Solar Dynamics Observatory with X-ray spectra from the Reuven Ramaty High Energy Solar Spectroscopic Imager (RHESSI), to derive, for the first time, a self-consistent, well-constrained DEM for jointly observed solar flares. EVE is sensitive to ∼2-25 MK thermal plasma emission, and RHESSI to ≳10 MK; together, the twomore » instruments cover the full range of flare coronal plasma temperatures. We have validated the new technique on artificial test data, and apply it to two X-class flares from solar cycle 24 to determine the flare DEM and its temporal evolution; the constraints on the thermal emission derived from the EVE data also constrain the low energy cutoff of the non-thermal electrons, a crucial parameter for flare energetics. The DEM analysis can also be used to predict the soft X-ray flux in the poorly observed ∼0.4-5 nm range, with important applications for geospace science.« less

  1. Negative-tone imaging with EUV exposure toward 13nm hp

    NASA Astrophysics Data System (ADS)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  2. Mask characterization for CDU budget breakdown in advanced EUV lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  3. Societal Impacts of Solar Electromagnetic Radiation

    NASA Astrophysics Data System (ADS)

    Lean, J. L.

    2000-05-01

    Changes in solar electromagnetic radiation, which occur continuously and at all wavelengths of the spectrum, can have significant societal impacts on a wide range of time scales. Detection of climate change and ozone depletion requires reliable specification of solar-induced processes that mask or exacerbate anthropogenic effects. Living with, and mitigating, climate change and ozone depletion has significant economic, habitat and political impacts of international extent. As an example, taxes to restrict carbon emission may cause undue economic stress if the role of greenhouse gases in global warming is incorrectly diagnosed. Ignoring solar-induced ozone changes in the next century may lead to incorrect assessment of the success of the Montreal Protocol in protecting the ozone layer by limiting the use of ozone-destroying chlorofluorocarbons. Societal infrastructure depends in many ways on space-based technological assets. Communications and navigation for commerce, industry, science and defense rely on satellite signals transmitted through, and reflected by, electrons in the ionosphere. Electron densities change in response to solar flares, and by orders of magnitude in response to EUV and X-ray flux variations during the Sun's 11-year activity cycle. Spacecraft and space debris experience enhanced drag on their orbits when changing EUV radiation causes upper atmosphere densities to increase. Especially affected are spacecraft and debris in lower altitude orbits, such as Iridium-type communication satellites, and the International Space Station (ISS). Proper specification of solar-induced fluctuations in the neutral upper atmosphere can, for example, aid in tracking the ISS and surrounding space debris, reducing the chance of ISS damage from collisions, and maximizing its operations. Aspects of solar electromagnetic radiation variability will be briefly illustrated on a range of time scales, with specific identification of the societal impacts of different

  4. EUV phase-shifting masks and aberration monitors

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the use of phase-shifting masks in EUV lithography. The effects of oblique incident illumination and mask patterning by ion-mixing of multilayers are analyzed. Oblique incident illumination causes streamers at absorber edges and causes position shifting in aerial images. The diffraction waves between ion-mixed and pristine multilayers are observed. The phase-shifting caused by stepped substrates is simulated and images show that it succeeds in creation of phase-shifting effects. The diffraction process at the phase boundary is also analyzed. As an example of EUV phase-shifting masks, a coma pattern and probe based aberration monitor is simulated and aerial images are formed under different levels of coma aberration. The probe signal rises quickly as coma increases as designed.

  5. The Solar Flux Dependence of Ionospheric 150 km Radar Echoes and Implications

    NASA Astrophysics Data System (ADS)

    Patra, A. K.; Pavan Chaitanya, P.; St.-Maurice, J.-P.; Otsuka, Y.; Yokoyama, T.; Yamamoto, M.

    2017-11-01

    Radar echoes from the daytime equatorial ionospheric F1 region, popularly known as "150 km echoes," have challenged ionospheric plasma physicists for several decades. Recent theoretical simulations showed that enhanced photoelectron fluxes can amplify the amplitude of plasma waves, generating spectra similar to those of the radar echoes, implying that larger solar fluxes should produce more frequent and stronger 150 km echoes. Inspired by this proposal, we studied the occurrence and intensity dependence of the echoes on the EUV flux observed by SOHO over several years. The occurrence and intensity of the echoes were found to have an inverse relationship with this EUV flux measurement. The multiyear trend is independent of the variability often observed over successive days with nearly identical EUV fluxes. These results imply that the relationship between the echoes and EUV flux is more complex. We propose that gravity waves modulate the amplitude of 150 km echoes through changes in the variations in plasma density and photoelectron fluxes associated with the gravity wave-induced neutral density modulations.

  6. Low Altitude Solar Magnetic Reconnection, Type III Solar Radio Bursts, and X-ray Emissions.

    PubMed

    Cairns, I H; Lobzin, V V; Donea, A; Tingay, S J; McCauley, P I; Oberoi, D; Duffin, R T; Reiner, M J; Hurley-Walker, N; Kudryavtseva, N A; Melrose, D B; Harding, J C; Bernardi, G; Bowman, J D; Cappallo, R J; Corey, B E; Deshpande, A; Emrich, D; Goeke, R; Hazelton, B J; Johnston-Hollitt, M; Kaplan, D L; Kasper, J C; Kratzenberg, E; Lonsdale, C J; Lynch, M J; McWhirter, S R; Mitchell, D A; Morales, M F; Morgan, E; Ord, S M; Prabu, T; Roshi, A; Shankar, N Udaya; Srivani, K S; Subrahmanyan, R; Wayth, R B; Waterson, M; Webster, R L; Whitney, A R; Williams, A; Williams, C L

    2018-01-26

    Type III solar radio bursts are the Sun's most intense and frequent nonthermal radio emissions. They involve two critical problems in astrophysics, plasma physics, and space physics: how collective processes produce nonthermal radiation and how magnetic reconnection occurs and changes magnetic energy into kinetic energy. Here magnetic reconnection events are identified definitively in Solar Dynamics Observatory UV-EUV data, with strong upward and downward pairs of jets, current sheets, and cusp-like geometries on top of time-varying magnetic loops, and strong outflows along pairs of open magnetic field lines. Type III bursts imaged by the Murchison Widefield Array and detected by the Learmonth radiospectrograph and STEREO B spacecraft are demonstrated to be in very good temporal and spatial coincidence with specific reconnection events and with bursts of X-rays detected by the RHESSI spacecraft. The reconnection sites are low, near heights of 5-10 Mm. These images and event timings provide the long-desired direct evidence that semi-relativistic electrons energized in magnetic reconnection regions produce type III radio bursts. Not all the observed reconnection events produce X-ray events or coronal or interplanetary type III bursts; thus different special conditions exist for electrons leaving reconnection regions to produce observable radio, EUV, UV, and X-ray bursts.

  7. Method and apparatus for inspecting an EUV mask blank

    DOEpatents

    Goldberg, Kenneth A.

    2005-11-08

    An apparatus and method for at-wavelength EUV mask-blank characterization for inspection of moderate and low spatial frequency coating uniformity using a synchrotron or other source of EUV light. The apparatus provides for rapid, non-destruction, non-contact, at-wavelength qualification of large mask areas, and can be self-calibrating or be calibrated to well-characterized reference samples. It can further check for spatial variation of mask reflectivity or for global differences among masks. The apparatus and method is particularly suited for inspection of coating uniformity and quality and can detect defects in the order of 50 .mu.m and above.

  8. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  9. Studies of EUV contamination mitigation

    NASA Astrophysics Data System (ADS)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  10. Accumulation of electric currents driving jetting events in the solar atmosphere

    NASA Astrophysics Data System (ADS)

    Vargas Domínguez, S.; Guo, Y.; Demoulin, P.; Schmieder, B.; Ding, M.; Liu, Y.

    2013-12-01

    The solar atmosphere is populated with a wide variety of structures and phenomena at different spatial and temporal scales. Explosive phenomena are of particular interest due to their contribution to the atmosphere's energy budget and their implications, e.g. coronal heating. Recent instrumental developments have provided important observations and therefore new insights for tracking the dynamic evolution of the solar atmosphere. Jets of plasma are frequently observed in the solar corona and are thought to be a consequence of magnetic reconnection, however, the physics involved is not fully understood. Unprecedented observations (EUV and vector magnetic fields) are used to study solar jetting events, from which we derive the magnetic flux evolution, the photospheric velocity field, and the vertical electric current evolution. The evolution of magnetic parasitic polarities displaying diverging flows are detected to trigger recurrent jets in a solar regionon 17 September 2010. The interaction drive the build up of electric currents. Observed diverging flows are proposed to build continuously such currents. Magnetic reconnection is proposed to occur periodically, in the current layer created between the emerging bipole and the large scale active region field. SDO/AIA EUV composite images. Upper: SDO/AIA 171 Å image overlaid by the line-of-sight magnetic field observed at the same time as that of the 171 Å image. Lower: Map of photospheric transverse velocities derived from LCT analysis with the HMI magnetograms.

  11. A New Approach to Observing Coronal Dynamics: MUSE, the Multi-Slit Solar Explorer

    NASA Astrophysics Data System (ADS)

    Tarbell, T. D.

    2017-12-01

    The Multi-Slit Solar Explorer is a Small Explorer mission recently selected for a Phase A study, which could lead to a launch in 2022. It will provide unprecendented observations of the dynamics of the corona and transition region using both conventional and novel spectral imaging techniques. The physical processes that heat the multi-million degree solar corona, accelerate the solar wind and drive solar activity (CMEs and flares) remain poorly known. A breakthrough in these areas can only come from radically innovative instrumentation and state-of-the-art numerical modeling and will lead to better understanding of space weather origins. MUSE's multi-slit coronal spectroscopy will exploit a 100x improvement in spectral raster cadence to fill a crucial gap in our knowledge of Sun-Earth connections; it will reveal temperatures, velocities and non-thermal processes over a wide temperature range to diagnose physical processes that remain invisible to current or planned instruments. MUSE will contain two instruments: an EUV spectrograph (SG) and EUV context imager (CI). Both have similar spatial resolution and leverage extensive heritage from previous high-resolution instruments such as IRIS and the HiC rocket payload. The MUSE investigation will build on the success of IRIS by combining numerical modeling with a uniquely capable observatory: MUSE will obtain EUV spectra and images with the highest resolution in space (1/3 arcsec) and time (1-4 s) ever achieved for the transition region and corona, along 35 slits and a large context FOV simultaneously. The MUSE consortium includes LMSAL, SAO, Stanford, ARC, HAO, GSFC, MSFC, MSU, ITA Oslo and other institutions.

  12. The solar flare extreme ultraviolet to hard X-ray ratio

    NASA Technical Reports Server (NTRS)

    Mcclymont, A. N.; Canfield, R. C.

    1986-01-01

    Simultaneous measurements of the peak 10-1030 A extreme ultraviolet (EUV) flux enhancement and more than 10 keV hard X-ray (HXR) peak flux of many solar flare bursts, ranging over about four orders of magnitude in HXR intensity, are studied. A real departure from linearity is found in the relationship between the peak EUV and HXR fluxes in impulsive flare bursts. This relationship is well described by a given power law. Comparison of the predictions of the impulsive nonthermal thick-target electron beam model with observations shows that the model satisfactorily predicts the observed time differences between the HXR and EUV peaks and explains the data very well under given specific assumptions. It is concluded that the high-energy fluxes implied by the invariant area thick-target model cannot be completely ruled out, while the invariant area model with smaller low cutoff requires impossibly large beam densities. A later alternative thick-target model is suggested.

  13. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    NASA Astrophysics Data System (ADS)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  14. Using the ionospheric response to the solar eclipse on 20 March 2015 to detect spatial structure in the solar corona.

    PubMed

    Scott, C J; Bradford, J; Bell, S A; Wilkinson, J; Barnard, L; Smith, D; Tudor, S

    2016-09-28

    The total solar eclipse that occurred over the Arctic region on 20 March 2015 was seen as a partial eclipse over much of Europe. Observations of this eclipse were used to investigate the high time resolution (1 min) decay and recovery of the Earth's ionospheric E-region above the ionospheric monitoring station in Chilton, UK. At the altitude of this region (100 km), the maximum phase of the eclipse was 88.88% obscuration of the photosphere occurring at 9:29:41.5 UT. In comparison, the ionospheric response revealed a maximum obscuration of 66% (leaving a fraction, Φ, of uneclipsed radiation of 34±4%) occurring at 9:29 UT. The eclipse was re-created using data from the Solar Dynamics Observatory to estimate the fraction of radiation incident on the Earth's atmosphere throughout the eclipse from nine different emission wavelengths in the extreme ultraviolet (EUV) and X-ray spectrum. These emissions, having varying spatial distributions, were each obscured differently during the eclipse. Those wavelengths associated with coronal emissions (94, 211 and 335 Å) most closely reproduced the time varying fraction of unobscured radiation observed in the ionosphere. These results could enable historic ionospheric eclipse measurements to be interpreted in terms of the distribution of EUV and X-ray emissions on the solar disc.This article is part of the themed issue 'Atmospheric effects of solar eclipses stimulated by the 2015 UK eclipse'. © 2016 The Author(s).

  15. Using the ionospheric response to the solar eclipse on 20 March 2015 to detect spatial structure in the solar corona

    PubMed Central

    Bradford, J.; Bell, S. A.; Wilkinson, J.; Smith, D.; Tudor, S.

    2016-01-01

    The total solar eclipse that occurred over the Arctic region on 20 March 2015 was seen as a partial eclipse over much of Europe. Observations of this eclipse were used to investigate the high time resolution (1 min) decay and recovery of the Earth’s ionospheric E-region above the ionospheric monitoring station in Chilton, UK. At the altitude of this region (100 km), the maximum phase of the eclipse was 88.88% obscuration of the photosphere occurring at 9:29:41.5 UT. In comparison, the ionospheric response revealed a maximum obscuration of 66% (leaving a fraction, Φ, of uneclipsed radiation of 34±4%) occurring at 9:29 UT. The eclipse was re-created using data from the Solar Dynamics Observatory to estimate the fraction of radiation incident on the Earth’s atmosphere throughout the eclipse from nine different emission wavelengths in the extreme ultraviolet (EUV) and X-ray spectrum. These emissions, having varying spatial distributions, were each obscured differently during the eclipse. Those wavelengths associated with coronal emissions (94, 211 and 335 Å) most closely reproduced the time varying fraction of unobscured radiation observed in the ionosphere. These results could enable historic ionospheric eclipse measurements to be interpreted in terms of the distribution of EUV and X-ray emissions on the solar disc. This article is part of the themed issue ‘Atmospheric effects of solar eclipses stimulated by the 2015 UK eclipse’. PMID:27550766

  16. Probing the Quiet Solar Atmosphere from the Photosphere to the Corona

    NASA Astrophysics Data System (ADS)

    Kontogiannis, Ioannis; Gontikakis, Costis; Tsiropoula, Georgia; Tziotziou, Kostas

    2018-04-01

    We investigate the morphology and temporal variability of a quiet-Sun network region in different solar layers. The emission in several extreme ultraviolet (EUV) spectral lines through both raster and slot time-series, recorded by the EUV Imaging Spectrometer (EIS) on board the Hinode spacecraft is studied along with Hα observations and high-resolution spectropolarimetric observations of the photospheric magnetic field. The photospheric magnetic field is extrapolated up to the corona, showing a multitude of large- and small-scale structures. We show for the first time that the smallest magnetic structures at both the network and internetwork contribute significantly to the emission in EUV lines, with temperatures ranging from 8× 104 K to 6× 105 K. Two components of transition region emission are present, one associated with small-scale loops that do not reach coronal temperatures, and another component that acts as an interface between coronal and chromospheric plasma. Both components are associated with persistent chromospheric structures. The temporal variability of the EUV intensity at the network region is also associated with chromospheric motions, pointing to a connection between transition region and chromospheric features. Intensity enhancements in the EUV transition region lines are preferentially produced by Hα upflows. Examination of two individual chromospheric jets shows that their evolution is associated with intensity variations in transition region and coronal temperatures.

  17. Spectroscopy and Photometry of EUVE J1429-38.0:An Eclipsing Magnetic Cataclysmic Variable

    NASA Astrophysics Data System (ADS)

    Howell, Steve B.; Craig, Nahide; Roberts, Bryce; McGee, Paddy; Sirk, Martin

    1997-06-01

    EUVE J1429-38.0 was originally discovered as a variable source by the Extreme Ultraviolet Explorer (EUVE) satellite. We present new optical observations which unambiguously confirm this star to be an eclipsing magnetic system with an orbital period of 4() h 46() m. The photometric data are strongly modulated by ellipsoidal variations during low states which allow a system inclination of near 80 degrees to be determined. Our time-resolved optical spectra, which cover only about one-third of the orbital cycle, indicate the clear presence of a gas stream. During high states, EUVE J1429-38.0 shows ~ 1 mag deep eclipses and the apparent formation of a partial accretion disk. EUVE J1429-38.0 presents the observer with properties of both the AM Herculis and the DQ Herculis types of magnetic cataclysmic variable.

  18. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    NASA Astrophysics Data System (ADS)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  19. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory.

    PubMed

    Soufli, Regina; Baker, Sherry L; Windt, David L; Gullikson, Eric M; Robinson, Jeff C; Podgorski, William A; Golub, Leon

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV) wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement with EUV reflectance measurements of the mirrors after multilayer coating.

  20. Atomic force microscopy characterization of Zerodur mirror substrates for the extreme ultraviolet telescopes aboard NASA's Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, Regina; Baker, Sherry L.; Windt, David L.

    2007-06-01

    The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV)wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement withmore » EUV reflectance measurements of the mirrors after multilayer coating.« less

  1. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE PAGES

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.; ...

    2018-02-05

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  2. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  3. A stand-alone compact EUV microscope based on gas-puff target source.

    PubMed

    Torrisi, Alfio; Wachulak, Przemyslaw; Węgrzyński, Łukasz; Fok, Tomasz; Bartnik, Andrzej; Parkman, Tomáš; Vondrová, Šárka; Turňová, Jana; Jankiewicz, Bartłomiej J; Bartosewicz, Bartosz; Fiedorowicz, Henryk

    2017-02-01

    We report on a very compact desk-top transmission extreme ultraviolet (EUV) microscope based on a laser-plasma source with a double stream gas-puff target, capable of acquiring magnified images of objects with a spatial (half-pitch) resolution of sub-50 nm. A multilayer ellipsoidal condenser is used to focus and spectrally narrow the radiation from the plasma, producing a quasi-monochromatic EUV radiation (λ = 13.8 nm) illuminating the object, whereas a Fresnel zone plate objective forms the image. Design details, development, characterization and optimization of the EUV source and the microscope are described and discussed. Test object and other samples were imaged to demonstrate superior resolution compared to visible light microscopy. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  4. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  5. Solar radio proxies for improved satellite orbit prediction

    NASA Astrophysics Data System (ADS)

    Yaya, Philippe; Hecker, Louis; Dudok de Wit, Thierry; Fèvre, Clémence Le; Bruinsma, Sean

    2017-12-01

    Specification and forecasting of solar drivers to thermosphere density models is critical for satellite orbit prediction and debris avoidance. Satellite operators routinely forecast orbits up to 30 days into the future. This requires forecasts of the drivers to these orbit prediction models such as the solar Extreme-UV (EUV) flux and geomagnetic activity. Most density models use the 10.7 cm radio flux (F10.7 index) as a proxy for solar EUV. However, daily measurements at other centimetric wavelengths have also been performed by the Nobeyama Radio Observatory (Japan) since the 1950's, thereby offering prospects for improving orbit modeling. Here we present a pre-operational service at the Collecte Localisation Satellites company that collects these different observations in one single homogeneous dataset and provides a 30 days forecast on a daily basis. Interpolation and preprocessing algorithms were developed to fill in missing data and remove anomalous values. We compared various empirical time series prediction techniques and selected a multi-wavelength non-recursive analogue neural network. The prediction of the 30 cm flux, and to a lesser extent that of the 10.7 cm flux, performs better than NOAA's present prediction of the 10.7 cm flux, especially during periods of high solar activity. In addition, we find that the DTM-2013 density model (Drag Temperature Model) performs better with (past and predicted) values of the 30 cm radio flux than with the 10.7 flux.

  6. A Statistical Analysis of the Solar Phenomena Associated with Global EUV Waves

    NASA Astrophysics Data System (ADS)

    Long, D. M.; Murphy, P.; Graham, G.; Carley, E. P.; Pérez-Suárez, D.

    2017-12-01

    Solar eruptions are the most spectacular events in our solar system and are associated with many different signatures of energy release including solar flares, coronal mass ejections, global waves, radio emission and accelerated particles. Here, we apply the Coronal Pulse Identification and Tracking Algorithm (CorPITA) to the high-cadence synoptic data provided by the Solar Dynamics Observatory (SDO) to identify and track global waves observed by SDO. 164 of the 362 solar flare events studied (45%) were found to have associated global waves with no waves found for the remaining 198 (55%). A clear linear relationship was found between the median initial velocity and the acceleration of the waves, with faster waves exhibiting a stronger deceleration (consistent with previous results). No clear relationship was found between global waves and type II radio bursts, electrons or protons detected in situ near Earth. While no relationship was found between the wave properties and the associated flare size (with waves produced by flares from B to X-class), more than a quarter of the active regions studied were found to produce more than one wave event. These results suggest that the presence of a global wave in a solar eruption is most likely determined by the structure and connectivity of the erupting active region and the surrounding quiet solar corona rather than by the amount of free energy available within the active region.

  7. Design decisions from the history of the EUVE science payload

    NASA Technical Reports Server (NTRS)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  8. Design decisions from the history of the EUVE science payload

    NASA Astrophysics Data System (ADS)

    Marchant, W.

    1993-09-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  9. Absolute irradiance of the Moon for on-orbit calibration

    USGS Publications Warehouse

    Stone, T.C.; Kieffer, H.H.; ,

    2002-01-01

    The recognized need for on-orbit calibration of remote sensing imaging instruments drives the ROLO project effort to characterize the Moon for use as an absolute radiance source. For over 5 years the ground-based ROLO telescopes have acquired spatially-resolved lunar images in 23 VNIR (Moon diameter ???500 pixels) and 9 SWIR (???250 pixels) passbands at phase angles within ??90 degrees. A numerical model for lunar irradiance has been developed which fits hundreds of ROLO images in each band, corrected for atmospheric extinction and calibrated to absolute radiance, then integrated to irradiance. The band-coupled extinction algorithm uses absorption spectra of several gases and aerosols derived from MODTRAN to fit time-dependent component abundances to nightly observations of standard stars. The absolute radiance scale is based upon independent telescopic measurements of the star Vega. The fitting process yields uncertainties in lunar relative irradiance over small ranges of phase angle and the full range of lunar libration well under 0.5%. A larger source of uncertainty enters in the absolute solar spectral irradiance, especially in the SWIR, where solar models disagree by up to 6%. Results of ROLO model direct comparisons to spacecraft observations demonstrate the ability of the technique to track sensor responsivity drifts to sub-percent precision. Intercomparisons among instruments provide key insights into both calibration issues and the absolute scale for lunar irradiance.

  10. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration

    NASA Astrophysics Data System (ADS)

    Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory

    2017-03-01

    Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.

  11. Optimization of the occulter for the Solar Orbiter/METIS coronagraph

    NASA Astrophysics Data System (ADS)

    Landini, Federico; Vivès, Sébastien; Romoli, Marco; Guillon, Christophe; Pancrazzi, Maurizio; Escolle, Clement; Focardi, Mauro; Antonucci, Ester; Fineschi, Silvano; Naletto, Giampiero; Nicolini, Gianalfredo; Nicolosi, Piergiorgio; Spadaro, Daniele

    2012-09-01

    METIS (Multi Element Telescope for Imaging and Spectroscopy investigation), selected to fly aboard the Solar Orbiter ESA/NASA mission, is conceived to perform imaging (in visible, UV and EUV) and spectroscopy (in EUV) of the solar corona, by means of an integrated instrument suite located on a single optical bench and sharing the same aperture on the satellite heat shield. As every coronagraph, METIS is highly demanding in terms of stray light suppression. Coronagraphs history teaches that a particular attention must be dedicated to the occulter optimization. The METIS occulting system is of particular interest due to its innovative concept. In order to meet the strict thermal requirements of Solar Orbiter, METIS optical design has been optimized by moving the entrance pupil at the level of the external occulter on the S/C thermal shield, thus reducing the size of the external aperture. The scheme is based on an inverted external-occulter (IEO). The IEO consists of a circular aperture on the Solar Orbiter thermal shield. A spherical mirror rejects back the disk-light through the IEO. A breadboard of the occulting assembly (BOA) has been manufactured in order to perform stray light tests in front of two solar simulators (in Marseille, France and in Torino, Italy). A first measurement campaign has been carried on at the Laboratoire d'Astrophysique de Marseille. In this paper we describe the BOA design, the laboratory set-up and the preliminary results.

  12. Microchannel plate EUV detectors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Malina, R. F.; Coburn, K.; Werthimer, D.

    1984-01-01

    The design and operating characteristics of the prototype imaging microchannel plate (MCP) detector for the Extreme Ultraviolet Explorer (EUVE) Satellite are discussed. It is shown that this detector has achieved high position resolution performance (greater than 512 x 512 pixels) and has low (less than one percent) image distortion. In addition, the channel plate scheme used has tight pulse height distributions (less than 40 percent FWHM) for UV radiation and displays low (less than 0.2 cnt/sq cm-s) dark background counting rates. Work that has been done on EUV filters in relation to the envisaged filter and photocathode complement is also described.

  13. Detection of Quasi-Periodic Pulsations in Solar EUV Time Series

    NASA Astrophysics Data System (ADS)

    Dominique, M.; Zhukov, A. N.; Dolla, L.; Inglis, A.; Lapenta, G.

    2018-04-01

    Quasi-periodic pulsations (QPPs) are intrinsically connected to the mechanism of solar flares. They are regularly observed in the impulsive phase of flares since the 1970s. In the past years, the studies of QPPs regained interest with the advent of a new generation of soft X-ray/extreme ultraviolet radiometers that pave the way for statistical surveys. Since the amplitude of QPPs in these wavelengths is rather small, detecting them implies that the overall trend of the time series needs to be removed before applying any Fourier or wavelet transform. This detrending process is known to produce artificial detection of periods that must then be distinguished from real ones. In this paper, we propose a set of criteria to help identify real periods and discard artifacts. We apply these criteria to data taken by the Extreme Ultraviolet Variability Experiment (EVE)/ESP onboard the Solar Dynamics Observatory (SDO) and the Large Yield Radiometer (LYRA) onboard the PRoject for On-Board Autonomy 2 (PROBA2) to search for QPPs in flares stronger than M5.0 that occurred during Solar Cycle 24.

  14. EUV multilayer mirrors with enhanced stability

    NASA Astrophysics Data System (ADS)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  15. EUV spectroscopy of highly charged high Z ions in the Large Helical Device plasmas

    NASA Astrophysics Data System (ADS)

    Suzuki, C.; Koike, F.; Murakami, I.; Tamura, N.; Sudo, S.; Sakaue, H. A.; Nakamura, N.; Morita, S.; Goto, M.; Kato, D.; Nakano, T.; Higashiguchi, T.; Harte, C. S.; OʼSullivan, G.

    2014-11-01

    We present recent results on the extreme ultraviolet (EUV) spectroscopy of highly charged high Z ions in plasmas produced in the Large Helical Device (LHD) at the National Institute for Fusion Science. Tungsten, bismuth and lanthanide elements have recently been studied in the LHD in terms of their importance in fusion research and EUV light source development. In relatively low temperature plasmas, quasicontinuum emissions from open 4d or 4f subshell ions are predominant in the EUV region, while the spectra tend to be dominated by discrete lines from open 4s or 4p subshell ions in higher temperature plasmas. Comparative analyses using theoretical calculations and charge-separated spectra observed in an electron beam ion trap have been performed to achieve better agreement with the spectra measured in the LHD. As a result, databases on Z dependence of EUV spectra in plasmas have been widely extended.

  16. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  17. Anti­-parallel Filament Flows and Bright Dots Observed in the EUV with Hi-­C

    NASA Technical Reports Server (NTRS)

    Alexander, Caroline E.; Regnier, Stephane; Walsh, Robert; Winebarger, Amy

    2013-01-01

    Hi-C obtained the highest spatial and temporal resolution observations ever taken in the solar EUV corona. Hi-C reveals dynamics and structure at the limit of its temporal and spatial resolution. Hi-C observed various fine-scale features that SDO/AIA could not pick out. For the first time in the corona, Hi-C revealed magnetic braiding and component reconnection consistent with coronal heating. Hi-C shows evidence of reconnection and heating in several different regions and magnetic configurations with plasma being heated to 0.3 - 8 x 10(exp 6) K temperatures. Surprisingly, many of the first results highlight plasma at temperatures that are not at the peak of the response functions.

  18. The solar spectral irradiances from x ray to radio wavelengths

    NASA Technical Reports Server (NTRS)

    White, O. R.

    1993-01-01

    Sources of new measurements of the solar EUV, UV, and visible spectrum are presented together with discussion of formation of the solar spectrum as a problem in stellar atmospheres. Agreement between the data and a modern synthetic spectrum shows that observed radiative variability is a minor perturbation on a photosphere in radiative equilibrium and local thermodynamic equilibrium (LTE). Newly observed solar variability in 1992 defines a magnetic episode on the Sun closely associated with changes in both spectral irradiances and the total irradiance. This episode offers the opportunity to track the relationship between radiation and magnetic flux evolution.

  19. Small Coronal Holes Near Active Regions as Sources of Slow Solar Wind

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Y.-M., E-mail: yi.wang@nrl.navy.mil

    We discuss the nature of the small areas of rapidly diverging, open magnetic flux that form in the strong unipolar fields at the peripheries of active regions (ARs), according to coronal extrapolations of photospheric field measurements. Because such regions usually have dark counterparts in extreme-ultraviolet (EUV) images, we refer to them as coronal holes, even when they appear as narrow lanes or contain sunspots. Revisiting previously identified “AR sources” of slow solar wind from 1998 and 1999, we find that they are all associated with EUV coronal holes; the absence of well-defined He i 1083.0 nm counterparts to some ofmore » these holes is attributed to the large flux of photoionizing radiation from neighboring AR loops. Examining a number of AR-associated EUV holes during the 2014 activity maximum, we confirm that they are characterized by wind speeds of ∼300–450 km s{sup −1}, O{sup 7+}/O{sup 6+} ratios of ∼0.05–0.4, and footpoint field strengths typically of order 30 G. The close spacing between ARs at sunspot maximum limits the widths of unipolar regions and their embedded holes, while the continual emergence of new flux leads to rapid changes in the hole boundaries. Because of the highly nonradial nature of AR fields, the smaller EUV holes are often masked by the overlying canopy of loops, and may be more visible toward one solar limb than at central meridian. As sunspot activity declines, the AR remnants merge to form much larger, weaker, and longer-lived unipolar regions, which harbor the “classical” coronal holes that produce recurrent high-speed streams.« less

  20. Anomalous temporal behaviour of broadband Lyα observations during solar flares from SDO/EVE

    NASA Astrophysics Data System (ADS)

    Milligan, Ryan O.; Chamberlin, Phillip C.

    2016-03-01

    Although it is the most prominent emission line in the solar spectrum, there has been a notable lack of studies devoted to variations in Lyα emission during solar flares in recent years. However, the few examples that do exist have shown Lyα emission to be a substantial radiator of the total energy budget of solar flares (of the order of 10%). It is also a known driver of fluctuations in the Earth's ionosphere. The EUV Variability Experiment (EVE) on board the Solar Dynamics Observatory now provides broadband, photometric Lyα data at 10 s cadence with its Multiple EUV Grating Spectrograph-Photometer (MEGS-P) component, and has observed scores of solar flares in the 5 years since it was launched. However, the MEGS-P time profiles appear to display a rise time of tens of minutes around the time of the flare onset. This is in stark contrast to the rapid, impulsive increase observed in other intrinsically chromospheric features (Hα, Lyβ, LyC, C III, etc.). Furthermore, the emission detected by MEGS-P peaks around the time of the peak of thermal soft X-ray emission and not during the impulsive phase when energy deposition in the chromosphere (often assumed to be in the form of non-thermal electrons) is greatest. The time derivative of Lyα lightcurves also appears to resemble that of the time derivative of soft X-rays, reminiscent of the Neupert effect. Given that spectrally-resolved Lyα observations during flares from SORCE/SOLSTICE peak during the impulsive phase as expected, this suggests that the atypical behaviour of MEGS-P data is a manifestation of the broadband nature of the observations. This could imply that other lines and/or continuum emission that becomes enhanced during flares could be contributing to the passband. Users are hereby urged to exercise caution when interpreting broadband Lyα observations of solar flares. Comparisons have also been made with other broadband Lyα photometers such as PROBA2/LYRA and GOES/EUVS-E.

  1. Relative elemental abundance and heating constraints determined for the solar corona from SERTS measurements

    NASA Technical Reports Server (NTRS)

    Falconer, David A.

    1994-01-01

    Intensities of EUV spectral lines were measured as a function of radius off the solar limb by two flights of Goddard's Solar EUV Rocket Telescope and Spectrograph (SERTS) for three quiet sun regions. The density scale height, line-ratio densities, line-ratio temperatures, and emission measures were determined. The line-ratio temperature determined from the ionization balances of Arnaud and Rothenflug (1985) were more self-consistent than the line-ratio temperatures obtained from the values of Arnaud and Raymond (1992). Limits on the filling factor were determined from the emission measure and the line-ratio densities for all three regions. The relative abundances of silicon, aluminum, and chromium to iron were determined. Results did agree with standard coronal relative elemental abundances for one observation, but did not agree for another. Aluminum was overabundant while silicon was underabundant. Heating was required above 1.15 solar radii for all three regions studied. For two regions, local nonconductive heating is needed for any filling factor, and in all three regions for filling factor of 0.1.

  2. Anomalous Temporal Behaviour of Broadband Ly Alpha Observations During Solar Flares from SDO/EVE

    NASA Technical Reports Server (NTRS)

    Milligan, Ryan O.; Chamberlin, Phillip C.

    2016-01-01

    Although it is the most prominent emission line in the solar spectrum, there has been a notable lack of studies devoted to variations in Lyman-alpha (Ly-alpha) emission during solar flares in recent years. However, the few examples that do exist have shown Ly-alpha emission to be a substantial radiator of the total energy budget of solar flares (of the order of 10 percent). It is also a known driver of fluctuations in the Earth's ionosphere. The EUV (Extreme Ultra-Violet) Variability Experiment (EVE) on board the Solar Dynamics Observatory (SDO) now provides broadband, photometric Ly-alpha data at 10-second cadence with its Multiple EUV Grating Spectrograph-Photometer (MEGS-P) component, and has observed scores of solar flares in the 5 years since it was launched. However, the MEGS-P time profiles appear to display a rise time of tens of minutes around the time of the flare onset. This is in stark contrast to the rapid, impulsive increase observed in other intrinsically chromospheric features (H-alpha, Ly-beta, LyC, C III, etc.). Furthermore, the emission detected by MEGS-P peaks around the time of the peak of thermal soft X-ray emission and not during the impulsive phase when energy deposition in the chromosphere (often assumed to be in the form of non-thermal electrons) is greatest. The time derivative of Ly-alpha lightcurves also appears to resemble that of the time derivative of soft X-rays, reminiscent of the Neupert effect. Given that spectrally-resolved Ly-alpha observations during flares from SORCE / SOLSTICE (Solar Radiation and Climate Experiment / Solar Stellar Irradiance Comparison Experiment) peak during the impulsive phase as expected, this suggests that the atypical behaviour of MEGS-P data is a manifestation of the broadband nature of the observations. This could imply that other lines andor continuum emission that becomes enhanced during flares could be contributing to the passband. Users are hereby urged to exercise caution when interpreting

  3. Solar wind and extreme ultraviolet modulation of the lunar ionosphere/exosphere

    NASA Technical Reports Server (NTRS)

    Freeman, J. W.

    1976-01-01

    The ALSEP/SIDE detectors routinely monitor the dayside lunar ionosphere. Variations in the ionosphere are found to correlate with both the 2800 MHz radio index which can be related to solar EUV and with the solar wind proton flux. For the solar wind, the ionospheric variation is proportionately greater than that of the solar wind. This suggests an amplification effect on the lunar atmosphere due perhaps to sputtering of the surface or, less probably, an inordinate enhancement of noble gases in the solar wind. The surface neutral number density is calculated under the assumption of neon gas. During a quiet solar wind this number agrees with or is slightly above that expected for neon accreted from the solar wind. During an enhanced solar wind the neutral number density is much higher.

  4. Energy balance in the solar transition region. III - Helium emission in hydrostatic, constant-abundance models with diffusion

    NASA Technical Reports Server (NTRS)

    Fontenla, J. M.; Avrett, E. H.; Loeser, R.

    1993-01-01

    In our previous papers we described the mathematical formalism and the computed results for energy-balance hydrostatic models of the solar transition region. In this paper we discuss in some detail the limitations of the hydrostatic and one-dimensional assumptions used. Then we analyze the determination of helium emission when diffusion is included. We use transport coefficients estimated from kinetic theory to determine the helium departures from local ionization balance. We calculate the helium spectra for each of our models and evaluate the role of helium in the energy transport. Also, we investigate the effects of coronal illumination on the structure of the transition region and upper chromosphere, and show how coronal illumination affects various EUV lines and the He I 10830 A line. Comparing with both absolute intensities and detailed line profiles, we show that our models are consistent not only with the observed hydrogen spectra but also with the available helium spectra.

  5. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  6. Hot oxygen escape from Mars: Simple scaling with solar EUV irradiance

    NASA Astrophysics Data System (ADS)

    Cravens, T. E.; Rahmati, A.; Fox, Jane L.; Lillis, R.; Bougher, S.; Luhmann, J.; Sakai, S.; Deighan, J.; Lee, Yuni; Combi, M.; Jakosky, B.

    2017-01-01

    The evolution of the atmosphere of Mars and the loss of volatiles over the lifetime of the solar system is a key topic in planetary science. An important loss process for atomic species, such as oxygen, is ionospheric photochemical escape. Dissociative recombination of O2+ ions (the major ion species) produces fast oxygen atoms, some of which can escape from the planet. Many theoretical hot O models have been constructed over the years, although a number of uncertainties are present in these models, particularly concerning the elastic cross sections of O atoms with CO2. Recently, the Mars Atmosphere and Volatile Evolution mission has been rapidly improving our understanding of the upper atmosphere and ionosphere of Mars and its interaction with the external environment (e.g., solar wind), allowing a new assessment of this important loss process. The purpose of the current paper is to take a simple analytical approach to the oxygen escape problem in order to (1) study the role that variations in solar radiation or solar wind fluxes could have on escape in a transparent fashion and (2) isolate the effects of uncertainties in oxygen cross sections on the derived oxygen escape rates. In agreement with several more elaborate numerical models, we find that the escape flux is directly proportional to the incident solar extreme ultraviolet irradiance and is inversely proportional to the backscatter elastic cross section. The amount of O lost due to ion transport in the topside ionosphere is found to be about 5-10% of the total.

  7. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  8. EUV/soft x-ray spectra for low B neutron stars

    NASA Technical Reports Server (NTRS)

    Romani, Roger W.; Rajagopal, Mohan; Rogers, Forrest J.; Iglesias, Carlos A.

    1995-01-01

    Recent ROSAT and EUVE detections of spin-powered neutron stars suggest that many emit 'thermal' radiation, peaking in the EUV/soft X-ray band. These data constrain the neutron stars' thermal history, but interpretation requires comparison with model atmosphere computations, since emergent spectra depend strongly on the surface composition and magnetic field. As recent opacity computations show substantial change to absorption cross sections at neutron star photospheric conditions, we report here on new model atmosphere computations employing such data. The results are compared with magnetic atmosphere models and applied to PSR J0437-4715, a low field neutron star.

  9. Anti-parallel EUV Flows Observed along Active Region Filament Threads with Hi-C

    NASA Astrophysics Data System (ADS)

    Alexander, Caroline E.; Walsh, Robert W.; Régnier, Stéphane; Cirtain, Jonathan; Winebarger, Amy R.; Golub, Leon; Kobayashi, Ken; Platt, Simon; Mitchell, Nick; Korreck, Kelly; DePontieu, Bart; DeForest, Craig; Weber, Mark; Title, Alan; Kuzin, Sergey

    2013-09-01

    Plasma flows within prominences/filaments have been observed for many years and hold valuable clues concerning the mass and energy balance within these structures. Previous observations of these flows primarily come from Hα and cool extreme-ultraviolet (EUV) lines (e.g., 304 Å) where estimates of the size of the prominence threads has been limited by the resolution of the available instrumentation. Evidence of "counter-steaming" flows has previously been inferred from these cool plasma observations, but now, for the first time, these flows have been directly imaged along fundamental filament threads within the million degree corona (at 193 Å). In this work, we present observations of an AR filament observed with the High-resolution Coronal Imager (Hi-C) that exhibits anti-parallel flows along adjacent filament threads. Complementary data from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and Helioseismic and Magnetic Imager are presented. The ultra-high spatial and temporal resolution of Hi-C allow the anti-parallel flow velocities to be measured (70-80 km s-1) and gives an indication of the resolvable thickness of the individual strands (0.''8 ± 0.''1). The temperature of the plasma flows was estimated to be log T (K) = 5.45 ± 0.10 using Emission Measure loci analysis. We find that SDO/AIA cannot clearly observe these anti-parallel flows or measure their velocity or thread width due to its larger pixel size. We suggest that anti-parallel/counter-streaming flows are likely commonplace within all filaments and are currently not observed in EUV due to current instrument spatial resolution.

  10. The SOLAR-C Mission: Science Objectives and Current Status

    NASA Astrophysics Data System (ADS)

    Suematsu, Y.; Solar-C Working Group

    2016-04-01

    The SOLAR-C is a Japan-led international solar mission for mid-2020s designed to investigate the magnetic activities of the Sun, focusing on the study in heating and dynamical phenomena of the chromosphere and corona, and to advance algorithms for predicting short and long term solar magnetic activities. For these purposes, SOLAR-C will carry three dedicated instruments; the Solar UV-Vis-IR Telescope (SUVIT), the EUV Spectroscopic Telescope (EUVST) and the High Resolution Coronal Imager (HCI), to jointly observe the entire visible solar atmosphere with essentially the same high spatial resolution (0.1"-0.3"), performing high resolution spectroscopic measurements over all atmospheric regions and spectro-polarimetric measurements from the photosphere through the upper chromosphere. SOLAR-C will also contribute to understand the solar influence on the Sun-Earth environments with synergetic wide-field observations from ground-based and other space missions.

  11. Using Strong Solar Coronal Emission Lines as Coronal Flux Proxies

    NASA Technical Reports Server (NTRS)

    Falconer, David A.; Jordan, Studart D.; Davila, Joseph M.; Thomas, Roger J.; Andretta, Vincenzo; Brosius, Jeffrey W.; Hara, Hirosha

    1997-01-01

    A comparison of Skylab results with observations of the strong EUV lines of Fe XVI at 335 A and 361 A from the Goddard Solar EUV Rocket Telescope and Spectrograph (SERTS) flight of 1989 suggests that these lines, and perhaps others observed with SERTS, might offer good proxies for estimating the total coronal flux over important wavelength ranges. In this paper, we compare SERTS observations from a later, 1993 flight with simultaneous cospatial Yohkoh soft X-ray observations to test this suggestion over the energy range of the Soft X-ray Telescope (SXT) on Yohkoh. Both polynomial and power-law fits are obtained, and errors are estimated, for the SERTS lines of Fe XVI 335 A and 361 A, Fe XV 284 A and 417 A, and Mg IX 368 A. It is found that the power-law fits best cover the full range of solar conditions from quiet Sun through active region, though not surprisingly the 'cooler' Mg IX 368 A line proves to be a poor proxy. The quadratic polynomial fits yield fair agreement over a large range for all but the Mg IX line, but the linear fits fail conspicuously when extrapolated into the quiet Sun regime. The implications of this work for the He 11 304 A line formation problem are briefly considered. The paper concludes with a discussion of the value of these iron lines observed with SERTS for estimating stellar coronal fluxes, as observed for example with the EUVE satellite.

  12. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  13. DUV or EUV: that is the question

    NASA Astrophysics Data System (ADS)

    Williamson, David M.

    2000-11-01

    Lord Rayleigh's well-known equations for resolution and depth of focus indicate that resolution is better improved by reducing the wavelength of light rather than by increasing the numerical aperture (NA) of the projection optics, particularly when NA is approaching its physical limit of 1.0 in air (or vacuum). Vector aerial image simulations of diffraction-limited Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) lithographic systems verify this simple view, even though Rayleigh's constants in Microlithography are not constant because of a variety of image enhancement techniques that attempt to compensate for the shortcomings of the aerial image when it is pushed to the limit. The aerial image is not the whole story, however. The competition between DUV and EUV systems will be decided more by economic and technological factors such as risk, time and cost of development and cost of ownership. These in turn depend on cost, availability and quality of light sources, refracting materials, photoresists and reticles.

  14. Utilizing the EUVE Innovative Technology Testbed to Reduce Operations Cost for Present and Future Orbiting Mission

    NASA Technical Reports Server (NTRS)

    1997-01-01

    This report summarizes work done under Cooperative Agreement (CA) on the following testbed projects: TERRIERS - The development of the ground systems to support the TERRIERS satellite mission at Boston University (BU). HSTS - The application of ARC's Heuristic Scheduling Testbed System (HSTS) to the EUVE satellite mission. SELMON - The application of NASA's Jet Propulsion Laboratory's (JPL) Selective Monitoring (SELMON) system to the EUVE satellite mission. EVE - The development of the EUVE Virtual Environment (EVE), a prototype three-dimensional (3-D) visualization environment for the EUVE satellite and its sensors, instruments, and communications antennae. FIDO - The development of the Fault-Induced Document Officer (FIDO) system, a prototype application to respond to anomalous conditions by automatically searching for, retrieving, and displaying relevant documentation for an operators use.

  15. EUV imager and spectrometer for LYOT and solar orbiter space missions

    NASA Astrophysics Data System (ADS)

    Millard, Anne; Lemaire, Philippe; Vial, Jean-Claude

    2017-11-01

    In the 2010 horizon, solar space missions such as LYOT and Solar Orbiter will allow high cadence UV observations of the Sun at spatial and spectral resolution never obtained before. To reach these goals, the two missions could take advantage of spectro-imagers. A reflective only optical solution for such an instrument is described in this paper and the first results of the mock-up being built at IAS are shown.

  16. EUV imager and spectrometer for Lyot and Solar Orbiter space missions

    NASA Astrophysics Data System (ADS)

    Millard, Anne; Lemaire, Philippe; Vial, Jean-Claude

    2004-06-01

    In the 2010 horizon, solar space missions such as LYOT and Solar Orbiter will allow high cadence UV observations of the Sun at spatial and spectral resolution never obtained before. To reach these goals, the two missions could take advantage of spectro-imagers. A reflective only optical solution for such an instrument is described in this paper and the first results of the mock-up being built at IAS are shown.

  17. Intense X-ray and EUV light source

    DOEpatents

    Coleman, Joshua; Ekdahl, Carl; Oertel, John

    2017-06-20

    An intense X-ray or EUV light source may be driven by the Smith-Purcell effect. The intense light source may utilize intense electron beams and Bragg crystals. This may allow the intense light source to range from the extreme UV range up to the hard X-ray range.

  18. Narrow-band EUV Multilayer Coating for the MOSES Sounding Rocket

    NASA Technical Reports Server (NTRS)

    Owens, Scott M.; Gum, Jeffery S.; Tarrio, Charles; Dvorak, Joseph; Kjornrattanawanich, Benjawan; Keski-Kuha, Ritva; Thomas, Roger J.; Kankelborg, Charles C.

    2005-01-01

    The Multi-order Solar EUV Spectrograph (MOSES) is a slitless spectrograph designed to study solar He II emission at 303.8 Angstroms, to be launched on a sounding rocket payload. One difference between MOSES and other slitless spectrographs is that the images are recorded simultaneously at three spectral orders, m = -1,0, +l. Another is the addition of a narrow-band multilayer coating on both the grating and the fold flat, which will reject out-of-band lines that normally contaminate the image of a slitless instrument. The primary metrics f a the mating were high peak reflectivity and suppression of Fe XV and XVI emission lines at 284 Angstroms and 335 Angstroms, respectively. We chose B4C/Mg2Si for our material combination since it provides better values for all three metrics together than the other leading candidates Si/Ir, Si/B4C or Si/SiC. Measurements of witness flats at NIST indicate the peak reflectivity at 303.6 is 38.5% for a 15 bilayer stack, while the suppression at 284 Angstroms, is 4.5x and at 335 Angstroms is 18.3x for each of two reflections in the instrument. We present the results of coating the MOSES flight gratings and fold flat, including the spectral response of the fold flat and grating as measured at NIST's SURF III and Brookhaven's X24C beamline.

  19. High-efficiency spectral purity filter for EUV lithography

    DOEpatents

    Chapman, Henry N [Livermore, CA

    2006-05-23

    An asymmetric-cut multilayer diffracts EUV light. A multilayer cut at an angle has the same properties as a blazed grating, and has been demonstrated to have near-perfect performance. Instead of having to nano-fabricate a grating structure with imperfections no greater than several tens of nanometers, a thick multilayer is grown on a substrate and then cut at an inclined angle using coarse and inexpensive methods. Effective grating periods can be produced this way that are 10 to 100 times smaller than those produced today, and the diffraction efficiency of these asymmetric multilayers is higher than conventional gratings. Besides their ease of manufacture, the use of an asymmetric multilayer as a spectral purity filter does not require that the design of an EUV optical system be modified in any way, unlike the proposed use of blazed gratings for such systems.

  20. GLOBAL ENERGETICS OF SOLAR FLARES. IV. CORONAL MASS EJECTION ENERGETICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aschwanden, Markus J., E-mail: aschwanden@lmsal.com

    2016-11-01

    This study entails the fourth part of a global flare energetics project, in which the mass m {sub cme}, kinetic energy E {sub kin}, and the gravitational potential energy E {sub grav} of coronal mass ejections (CMEs) is measured in 399 M and X-class flare events observed during the first 3.5 years of the Solar Dynamics Observatory (SDO) mission, using a new method based on the EUV dimming effect. EUV dimming is modeled in terms of a radial adiabatic expansion process, which is fitted to the observed evolution of the total emission measure of the CME source region. The modelmore » derives the evolution of the mean electron density, the emission measure, the bulk plasma expansion velocity, the mass, and the energy in the CME source region. The EUV dimming method is truly complementary to the Thomson scattering method in white light, which probes the CME evolution in the heliosphere at r ≳ 2 R {sub ⊙}, while the EUV dimming method tracks the CME launch in the corona. We compare the CME parameters obtained in white light with the LASCO/C2 coronagraph with those obtained from EUV dimming with the Atmospheric Imaging Assembly onboard the SDO for all identical events in both data sets. We investigate correlations between CME parameters, the relative timing with flare parameters, frequency occurrence distributions, and the energy partition between magnetic, thermal, nonthermal, and CME energies. CME energies are found to be systematically lower than the dissipated magnetic energies, which is consistent with a magnetic origin of CMEs.« less

  1. The First ALMA Observation of a Solar Plasmoid Ejection from an X-Ray Bright Point

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimojo, Masumi; Hudson, Hugh S.; White, Stephen M.

    2017-05-20

    Eruptive phenomena such as plasmoid ejections or jets are important features of solar activity and have the potential to improve our understanding of the dynamics of the solar atmosphere. Such ejections are often thought to be signatures of the outflows expected in regions of fast magnetic reconnection. The 304 Å EUV line of helium, formed at around 10{sup 5} K, is found to be a reliable tracer of such phenomena, but the determination of physical parameters from such observations is not straightforward. We have observed a plasmoid ejection from an X-ray bright point simultaneously at millimeter wavelengths with ALMA, atmore » EUV wavelengths with SDO /AIA, and in soft X-rays with Hinode /XRT. This paper reports the physical parameters of the plasmoid obtained by combining the radio, EUV, and X-ray data. As a result, we conclude that the plasmoid can consist either of (approximately) isothermal ∼10{sup 5} K plasma that is optically thin at 100 GHz, or a ∼10{sup 4} K core with a hot envelope. The analysis demonstrates the value of the additional temperature and density constraints that ALMA provides, and future science observations with ALMA will be able to match the spatial resolution of space-borne and other high-resolution telescopes.« less

  2. Global conditions in the solar corona from 2010 to 2017

    PubMed Central

    Morgan, Huw; Taroyan, Youra

    2017-01-01

    Through reduction of a huge data set spanning 2010–2017, we compare mean global changes in temperature, emission measure (EM), and underlying photospheric magnetic field of the solar corona over most of the last activity cycle. The quiet coronal mean temperature rises from 1.4 to 1.8 MK, whereas EM increases by almost a factor of 50% from solar minimum to maximum. An increased high-temperature component near 3 MK at solar maximum drives the increase in quiet coronal mean temperature, whereas the bulk of the plasma remains near 1.6 MK throughout the cycle. The mean, spatially smoothed magnitude of the quiet Sun magnetic field rises from 1.6 G in 2011 to peak at 2.0 G in 2015. Active region conditions are highly variable, but their mean remains approximately constant over the cycle, although there is a consistent decrease in active region high-temperature emission (near 3 MK) between the peak of solar maximum and present. Active region mean temperature, EM, and magnetic field magnitude are highly correlated. Correlation between sunspot/active region area and quiet coronal conditions shows the important influence of decaying sunspots in driving global changes, although we find no appreciable delay between changes in active region area and quiet Sun magnetic field strength. The hot coronal contribution to extreme ultraviolet (EUV) irradiance is dominated by the quiet corona throughout most of the cycle, whereas the high variability is driven by active regions. Solar EUV irradiance cannot be predicted accurately by sunspot index alone, highlighting the need for continued measurements. PMID:28740861

  3. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  4. X-ray and EUV Observations of CME Eruption Onset

    NASA Technical Reports Server (NTRS)

    Sterling, A. C.

    2004-01-01

    Why Coronal Mass Ejections (CMEs) erupt is a major outstanding puzzle of solar physics. Signatures observable at the earliest stages of eruption onset may hold precious clues about the onset mechanism. We present observations from SOHO/EIT and from TRACE in EUV, and from Yohkoh/SXT in soft X-rays of the pre-eruption and eruption phases of CME expulsion, along with the eruption's magnetic setting found from SOHO/MDI magnetograms. Most of our events involve clearly-observable filament eruptions and multiple neutral lines, and we use the magnetic settings and motions of the filaments to help infer the geometry and behavior of the associated erupting magnetic fields. Pre-eruption and early-eruption signatures include a relatively slow filament rise prior to eruption, and intensity "dimmings" and brightenings, both in the immediate neighborhood of the "core" (location of greatest magnetic shear) of the erupting fields and at locations remote from the core. These signatures and their relative timings place observational constraints on eruption mechanisms; our recent work has focused on implications for the so-called "tether cutting" and "breakout" models, but the same observational constraints are applicable to any model.

  5. Evaluation results of a new EUV reticle pod based on SEMI E152

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Yonekawa, Masami; Taguchi, Takao; Suga, Osamu

    2010-04-01

    To protect the reticle during shipping, storage and tool handling, various reticle pod concepts have been proposed and evaluated in the last 10 years. MIRAI-Selete has been developing EUV reticle handling technology and evaluating EUV reticle pods designed using "Dual Pod Concept" for four years. The concept was jointly proposed by Canon and Nikon at the EUV mask technology and standards workshop at Miyazaki in November 2004; a mask is doubly protected by an inner pod and an outer pod and the mask is carried into an exposure tool with the inner pod. Canon, Nikon and Entegris have started collaboration in 2005 and developed three types of EUV pod prototypes, alpha, beta and gamma. The gamma pods were evaluated by MIRAI-Selete and the superiority of the dual pod concept has been verified with many experimental data on shipping, storage and tool handling. The dual pod concept was standardized as SEMI E152-0709 "Mechanical Specification of EUV Pods for 150mm EUVL Reticles" in 2009. Canon, Nikon and Entegris have developed a new pod design compatible with SEMI E152; it has a Type A inner baseplate for uses with EUV exposure tools. The baseplate has two alignment windows, a window for a data matrix symbol and five pockets as the front edge grip exclusion volumes. In addition to the new features, there are some differences between the new SEMI compliant pod design and the former design "CNE-gamma", e.g. the material of the inner cover was changed to metal to reduce outgassing rate and the gap between the reticle and the side supports were widened to satisfy a requirement of the standard. MIRAI-Selete has evaluated the particle protective capability of the new SEMI compliant pods "cnPod" during shipping, storage and tool handling in vacuum and found the "cnPod" has the excellent particle protective capability and the dual pod concept can be used not only for EUVL pilot line but also for EUVL high volume manufacturing.

  6. Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source

    NASA Astrophysics Data System (ADS)

    Inoue, T.; Nica, P. E.; Kaku, K.; Shimoura, A.; Amano, S.; Miyamoto, S.; Mochizuki, T.

    2006-03-01

    In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×10 11 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.

  7. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  8. Breakout Reconnection Observed by the TESIS EUV Telescope

    NASA Astrophysics Data System (ADS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  9. A Restrospective and Prospective Examination of NOAA Solar Imaging

    NASA Astrophysics Data System (ADS)

    Hill, S. M.

    2015-12-01

    NOAA has provided soft X-ray imaging of the lower corona since the early 2000's. It is currently building the spacecraft and instrumentation to observe the sun in the extreme ultraviolet (EUV) through 2036. After more than 6 million calibrated images, it is appropriate to examine NOAA data as providing retrospective context for scientific missions. In particular, this presentation examines the record of GOES Solar X-ray Imager (SXI) observations, including continuity, photometric stability and comparison to other contemporary x-ray imagers. The first GOES Solar X-ray Imager was launched in 2001 and entered operations in 2003. The current SXIs will remain in operations until approximately 2020, when a new series of Solar (extreme-)Ultraviolet Imagers (SUVIs) will replace them as the current satellites reach their end of life. In the sense that the SXIs are similar to Yokoh's SXT and Hinode's XRT, the SUVI instruments will be similar to SOHO's EIT and SDO's AIA. The move to narrowband EUV imagers will better support eventual operational estimation of plasma conditions. In particular, plans are to leverage advances in automated image processing and segmentation to assist forecasters. While NOAA's principal use of these observations is real-time space weather forecasting, they will continue to provide a consistent context measurement for researchers for decades to come.

  10. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    NASA Astrophysics Data System (ADS)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  11. Partial Reflection and Trapping of a Fast-mode Wave in Solar Coronal Arcade Loops

    NASA Astrophysics Data System (ADS)

    Kumar, Pankaj; Innes, D. E.

    2015-04-01

    We report on the first direct observation of a fast-mode wave propagating along and perpendicular to cool (171 Å) arcade loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA). The wave was associated with an impulsive/compact flare near the edge of a sunspot. The EUV wavefront expanded radially outward from the flare center and decelerated in the corona from 1060 to 760 km s-1 within ˜3-4 minutes. Part of the EUV wave propagated along a large-scale arcade of cool loops and was partially reflected back to the flare site. The phase speed of the wave was about 1450 km s-1, which is interpreted as a fast-mode wave. A second overlying loop arcade, orientated perpendicular to the cool arcade, is heated and becomes visible in the AIA hot channels. These hot loops sway in time with the EUV wave, as it propagated to and fro along the lower loop arcade. We suggest that an impulsive energy release at one of the footpoints of the arcade loops causes the onset of an EUV shock wave that propagates along and perpendicular to the magnetic field.

  12. High-NA EUV lithography enabling Moore's law in the next decade

    NASA Astrophysics Data System (ADS)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  13. THERMODYNAMIC SPECTRUM OF SOLAR FLARES BASED ON SDO/EVE OBSERVATIONS: TECHNIQUES AND FIRST RESULTS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yuming; Zhou, Zhenjun; Liu, Kai

    2016-03-15

    The Solar Dynamics Observatory (SDO)/EUV Variability Experiment (EVE) provides rich information on the thermodynamic processes of solar activities, particularly on solar flares. Here, we develop a method to construct thermodynamic spectrum (TDS) charts based on the EVE spectral lines. This tool could potentially be useful for extreme ultraviolet (EUV) astronomy to learn about the eruptive activities on distant astronomical objects. Through several cases, we illustrate what we can learn from the TDS charts. Furthermore, we apply the TDS method to 74 flares equal to or greater than the M5.0 class, and reach the following statistical results. First, EUV peaks are always behind the soft X-raymore » (SXR) peaks and stronger flares tend to have faster cooling rates. There is a power-law correlation between the peak delay times and the cooling rates, suggesting a coherent cooling process of flares from SXR to EUV emissions. Second, there are two distinct temperature drift patterns, called Type I and Type II. For Type I flares, the enhanced emission drifts from high to low temperature like a quadrilateral, whereas for Type II flares the drift pattern looks like a triangle. Statistical analysis suggests that Type II flares are more impulsive than Type I flares. Third, for late-phase flares, the peak intensity ratio of the late phase to the main phase is roughly correlated with the flare class, and the flares with a strong late phase are all confined. We believe that the re-deposition of the energy carried by a flux rope, which unsuccessfully erupts out, into thermal emissions is responsible for the strong late phase found in a confined flare. Furthermore, we show the signatures of the flare thermodynamic process in the chromosphere and transition region in the TDS charts. These results provide new clues to advance our understanding of the thermodynamic processes of solar flares and associated solar eruptions, e.g., coronal mass ejections.« less

  14. An EUV Study of the Eclipsing M-Dwarf Binary System YY GEM

    NASA Technical Reports Server (NTRS)

    Drake, Jeremy

    2000-01-01

    EUVE, SW, MW and LW spectra have been reduced and line fluxes measured. The Deep Survey data has been analyzed and light curves have been derived. The spectra around the HE II 304 region show some evidence of emission from the bright A companion star, Castor. Preliminary results for the metallicity of the corona of YY Gem were derived from the EUVE spectra and photometry and were presented at the AAS HEAD meeting; results are being finalized for publication in a referred journal.

  15. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  16. Line-edge roughness performance targets for EUV lithography

    NASA Astrophysics Data System (ADS)

    Brunner, Timothy A.; Chen, Xuemei; Gabor, Allen; Higgins, Craig; Sun, Lei; Mack, Chris A.

    2017-03-01

    Our paper will use stochastic simulations to explore how EUV pattern roughness can cause device failure through rare events, so-called "black swans". We examine the impact of stochastic noise on the yield of simple wiring patterns with 36nm pitch, corresponding to 7nm node logic, using a local Critical Dimension (CD)-based fail criteria Contact hole failures are examined in a similar way. For our nominal EUV process, local CD uniformity variation and local Pattern Placement Error variation was observed, but no pattern failures were seen in the modest (few thousand) number of features simulated. We degraded the image quality by incorporating Moving Standard Deviation (MSD) blurring to degrade the Image Log-Slope (ILS), and were able to find conditions where pattern failures were observed. We determined the Line Width Roughness (LWR) value as a function of the ILS. By use of an artificial "step function" image degraded by various MSD blur, we were able to extend the LWR vs ILS curve into regimes that might be available for future EUV imagery. As we decreased the image quality, we observed LWR grow and also began to see pattern failures. For high image quality, we saw CD distributions that were symmetrical and close to Gaussian in shape. Lower image quality caused CD distributions that were asymmetric, with "fat tails" on the low CD side (under-exposed) which were associated with pattern failures. Similar non-Gaussian CD distributions were associated with image conditions that caused missing contact holes, i.e. CD=0.

  17. Performance of one hundred watt HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  18. ANTI-PARALLEL EUV FLOWS OBSERVED ALONG ACTIVE REGION FILAMENT THREADS WITH HI-C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alexander, Caroline E.; Walsh, Robert W.; Régnier, Stéphane

    Plasma flows within prominences/filaments have been observed for many years and hold valuable clues concerning the mass and energy balance within these structures. Previous observations of these flows primarily come from Hα and cool extreme-ultraviolet (EUV) lines (e.g., 304 Å) where estimates of the size of the prominence threads has been limited by the resolution of the available instrumentation. Evidence of 'counter-steaming' flows has previously been inferred from these cool plasma observations, but now, for the first time, these flows have been directly imaged along fundamental filament threads within the million degree corona (at 193 Å). In this work, wemore » present observations of an AR filament observed with the High-resolution Coronal Imager (Hi-C) that exhibits anti-parallel flows along adjacent filament threads. Complementary data from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and Helioseismic and Magnetic Imager are presented. The ultra-high spatial and temporal resolution of Hi-C allow the anti-parallel flow velocities to be measured (70-80 km s{sup –1}) and gives an indication of the resolvable thickness of the individual strands (0.''8 ± 0.''1). The temperature of the plasma flows was estimated to be log T (K) = 5.45 ± 0.10 using Emission Measure loci analysis. We find that SDO/AIA cannot clearly observe these anti-parallel flows or measure their velocity or thread width due to its larger pixel size. We suggest that anti-parallel/counter-streaming flows are likely commonplace within all filaments and are currently not observed in EUV due to current instrument spatial resolution.« less

  19. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    NASA Astrophysics Data System (ADS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Gürsel, Selmiye Alkan; Scherer, Günther G.; Wokaun, Alexander

    2007-12-01

    Nanostructures of the thermoresponsive poly( N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 °C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures.

  20. Interferometric at-wavelength flare characterization of EUV optical systems

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2001-01-01

    The extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) provides the high-accuracy wavefront characterization critical to the development of EUV lithography systems. Enhancing the implementation of the PS/PDI can significantly extend its spatial-frequency measurement bandwidth. The enhanced PS/PDI is capable of simultaneously characterizing both wavefront and flare. The enhanced technique employs a hybrid spatial/temporal-domain point diffraction interferometer (referred to as the dual-domain PS/PDI) that is capable of suppressing the scattered-reference-light noise that hinders the conventional PS/PDI. Using the dual-domain technique in combination with a flare-measurement-optimized mask and an iterative calculation process for removing flare contribution caused by higher order grating diffraction terms, the enhanced PS/PDI can be used to simultaneously measure both figure and flare in optical systems.

  1. The SOLAR-C Mission

    NASA Astrophysics Data System (ADS)

    Suematsu, Y.

    2015-12-01

    The Solar-C is a Japan-led international solar mission planned to be launched in mid2020. It is designed to investigate the magnetic activities of the Sun, focusing on the study in heating and dynamical phenomena of the chromosphere and corona, and also to develop an algorithm for predicting short and long term solar evolution. Since it has been revealed that the different parts of the magnetized solar atmosphere are essentially coupled, the SOLAR-C should tackle the spatial scales and temperature regimes that need to be observed in order to achieve a comprehensive physical understanding of this coupling. The science of Solar-C will greatly advance our understanding of the Sun, of basic physical processes operating throughout the universe. To dramatically improve the situation, SOLAR-C will carry three dedicated instruments; the Solar UV-Vis-IR Telescope (SUVIT), the EUV Spectroscopic Telescope (EUVST) and the High Resolution Coronal Imager (HCI), to jointly observe the entire visible solar atmosphere with essentially the same high spatial resolution (0.1-0.3 arcsec), performing high resolution spectroscopic measurements over all atmospheric regions and spectro-polarimetric measurements from the photosphere through the upper chromosphere. In addition, Solar-C will contribute to our understanding on the influence of the Sun-Earth environments with synergetic wide-field observations from ground-based and other space missions. Some leading science objectives and the mission concept, including designs of the three instruments aboard SOLAR-C will be presented.

  2. The Solar Dynamics Observatory: Your Eye On The Sun

    NASA Technical Reports Server (NTRS)

    Pesnell, William Dean

    2008-01-01

    The Sun hiccups and satellites die. That is what NASA's Living With a Star Program is all about. The Solar Dynamics Observatory (SDO) is the first Space Weather Mission in LWS. SDO's main goal is to understand, driving towards a predictive capability, those solar variations that influence life on Earth and humanity's technological systems. The past decade has seen an increasing emphasis on understanding the entire Sun, from the nuclear reactions at the core to the development and loss of magnetic loops in the corona. SDO's three science investigations (HMI, AIA, and EVE) will determine how the Sun's magnetic field is generated and structured, how this stored magnetic energy is released into the heliosphere and geospace as the solar wind, energetic particles, and variations in the solar irradiance. SDO will return full-disk Dopplergrams, full-disk vector magnetograms, full-disk images at nine E/UV wavelengths, and EUV spectral irradiances, all taken at a rapid cadence. This means you can "observe the database" to study events, but we can also move forward in producing quantitative models of what the Sun is doing today. SDO is scheduled to launch in 2008 on an Atlas V rocket from the Kennedy Space Center, Cape Canaveral, Florida. The satellite will fly in a 28 degree inclined geosynchronous orbit about the longitude of New Mexico, where a dedicated Ka-band ground station will receive the 150 Mbps data flow. How SDO data will transform the study of the Sun and its affect on Space Weather studies will be discussed.

  3. Evidences on the Existence of Magnetic Flux Rope Before and During a Solar Eruption

    NASA Astrophysics Data System (ADS)

    Zhang, Jie; Cheng, Xin; Liu, Kai

    2013-03-01

    We report the observational evidences from the advanced SDO observations that magnetic flux ropes exist before and during solar eruptions. The solar eruption is defined as coronal mass ejection, whether or not associated with a solar flare. Magnetic flux ropes are directly observed as hot EUV channels as seen in the hot AIA 131 (10 MK) and/or AIA 94 (6.4 MK) passbands, but are absent in cool AIA passbands. The fact that flux ropes are only seen in hot temperatures explains their evasion of detection from previous EUV observations, such as SOHO/EIT, TRACE and STEREO/EUVI. The hot channel usually appears as a writhed sigmoidal shape and slowly rises prior to the onset of the impulsive acceleration as well as the onset of the flare. The hot channel transforms into a CME-like semi-circular shape in a continuous way, indicating its trapping or organization by a coherent magnetic structure. The dynamic and thermal properties of flux ropes will also be presented. We further discuss the critical role of flux ropes in CME initiation and subsequent acceleration, in light of contrasting the standard eruptive flare models.

  4. Solar flux variability and the lifetimes of cometary H2O and OH

    NASA Astrophysics Data System (ADS)

    Budzien, S. A.; Festou, M. C.; Feldman, P. D.

    1994-01-01

    A solar EUV/FUV flux model based on recent SUSIM solar observations is presented. It is shown that both the fluxes and variabilities of the model are more consistent with SME and SUSIM solar spectrum measurements than those of the SERF1 model. It is calculated that photodissociation accounts for about 80 percent of the H2O destruction rate, while photoionization and solar wind particle interactions each account for about 10 percent of the H2O destruction. The calculated H2O and OH lifetimes against direct photodissociation both vary by 30 percent with solar activity. The major destruction channel for OH is predissociation, while direct photodissociation and solar wind interactions account for roughly 30 and 10 percent of the destruction rate, respectively.

  5. NXE pellicle: offering a EUV pellicle solution to the industry

    NASA Astrophysics Data System (ADS)

    Brouns, Derk; Bendiksen, Aage; Broman, Par; Casimiri, Eric; Colsters, Paul; Delmastro, Peter; de Graaf, Dennis; Janssen, Paul; van de Kerkhof, Mark; Kramer, Ronald; Kruizinga, Matthias; Kuntzel, Henk; van der Meulen, Frits; Ockwell, David; Peter, Maria; Smith, Daniel; Verbrugge, Beatrijs; van de Weg, David; Wiley, Jim; Wojewoda, Noelie; Zoldesi, Carmen; van Zwol, Pieter

    2016-03-01

    Towards the end of 2014, ASML committed to provide a EUV pellicle solution to the industry. Last year, during SPIE Microlithography 2015, we introduced the NXE pellicle concept, a removable pellicle solution that is compatible with current and future patterned mask inspection methods. This paper shows results of how we took this concept to a complete EUV pellicle solution for the industry. We will highlight some technical design challenges we faced developing the NXE pellicle and how we solved them. We will also present imaging results of pellicle exposures on a 0.33 NA NXE scanner system. In conjunction with the NXE pellicle, we will also present the supporting tooling we have developed to enable pellicle use.

  6. Solar Coronal Structure Study

    NASA Technical Reports Server (NTRS)

    Nitta, Nariaki; Bruner, Marilyn E.; Saba, Julia; Strong, Keith; Harvey, Karen

    2000-01-01

    The subject of this investigation is to study the physics of the solar corona through the analysis of the EUV and UV data produced by two flights (12 May 1992 and 25 April 1994) of the Lockheed Solar Plasma Diagnostics Experiment (SPDE) sounding rocket payload, in combination with Yohkoh and ground-based data. Each rocket flight produced both spectral and imaging data. These joint datasets are useful for understanding the physical state of various features in the solar atmosphere at different heights ranging from the photosphere to the corona at the time of the, rocket flights, which took place during the declining phase of a solar cycle, 2-4 years before the minimum. The investigation is narrowly focused on comparing the physics of small- and medium-scale strong-field structures with that of large-scale, weak fields. As we close th is investigation, we have to recall that our present position in the understanding of basic solar physics problems (such as coronal heating) is much different from that in 1995 (when we proposed this investigation), due largely to the great success of SOHO and TRACE. In other words, several topics and techniques we proposed can now be better realized with data from these missions. For this reason, at some point of our work, we started concentrating on the 1992 data, which are more unique and have more supporting data. As a result, we discontinued the investigation on small-scale structures, i.e., bright points, since high-resolution TRACE images have addressed more important physics than SPDE EUV images could do. In the final year, we still spent long time calibrating the 1992 data. The work was complicated because of the old-fashioned film, which had problems not encountered with more modern CCD detectors. After our considerable effort on calibration, we were able to focus on several scientific topics, relying heavily on the SPDE UV images. They include the relation between filaments and filament channels, the identification of hot

  7. The optimization of the inverted occulter of the solar orbiter/METIS coronagraph/spectrometer

    NASA Astrophysics Data System (ADS)

    Landini, F.; Vives, S.; Romoli, M.; Guillon, C.; Pancrazzi, M.; Escolle, C.; Focardi, M.; Fineschi, S.; Antonucci, E.; Nicolini, G.; Naletto, G.; Nicolosi, P.; Spadaro, D.

    2017-11-01

    The coronagraph/spectrometer METIS (Multi Element Telescope for Imaging and Spectroscopy), selected to fly aboard the Solar Orbiter ESA/NASA mission, is conceived to perform imaging (in visible, UV and EUV) and spectroscopy (in EUV) of the solar corona. It is an integrated instrument suite located on a single optical bench and sharing a unique aperture on the satellite heat shield. As every coronagraph, METIS is highly demanding in terms of stray light suppression. In order to meet the strict thermal requirements of Solar Orbiter, METIS optical design has been optimized by moving the entrance pupil at the level of the external occulter on the S/C thermal shield, thus reducing the size of the external aperture. The scheme is based on an inverted external-occulter (IEO). The IEO consists of a circular aperture on the Solar Orbiter thermal shield. A spherical mirror rejects back the disk-light through the IEO. The experience built on all the previous space coronagraphs forces designers to dedicate a particular attention to the occulter optimization. Two breadboards were manufactured to perform occulter optimization measurements: BOA (Breadboard of the Occulting Assembly) and ANACONDA (AN Alternative COnfiguration for the Occulting Native Design Assembly). A preliminary measurement campaign has been carried on at the Laboratoire d'Astrophysique de Marseille. In this paper we describe BOA and ANACONDA designs, the laboratory set-up and the preliminary results.

  8. HOMOLOGOUS SOLAR EVENTS ON 2011 JANUARY 27: BUILD-UP AND PROPAGATION IN A COMPLEX CORONAL ENVIRONMENT

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pick, M.; Démoulin, P.; Zucca, P.

    2016-05-20

    In spite of the wealth of imaging observations at the extreme-ultraviolet (EUV), X-ray, and radio wavelengths, there are still relatively few cases where all of the imagery is available to study the full development of a coronal mass ejection (CME) event and its associated shock. The aim of this study is to contribute to the understanding of the role of the coronal environment in the development of CMEs and the formation of shocks, and their propagation. We have analyzed the interactions of a couple of homologous CME events with ambient coronal structures. Both events were launched in a direction farmore » from the local vertical, and exhibited a radical change in their direction of propagation during their progression from the low corona into higher altitudes. Observations at EUV wavelengths from the Atmospheric Imaging Assembly instrument on board the Solar Dynamic Observatory were used to track the events in the low corona. The development of the events at higher altitudes was followed by the white-light coronagraphs on board the Solar and Heliospheric Observatory . Radio emissions produced during the development of the events were well recorded by the Nançay solar instruments. Thanks to their detection of accelerated electrons, the radio observations are an important complement to the EUV imaging. They allowed us to characterize the development of the associated shocks, and helped to unveil the physical processes behind the complex interactions between the CMEs and ambient medium (e.g., compression, reconnection).« less

  9. Analysis of EUV/FUV dayglow and auroral measurements

    NASA Technical Reports Server (NTRS)

    Majeed, T.; Strickland, D. J.; Link, R.

    1994-01-01

    This report documents investigations carried out over the twelve month period which commenced in November 1992. The contract identifies the following three tasks: analysis of the O II 83.4 nm dayglow and comparison with incoherent scatter radar data, analysis of the EUV spectrum of an electron aurora, and analysis of the EUV spectrum of a proton-hydrogen-electron aurora. The analysis approach, data reduction methods, and results, including plots of O I 98.9 nm versus time and average spectra, are presented for the last two tasks. The appendices contain preprints of two papers written under the first task. The first paper examines the effect of new O(3P) photoionization cross sections, N2 photoabsorption cross sections, and O(+) oscillator strengths and transition probabilities on the O II 83.4 nm dayglow. The second addresses the problem of remotely sensing the dayside F2 region using limb O II 83.4 nm data.

  10. Solar rotational modulations of spectral irradiance and correlations with the variability of total solar irradiance

    NASA Astrophysics Data System (ADS)

    Lee, Jae N.; Cahalan, Robert F.; Wu, Dong L.

    2016-09-01

    Aims: We characterize the solar rotational modulations of spectral solar irradiance (SSI) and compare them with the corresponding changes of total solar irradiance (TSI). Solar rotational modulations of TSI and SSI at wavelengths between 120 and 1600 nm are identified over one hundred Carrington rotational cycles during 2003-2013. Methods: The SORCE (Solar Radiation and Climate Experiment) and TIMED (Thermosphere Ionosphere Mesosphere Energetics and Dynamics)/SEE (Solar EUV Experiment) measured and SATIRE-S modeled solar irradiances are analyzed using the EEMD (Ensemble Empirical Mode Decomposition) method to determine the phase and amplitude of 27-day solar rotational variation in TSI and SSI. Results: The mode decomposition clearly identifies 27-day solar rotational variations in SSI between 120 and 1600 nm, and there is a robust wavelength dependence in the phase of the rotational mode relative to that of TSI. The rotational modes of visible (VIS) and near infrared (NIR) are in phase with the mode of TSI, but the phase of the rotational mode of ultraviolet (UV) exhibits differences from that of TSI. While it is questionable that the VIS to NIR portion of the solar spectrum has yet been observed with sufficient accuracy and precision to determine the 11-year solar cycle variations, the temporal variations over one hundred cycles of 27-day solar rotation, independent of the two solar cycles in which they are embedded, show distinct solar rotational modulations at each wavelength.

  11. Solar Rotational Modulations of Spectral Irradiance and Correlations with the Variability of Total Solar Irradiance

    NASA Technical Reports Server (NTRS)

    Lee, Jae N.; Cahalan, Robert F.; Wu, Dong L.

    2016-01-01

    Aims: We characterize the solar rotational modulations of spectral solar irradiance (SSI) and compare them with the corresponding changes of total solar irradiance (TSI). Solar rotational modulations of TSI and SSI at wavelengths between 120 and 1600 nm are identified over one hundred Carrington rotational cycles during 2003-2013. Methods: The SORCE (Solar Radiation and Climate Experiment) and TIMED (Thermosphere Ionosphere Mesosphere Energetics and Dynamics)/SEE (Solar EUV Experiment) measured and SATIRE-S modeled solar irradiances are analyzed using the EEMD (Ensemble Empirical Mode Decomposition) method to determine the phase and amplitude of 27-day solar rotational variation in TSI and SSI. Results: The mode decomposition clearly identifies 27-day solar rotational variations in SSI between 120 and 1600 nm, and there is a robust wavelength dependence in the phase of the rotational mode relative to that of TSI. The rotational modes of visible (VIS) and near infrared (NIR) are in phase with the mode of TSI, but the phase of the rotational mode of ultraviolet (UV) exhibits differences from that of TSI. While it is questionable that the VIS to NIR portion of the solar spectrum has yet been observed with sufficient accuracy and precision to determine the 11-year solar cycle variations, the temporal variations over one hundred cycles of 27-day solar rotation, independent of the two solar cycles in which they are embedded, show distinct solar rotational modulations at each wavelength.

  12. Debris- and radiation-induced damage effects on EUV nanolithography source collector mirror optics performance

    NASA Astrophysics Data System (ADS)

    Allain, J. P.; Nieto, M.; Hendricks, M.; Harilal, S. S.; Hassanein, A.

    2007-05-01

    Exposure of collector mirrors facing the hot, dense pinch plasma in plasma-based EUV light sources to debris (fast ions, neutrals, off-band radiation, droplets) remains one of the highest critical issues of source component lifetime and commercial feasibility of nanolithography at 13.5-nm. Typical radiators used at 13.5-nm include Xe and Sn. Fast particles emerging from the pinch region of the lamp are known to induce serious damage to nearby collector mirrors. Candidate collector configurations include either multi-layer mirrors (MLM) or single-layer mirrors (SLM) used at grazing incidence. Studies at Argonne have focused on understanding the underlying mechanisms that hinder collector mirror performance at 13.5-nm under fast Sn or Xe exposure. This is possible by a new state-of-the-art in-situ EUV reflectometry system that measures real time relative EUV reflectivity (15-degree incidence and 13.5-nm) variation during fast particle exposure. Intense EUV light and off-band radiation is also known to contribute to mirror damage. For example offband radiation can couple to the mirror and induce heating affecting the mirror's surface properties. In addition, intense EUV light can partially photo-ionize background gas (e.g., Ar or He) used for mitigation in the source device. This can lead to local weakly ionized plasma creating a sheath and accelerating charged gas particles to the mirror surface and inducing sputtering. In this paper we study several aspects of debris and radiation-induced damage to candidate EUVL source collector optics materials. The first study concerns the use of IMD simulations to study the effect of surface roughness on EUV reflectivity. The second studies the effect of fast particles on MLM reflectivity at 13.5-nm. And lastly the third studies the effect of multiple energetic sources with thermal Sn on 13.5-nm reflectivity. These studies focus on conditions that simulate the EUVL source environment in a controlled way.

  13. Lyman alpha SMM/UVSP absolute calibration and geocoronal correction

    NASA Technical Reports Server (NTRS)

    Fontenla, Juan M.; Reichmann, Edwin J.

    1987-01-01

    Lyman alpha observations from the Ultraviolet Spectrometer Polarimeter (UVSP) instrument of the Solar Maximum Mission (SMM) spacecraft were analyzed and provide instrumental calibration details. Specific values of the instrument quantum efficiency, Lyman alpha absolute intensity, and correction for geocoronal absorption are presented.

  14. The Geminga Pulsar: Soft X-Ray Variability and an EUVE Observation

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Martin, Christopher; Marshall, Herman L.; Oliversen, Ronald (Technical Monitor)

    2001-01-01

    We observed the Geminga pulsar with the EUVE satellite, detecting pulsed emission in the Deep Survey imager. Joint spectral fits of the EUVE flux with ROSAT PSPC data are consistent with thermal plus power-law models in which the thermal component makes the dominant contribution to the soft X-ray flux seen by EUVE and ROSAT. The data are consistent with blackbody emission of T = (4 - 6) x 10(exp 5) K over most of the surface of the star at the measured parallax distance of 160 pc. Although model atmospheres are more realistic, and can fit the data with effective temperatures a factor of 2 lower, current data would not discriminate between these and blackbody models. We also find evidence for variability of Geminga's soft X-ray pulse shape. Narrow dips in the light curve that were present in 1991 had largely disappeared in 1993/1994, causing the pulsed fraction to decline from 32% to 18%. If the dips are attributed to cyclotron resonance scattering by an e1 plasma on closed magnetic field lines, then the process that resupplies that plasma must be variable.

  15. The Geminga Pulsar: Soft X-Ray Variability and an EUVE Observation

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Martin, Christopher; Marshall, Herman L.

    1996-01-01

    We observed the Geminga pulsar with the EUVE satellite, detecting pulsed emission in the Deep Survey imager. Joint spectral fits of the EUVE flux with ROSAT PSPC data are consistent with thermal plus power-law models in which the thermal component makes the dominant contribution to the soft X-ray flux seen by EUVE and ROSAT. The data are consistent with blackbody emission of T = (4-6) x 10(exp 5) K over most of the surface of the star at the measured parallax distance of 160 pc. Although model atmospheres are more realistic, and can fit the data with effective temperatures a factor of 2 lower, current data would not discriminate between these and blackbody models. We also find evidence for variability of Geminga's soft X-ray pulse shape. Narrow dips in the light curve that were present in 1991 had largely disappeared in 1993/1994, causing the pulsed fraction to decline from 32% to 18%. If the dips are attributed to cyclotron resonance scattering by an e(+/-) plasma on closed magnetic field lines, then the process that resupplies that plasma must be variable.

  16. Theoretical modeling of PEB procedure on EUV resist using FDM formulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2018-03-01

    Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.

  17. Latest developments on EUV reticle and pellicle research and technology at TNO

    NASA Astrophysics Data System (ADS)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  18. SOLAR - ASTRONOMY

    NASA Image and Video Library

    1973-09-09

    S73-33788 (10 June 1973) --- The solar eruption of June 10, 1973, is seen in this spectroheliogram obtained during the first manned Skylab mission (Skylab 2), with the SO82A experiment, an Apollo Telescope Mount (ATM) component covering the wavelength region from 150 to 650 angstroms (EUV). The solid disk in the center was produced from 304 angstrom ultraviolet light from He + ions. At the top of this image a great eruption is visible extending more than one-third of a solar radius from the sun's surface. This eruption preceded the formation of an enormous coronal bubble which extended a distance of several radii from the sun's surface, and which was observed with the coronagraph aboard Skylab. In contrast, the Fe XV image at 285 angstrom just to the right of the 304 angstrom image does not show this event. Instead, it shows the bright emission from a magnetic region in the lower corona. In this picture, solar north is to the right, and east is up. The wavelength scale increases to the left. The U.S. Naval Research Laboratory is principal investigator in charge of the SO82 experiment. Photo credit: NASA

  19. Influence of Solar Irradiance on Polar Ionospheric Convection

    NASA Astrophysics Data System (ADS)

    Burrell, A. G.; Yeoman, T. K.; Stephen, M.; Lester, M.

    2016-12-01

    Plasma convection over the poles shows the result of direct interactions between the terrestrial atmosphere, magnetosphere, and the sun. The paths that the ionospheric plasma takes in the polar cap form a variety of patterns, which have been shown to depend strongly on the direction of the Interplanetary Magnetic Field (IMF) and the reconnection rate. While the IMF and level of geomagnetic activity clearly alter the plasma convection patterns, the influence of changing solar irradiance is also important. The solar irradiance and magnetospheric particle precipitation regulate the rate of plasma production, and thus the ionospheric conductivity. Previous work has demonstrated how season alters the convection patterns observed over the poles, demonstrating the importance that solar photoionisation has on plasma convection. This study investigates the role of solar photoionisation on convection more directly, using measurements of ionospheric convection made by the Super Dual Auroral Radar Network (SuperDARN) and solar irradiance observations made by the Solar EUV Experiment (SEE) to explore the influence of the solar cycle on ionospheric convection, and the implications this may have on magnetosphere-ionosphere coupling.

  20. North-south asymmetry of solar activity as a superposition of two realizations - the sign and absolute value

    NASA Astrophysics Data System (ADS)

    Badalyan, O. G.; Obridko, V. N.

    2017-07-01

    Context. Since the occurrence of north-south asymmetry (NSA) of alternating sign may be determined by different mechanisms, the frequency and amplitude characteristics of this phenomenon should be considered separately. Aims: We propose a new approach to the description of the NSA of solar activity. Methods: The asymmetry defined as A = (N-S)/(N + S) (where N and S are, respectively, the indices of activity of the northern and southern hemispheres) is treated as a superposition of two functions: the sign of asymmetry (signature) and its absolute value (modulus). This approach is applied to the analysis of the NSA of sunspot group areas for the period 1874-2013. Results: We show that the sign of asymmetry provides information on the behavior of the asymmetry. In particular, it displays quasi-periodic variation with a period of 12 yr and quasi-biennial oscillations as the asymmetry itself. The statistics of the so-called monochrome intervals (long periods of positive or negative asymmetry) are considered and it is shown that the distribution of these intervals is described by the random distribution law. This means that the dynamo mechanisms governing the cyclic variation of solar activity must involve random processes. At the same time, the asymmetry modulus has completely different statistical properties and is probably associated with processes that determine the amplitude of the cycle. One can reliably isolate an 11-yr cycle in the behavior of the asymmetry absolute value shifted by half a period with respect to the Wolf numbers. It is shown that the asymmetry modulus has a significant prognostic value: the higher the maximum of the asymmetry modulus, the lower the following Wolf number maximum. Conclusions: A fundamental nature of this concept of NSA is discussed in the context of the general methodology of cognizing the world. It is supposed that the proposed description of the NSA will help clarify the nature of this phenomenon.

  1. Catastrophic cooling and cessation of heating in the solar corona

    NASA Astrophysics Data System (ADS)

    Peter, H.; Bingert, S.; Kamio, S.

    2012-01-01

    Context. Condensations in the more than 106 K hot corona of the Sun are commonly observed in the extreme ultraviolet (EUV). While their contribution to the total solar EUV radiation is still a matter of debate, these condensations certainly provide a valuable tool for studying the dynamic response of the corona to the heating processes. Aims: We investigate different distributions of energy input in time and space to investigate which process is most relevant for understanding these coronal condensations. Methods: For a comparison to observations we synthesize EUV emission from a time-dependent, one-dimensional model for coronal loops, where we employ two heating scenarios: simply shutting down the heating and a model where the heating is very concentrated at the loop footpoints, while keeping the total heat input constant. Results: The heating off/on model does not lead to significant EUV count rates that one observes with SDO/AIA. In contrast, the concentration of the heating near the footpoints leads to thermal non-equilibrium near the loop top resulting in the well-known catastrophic cooling. This process gives a good match to observations of coronal condensations. Conclusions: This shows that the corona needs a steady supply of energy to support the coronal plasma, even during coronal condensations. Otherwise the corona would drain very fast, too fast to even form a condensation. Movies are available in electronic form at http://www.aanda.org

  2. The future of EUV lithography: enabling Moore's Law in the next decade

    NASA Astrophysics Data System (ADS)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  3. SiC-based Photo-detectors for UV, VUV, EUV and Soft X-ray Detection

    NASA Technical Reports Server (NTRS)

    Yan, Feng

    2006-01-01

    A viewgraph presentation describing an ideal Silicon Carbide detector for ultraviolet, vacuum ultraviolet, extreme ultraviolet and soft x-ray detection is shown. The topics include: 1) An ideal photo-detector; 2) Dark current density of SiC photodiodes at room temperature; 3) Dark current in SiC detectors; 4) Resistive and capacitive feedback trans-impedance amplifier; 5) Avalanche gain; 6) Excess noise; 7) SNR in single photon counting mode; 8) Structure of SiC single photon counting APD and testing structure; 9) Single photon counting waveform and testing circuit; 10) Amplitude of SiC single photon counter; 11) Dark count of SiC APD photon counters; 12) Temperature-dependence of dark count rate; 13) Reduce the dark count rate by reducing the breakdown electric field; 14) Spectrum range for SiC detectors; 15) QE curves of Pt/4H-SiC photodiodes; 16) QE curve of SiC; 17) QE curves of SiC photodiode vs. penetration depth; 18) Visible rejection of SiC photodiodes; 19) Advantages of SiC photodiodes; 20) Competitors of SiC detectors; 21) Extraterrestrial solar spectra; 22) Visible-blind EUV detection; 23) Terrestrial solar spectra; and 24) Less than 1KeV soft x-ray detection.

  4. Quasi-Periodic Pulsations in the Earth's Ionosphere Synchronized with Solar Flare Emission

    NASA Astrophysics Data System (ADS)

    Hayes, L.; Gallagher, P.; McCauley, J.; Dennis, B. R.; Ireland, J.; Inglis, A. R.

    2017-12-01

    Solar flare activity is a powerful factor affecting the geophysical processes in the Earth's ionosphere. In particular, X-ray photons with wavelength < 10 A can penetrate down to the D-region ( 60-90 km in altitude) resulting in a dramatic increase of ionization in this lowest lying region of the Earth's ionosphere. This manifests as a substantial enhancement of electron density height profile at these altitudes to extents large enough to change the propagation conditions for Very Low Frequency (VLF 3-30 kHz) radio waves that travel in the waveguide formed by the Earth and the lower ionosphere. Recently, it has become clear that flares exhibit quasi-periodic pulsations with periods of seconds to minutes at EUV, X-ray and gamma-ray wavelengths. To date, it has not been known if the Earth's ionosphere is sensitive to these dynamic solar pulsations. Here, we report ionospheric pulsations with periods of 20 minutes that are synchronized with a set of pulsating flare loops using VLF observations of the ionospheric D-layer together with X-ray and EUV observations of a solar flare from the NOAA/GOES and NASA/SDO satellites. Modeling of the ionosphere show that the D-region electron density varies by up to an order of magnitude over the timescale of the pulsations. Our results show that the Earth's ionosphere is more sensitive to small-scale changes in solar activity than previously thought.

  5. Uncertainties in (E)UV model atmosphere fluxes

    NASA Astrophysics Data System (ADS)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  6. High efficiency spectrographs for the EUV and soft X-rays

    NASA Technical Reports Server (NTRS)

    Cash, W.

    1983-01-01

    The use of grazing incidence optics and reflection grating designs is shown to be a method that improves the performance of spectrographs at wavelengths shorter than 1200 A. Emphasis is laid on spectroscopic designs for X ray and EUV astronomy, with sample designs for an objective reflection grating spectrograph (ORGS) and an echelle spectrograph for wavelengths longer than 100 A. Conical diffraction allows operations at grazing incidence in the echelle spectrograph. In ORGS, the extreme distance of X ray objects aids in collimating the source radiation, which encounters conical diffraction within the instrument, proceeds parallel to the optical axis, and arrives at the detector. A series of gratings is used to achieve the effect. A grazing echelle is employed for EUV observations, and offers a resolution of 20,000 over a 300 A bandpass.

  7. Coronal Holes and Solar f -Mode Wave Scattering Off Linear Boundaries

    NASA Astrophysics Data System (ADS)

    Hess Webber, Shea A.

    2016-11-01

    Coronal holes (CHs) are solar atmospheric features that have reduced emission in the extreme ultraviolet (EUV) spectrum due to decreased plasma density along open magnetic field lines. CHs are the source of the fast solar wind, can influence other solar activity, and track the solar cycle. Our interest in them deals with boundary detection near the solar surface. Detecting CH boundaries is important for estimating their size and tracking their evolution through time, as well as for comparing the physical properties within and outside of the feature. In this thesis, we (1) investigate CHs using statistical properties and image processing techniques on EUV images to detect CH boundaries in the low corona and chromosphere. SOHO/EIT data is used to locate polar CH boundaries on the solar limb, which are then tracked through two solar cycles. Additionally, we develop an edge-detection algorithm that we use on SDO/AIA data of a polar hole extension with an approximately linear boundary. These locations are used later to inform part of the helioseismic investigation; (2) develop a local time-distance (TD) helioseismology technique that can be used to detect CH boundary signatures at the photospheric level. We employ a new averaging scheme that makes use of the quasi-linear topology of elongated scattering regions, and create simulated data to test the new technique and compare results of some associated assumptions. This method enhances the wave propagation signal in the direction perpendicular to the linear feature and reduces the computational time of the TD analysis. We also apply a new statistical analysis of the significance of differences between the TD results; and (3) apply the TD techniques to solar CH data from SDO/HMI. The data correspond to the AIA data used in the edge-detection algorithm on EUV images. We look for statistically significant differences between the TD results inside and outside the CH region. In investigation (1), we found that the polar CH

  8. High-resolution measurements in the EUV on NSTX

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Bitter, M.; Lepson, J. K.; Gu, M.-F.

    2005-10-01

    The extreme ultraviolet (EUV) wavelength band is rich in lines useful as plasma diagnostics. This fact is being used by the Chandra and XMM-Newton satellites for studying stellar coronae and galactic nuclei. We have installed a new grating spectrometer on the NSTX tokamak that allows us to study emission lines in the EUV with similar spectral resolution. We have observed the K-shell lines of heliumlike and hydrogenlike boron, carbon, and oxygen. Moreover, we have measured the L-shell spectra of neonlike Ar, Fe, and Ni. All elements except argon were intrinsic to NSTX plasmas. Many of these spectra are of great interest to astrophysics. Our measurements provide line lists and calibrate density-sensitive line ratios in a density regime not accessible by other laboratory sources. Moreover, we were able to measure the temperature dependence of several iron lines needed to address puzzling results from stellar flare plasmas. This work was performed under the auspices of the U.S. DOE by UC-LLNL under contract W-7405-Eng-48 and by PPPL under contract DE-AC02-76CHO3073.

  9. EUV near normal incidence collector development at SAGEM

    NASA Astrophysics Data System (ADS)

    Mercier Ythier, R.; Bozec, X.; Geyl, R.; Rinchet, A.; Hecquet, Christophe; Ravet-Krill, Marie-Françoise; Delmotte, Franck; Sassolas, Benoît; Flaminio, Raffaele; Mackowski, Jean-Marie; Michel, Christophe; Montorio, Jean-Luc; Morgado, Nazario; Pinard, Laurent; Roméo, Elodie

    2008-03-01

    Through its participation to European programs, SAGEM has worked on the design and manufacturing of normal incidence collectors for EUV sources. By opposition to grazing incidence, normal incidence collectors are expected to collect more light with a simpler and cheaper design. Designs are presented for the two current types of existing sources: Discharge Produced Plasma (DPP) and Laser Produced Plasma (LPP). Collection efficiency is calculated in both cases. It is shown that these collectors can achieve about 10 % efficiency for DPP sources and 40 % for LPP sources. SAGEM works on the collectors manufacturability are also presented, including polishing, coating and cooling. The feasibility of polishing has been demonstrated with a roughness better than 2 angstroms obtained on several materials (glass, silicon, Silicon Carbide, metals...). SAGEM is currently working with the Institut d'Optique and the Laboratoire des Materiaux Avancés on the design and the process of EUV coatings for large mirrors. Lastly, SAGEM has studied the design and feasibility of an efficient thermal control, based on a liquid cooling through slim channels machined close to the optical surface.

  10. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the

  11. Solar Illumination Control of the Polar Wind

    NASA Astrophysics Data System (ADS)

    Maes, L.; Maggiolo, R.; De Keyser, J.; André, M.; Eriksson, A. I.; Haaland, S.; Li, K.; Poedts, S.

    2017-11-01

    Polar wind outflow is an important process through which the ionosphere supplies plasma to the magnetosphere. The main source of energy driving the polar wind is solar illumination of the ionosphere. As a result, many studies have found a relation between polar wind flux densities and solar EUV intensity, but less is known about their relation to the solar zenith angle at the ionospheric origin, certainly at higher altitudes. The low energy of the outflowing particles and spacecraft charging means it is very difficult to measure the polar wind at high altitudes. We take advantage of an alternative method that allows estimations of the polar wind flux densities far in the lobes. We analyze measurements made by the Cluster spacecraft at altitudes from 4 up to 20 RE. We observe a strong dependence on the solar zenith angle in the ion flux density and see that both the ion velocity and density exhibit a solar zenith angle dependence as well. We also find a seasonal variation of the flux density.

  12. Molecular organometallic resists for EUV (MORE): Reactivity as a function of metal center (Bi, Sb, Te and Sn)

    NASA Astrophysics Data System (ADS)

    Sitterly, Jacob; Murphy, Michael; Grzeskowiak, Steven; Denbeaux, Greg; Brainard, Robert L.

    2018-03-01

    This paper describes the photoreactivity of six organometallic complexes of the type PhnMX2 containing bismuth, antimony and tellurium, where n = 3 for bismuth and antimony and n = 2 for tellurium, and where X = acetate (O2CCH3) or pivalate (O2CC(CH3)3). These compounds were exposed to EUV light to monitor photodecomposition via in situ mass spectral analysis of the primary outgassing products of CO2, benzene and phenol. This paper explores the effect of metal center and carboxylate ligand on the EUV reactivity of these EUV photoresists.

  13. Magnetic Flux Cancellation as the Trigger Mechanism of Solar Coronal Jets

    NASA Technical Reports Server (NTRS)

    McGlasson, Riley A.; Panesar, Navdeep K.; Sterling, Alphonse C.; Moore, Ronald L.

    2017-01-01

    Coronal jets are narrow eruptions in the solar corona, and are often observed in extreme ultraviolet (EUV) and X-Ray images. They occur everywhere on the solar disk: in active regions, quiet regions, and coronal holes (Raouafi et al. 2016). Recent studies indicate that most coronal jets in quiet regions and coronal holes are driven by the eruption of a minifilament (Sterling et al. 2015), and that this eruption follows flux cancellation at the magnetic neutral line under the pre-eruption minifilament (Panesar et al. 2016). We confirm this picture for a large sample of jets in quiet regions and coronal holes using multithermal extreme ultraviolet (EUV) images from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and line-of-sight magnetograms from the SDO/Helioseismic and Magnetic Imager (HMI). We report observations of 60 randomly selected jet eruptions. We have analyzed the magnetic cause of these eruptions and measured the base size and the duration of each jet using routines in SolarSoft IDL. By examining the evolutionary changes in the magnetic field before, during, and after jet eruption, we found that each of these jets resulted from minifilament eruption triggered by flux cancellation at the neutral line. In agreement with the above studies, we found our jets to have an average base diameter of 7600 +/- 2700 km and an average jet-growth duration of 9.0 +/- 3.6 minutes. These observations confirm that minifilament eruption is the driver and that magnetic flux cancellation is the primary trigger mechanism for nearly all coronal hole and quiet region coronal jet eruptions.

  14. Free-Standing Zone Plate Optimized for He II 30.4 nm Solar Irradiance Measurements Having High Accuracy and Stability in Space

    NASA Astrophysics Data System (ADS)

    Seely, J. F.; McMullin, D. R.; Vest, R.; Sakdinawat, A.; Chang, C.; Jones, A. R.; Bremer, J.

    2015-12-01

    A zone plate was designed to record the He II 30.4 nm solar irradiance, was fabricated using electron beam lithography, and was absolutely calibrated using the NIST SURF synchrotron. The zone plate has an open support grid identical to those used to successfully launch transmission gratings in previous solar radiometers and is otherwise free-standing with no support membrane that would absorb EUV radiation. The measured efficiency of 3.0 ± 0.1% at 30.4 nm is consistent with detailed modeling of the efficiency and accounting for the geometrical transmittance of the support grid. The binary nature of the zone plate, consisting of opaque gold bars and open spaces with no support membrane, results in excellent long-term stability in space against contamination, radiation damage, and other effects that could alter the efficiency and instrument throughput. The zone plate's focusing property enables the rejection of out-of-band radiation by small apertures and high signal to background values that are superior to previous radiometers. The 4 mm outer diameter of the zone plate and the 25 mm focal length for 30.4 nm radiation enable a compact instrument that is attractive for small CubeSats and other space flight missions where resources are extremely limited.

  15. Feasibility study of the solar scientific instruments for Spacelab/Orbiter

    NASA Technical Reports Server (NTRS)

    Leritz, J.; Rasser, T.; Stone, E.; Lockhart, B.; Nobles, W.; Parham, J.; Eimers, D.; Peterson, D.; Barnhart, W.; Schrock, S.

    1981-01-01

    The feasibility and economics of mounting and operating a set of solar scientific instruments in the backup Skylab Apollo Telescope Mount (ATM) hardware was evaluated. The instruments used as the study test payload and integrated into the ATM were: the Solar EUV Telescope/Spectrometer; the Solar Active Region Observing Telescope; and the Lyman Alpha White Light Coronagraph. The backup ATM hardware consists of a central cruciform structure, called the "SPAR', a "Sun End Canister' and a "Multiple Docking Adapter End Canister'. Basically, the ATM hardware and software provides a structural interface for the instruments; a closely controlled thermal environment; and a very accurate attitude and pointing control capability. The hardware is an identical set to the hardware that flow on Skylab.

  16. Solar coronal temperature diagnostics using emission line from multiple stages of ionization of iron

    NASA Technical Reports Server (NTRS)

    Brosius, Jeffrey W.; Davila, Joseph M.; Thomas, Roger J.; Thompson, William T.

    1994-01-01

    We obtained spatially resolved extreme-ultraviolet (EUV) spectra of AR 6615 on 1991 May 7 with NASA/ Goddard Space Flight Center's Solar EUV Rocket Telescope and Spectrograph (SERTS). Included are emission lines from four different stages of ionization of iron: Fe(+15) lambda 335 A, Fe(+14) lambda 327 A, Fe(+13) lambda 334 A, and Fe(+12) lambda 348 A. Using intensity ratios from among these lines, we have calculated the active region coronal temperature along the Solar Extreme Ultraviolet Telescope and Spectrograph (SERTS) slit. Temperatures derived from line ratios which incorporate adjacent stages of ionization are most sensitive to measurement uncertainties and yield the largest scatter. Temperatures derived from line ratios which incorporate nonadjacent stages of ionization are less sensitive to measurement uncertainties and yield little scatter. The active region temperature derived from these latter ratios has an average value of 2.54 x 10(exp 6) K, with a standard deviation approximately 0.12 x 10(exp 6) K, and shows no significant variation with position along the slit.

  17. Exploring the readiness of EUV photo materials for patterning advanced technology nodes

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred

    2017-03-01

    Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.

  18. The Connection Between Solar Coronal Cavities and Solar Filaments

    NASA Astrophysics Data System (ADS)

    Zawadzki, B.; Karna, N.; Prchlik, J.; Reeves, K.; Kempton, D.; Angryk, R.

    2017-12-01

    Filaments are structures in the solar corona made up of relatively cool, dense, partially ionized plasma. Coronal cavities, circular or elliptical regions of low plasma density, are observed above prominences on the solar limb when viewed in EUV and white light coronal images. Since most filament/cavity eruptions lead to a coronal mass ejection (CME), determining the likelihood of an eruption event will improve our ability to predict space weather. We examine SDO/AIA cavity metadata and HEK filament metadata to determine which cavities are associated with which filaments from 2012 to 2015. Our study involved 140 cavities and 368 filaments that appeared poleward of +-30 degrees. We categorized the cavities and filaments based on the stability of the structures, defined by whether or not the cavity and filament exist long enough to track fully across the solar disk. Using these categories we perform a statistical study on various filament qualities within the metadata. Our findings indicate that filaments with cavities are observed more often at high latitude in compared to filaments without cavities. Moreover, our study indicates that a statistically significant difference exists between the filament length and tilt distributions for certain categories. This work supported by the NSF-REU solar physics program at SAO, grant number AGS-1560313, and the NSF-DIBBS project, grant number ACI-1443061.

  19. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  20. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  1. Broad band solar EUV absorption in the earth's upper atmosphere.

    NASA Technical Reports Server (NTRS)

    Allen, K. H.; Rense, W. A.

    1973-01-01

    Observation data on solar radiation intensity, based on measurements performed as a function of time for three broad wavelength bands between 280 and 1030 A by a wheel spectrometer on Oso 5 during sunrise and sunset, are compared with predicted intensity variations based on Cira models. The differences between sunrise and sunset data, as well as those between observed and predicted data are discussed.

  2. PHOTOIONIZATION IN THE SOLAR WIND

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landi, E.; Lepri, S. T., E-mail: elandi@umich.edu

    2015-10-20

    In this work we investigate the effects of photoionization on the charge state composition of the solar wind. Using measured solar EUV and X-ray irradiance, the Michigan Ionization Code and a model for the fast and slow solar wind, we calculate the evolution of the charge state distribution of He, C, N, O, Ne, Mg, Si, S, and Fe with and without including photoionization for both types of wind. We find that the solar radiation has significant effects on the charge state distribution of C, N, and O, causing the ionization levels of these elements to be higher than withoutmore » photoionization; differences are largest for oxygen. The ions commonly observed for elements heavier than O are much less affected, except in ICMEs where Fe ions more ionized than 16+ can also be affected by the solar radiation. We also show that the commonly used O{sup 7+}/O{sup 6+} density ratio is the most sensitive to photoionization; this sensitivity also causes the value of this ratio to depend on the phase of the solar cycle. We show that the O{sup 7+}/O{sup 6+} ratio needs to be used with caution for solar wind classification and coronal temperature estimates, and recommend the C{sup 6+}/C{sup 4+} ratio for these purposes.« less

  3. Manufacturability improvements in EUV resist processing toward NXE:3300 processing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Shimoaoki, Takeshi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie; Shimura, Satoru

    2014-03-01

    As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.

  4. Performance of the Multi-Spectral Solar Telescope Array. III - Optical characteristics of the Ritchey-Chretien and Cassegrain telescopes

    NASA Astrophysics Data System (ADS)

    Hoover, Richard B.; Baker, Phillip C.; Hadaway, James B.; Johnson, R. B.; Peterson, Cynthia; Gabardi, David R.; Walker, Arthur B., Jr.; Lindblom, J. F.; Deforest, Craig; O'Neal, R. H.

    1991-12-01

    The Multi-Spectral Solar Telescope Array (MSSTA), which is a sounding-rocket-borne observatory for investigating the sun in the soft X-ray/EUV and FUV regimes of the electromagnetic spectrum, utilizes single reflection multilayer coated Herschelian telescopes for wavelengths below 100 A, and five doubly reflecting multilayer coated Ritchey-Chretien and two Cassegrain telescopes for selected wavelengths in the EUV region between 100 and 1000 A. The paper discusses the interferometric alignment, testing, focusing, visible light testing, and optical performance characteristics of the Ritchey-Chretien and Cassegrain telescopes of MSSTA. A schematic diagram of the MSSTA Ritchey-Chretien telescope is presented together with diagrams of the system autocollimation testing.

  5. Magnetic Flux Cancellation as the Trigger of Solar Coronal Jets

    NASA Astrophysics Data System (ADS)

    McGlasson, R.; Panesar, N. K.; Sterling, A. C.; Moore, R. L.

    2017-12-01

    Coronal jets are narrow eruptions in the solar corona, and are often observed in extreme ultraviolet (EUV) and X-ray images. They occur everywhere on the solar disk: in active regions, quiet regions, and coronal holes (Raouafi et al. 2016). Recent studies indicate that most coronal jets in quiet regions and coronal holes are driven by the eruption of a minifilament (Sterling et al. 2015), and that this eruption follows flux cancellation at the magnetic neutral line under the pre-eruption minifilament (Panesar et al. 2016). We confirm this picture for a large sample of jets in quiet regions and coronal holes using multithermal (304 Å 171 Å, 193 Å, and 211 Å) extreme ultraviolet (EUV) images from the Solar Dynamics Observatory (SDO) /Atmospheric Imaging Assembly (AIA) and line-of-sight magnetograms from the SDO /Helioseismic and Magnetic Imager (HMI). We report observations of 60 randomly selected jet eruptions. We have analyzed the magnetic cause of these eruptions and measured the base size and the duration of each jet using routines in SolarSoft IDL. By examining the evolutionary changes in the magnetic field before, during, and after jet eruption, we found that each of these jets resulted from minifilament eruption triggered by flux cancellation at the neutral line. In agreement with the above studies, we found our jets to have an average base diameter of 7600 ± 2700 km and an average duration of 9.0 ± 3.6 minutes. These observations confirm that minifilament eruption is the driver and magnetic flux cancellation is the primary trigger mechanism for nearly all coronal hole and quiet region coronal jet eruptions.

  6. Time series study of EUV spicules observed by SUMER/SoHO

    NASA Astrophysics Data System (ADS)

    Xia, L. D.; Popescu, M. D.; Doyle, J. G.; Giannikakis, J.

    2005-08-01

    Here we study the dynamic properties of EUV spicules seen at the solar limb. The selected data were obtained as time series in polar coronal holes by SUMER/SoHO. The short exposure time and the almost fixed position of the spectrometer's slit allow the analysis of spicule properties such as occurrence, lifetime and Doppler velocity. Our data reveal that spicules occur repeatedly at the same location with a birth rate of around 0.16/min as estimated at 10´´ above the limb and a lifetime ranging from 15 down to ≈3 min. We are able to see some spicules showing a process of “falling after rising” indicated by the sudden change of the Doppler velocity sign. A periodicity of ≈5 min is sometimes discernible in their occurrence. Most spicules have a height between 10´´ and 20´´ above the limb. Some can stretch up to 40´´; these “long macro-spicules” seem to be comprised of a group of high spicules. Some of them have an obvious periodicity in the radiance of ≈5 min.

  7. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    NASA Astrophysics Data System (ADS)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  8. Enabling laboratory EUV research with a compact exposure tool

    NASA Astrophysics Data System (ADS)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  9. Imaging observation of quasi-periodic disturbances' amplitudes increasing with height in the polar region of the solar corona

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Su, J. T.; Priya, T. G.; Liu, Y.

    At present, there have been few extreme ultraviolet (EUV) imaging observations of spatial variations of the density perturbations due to the slow magnetoacoustic waves (SMWs) propagating along the solar coronal magnetic fields. In this paper, we present such observations taken from the polar region of the corona with the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory and investigate the amplitude of quasi-periodic propagating disturbances that increase with height in the lower corona (0-9 Mm over the solar limb). We statistically determined the following parameters associated with the disturbances: pressure scale height, period, and wavelength in AIA 171more » Å, 193 Å, and 211 Å channels. The scale height and wavelength are dependent of temperature, while the period is independent of temperature. The acoustic velocities inferred from the scale height highly correlate with the ratios of wavelength to period, i.e., phase speeds. They provide evidence that the propagating disturbances in the lower corona are likely SMWs and the spatial variations in EUV intensity in the polar region likely reflects the density compressional effect by the propagating SMWs.« less

  10. EUV focus sensor: design and modeling

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    2005-05-01

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using a single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wave-lengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput opti-mizing the signal-to-noise ratio in the measured intensity contrast.

  11. EUV Focus Sensor: Design and Modeling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using amore » single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wavelengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput optimizing the signal-to-noise ratio in the measured intensity contrast.« less

  12. The First ALMA Observation of a Solar Plasmoid Ejection from an X-Ray Bright Point

    NASA Astrophysics Data System (ADS)

    Shimojo, M.; Hudson, H. S.; White, S. M.; Bastian, T.; Iwai, K.

    2017-12-01

    Eruptive phenomena are important features of energy releases events, such solar flares, and have the potential to improve our understanding of the dynamics of the solar atmosphere. The 304 A EUV line of helium, formed at around 10^5 K, is found to be a reliable tracer of such phenomena, but the determination of physical parameters from such observations is not straightforward. We have observed a plasmoid ejection from an X-ray bright point simultaneously with ALMA, SDO/AIA, and Hinode/XRT. This paper reports the physical parameters of the plasmoid obtained by combining the radio, EUV, and X-ray data. As a result, we conclude that the plasmoid can consist either of (approximately) isothermal ˜10^5 K plasma that is optically thin at 100 GHz, or a ˜10^4 K core with a hot envelope. The analysis demonstrates the value of the additional temperature and density constraints that ALMA provides, and future science observations with ALMA will be able to match the spatial resolution of space-borne and other high-resolution telescopes.

  13. Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations

    NASA Astrophysics Data System (ADS)

    Zakharov, S. V.; Zakharov, V. S.; Choi, P.; Krukovskiy, A. Y.; Novikov, V. G.; Solomyannaya, A. D.; Berezin, A. V.; Vorontsov, A. S.; Markov, M. B.; Parot'kin, S. V.

    2011-04-01

    In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.

  14. Microfabrication of through holes in polydimethylsiloxane (PDMS) sheets using a laser plasma EUV source (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Makimura, Tetsuya; Urai, Hikari; Niino, Hiroyuki

    2017-03-01

    Polydimethylsiloxane (PDMS) is a material used for cell culture substrates / bio-chips and micro total analysis systems / lab-on-chips due to its flexibility, chemical / thermo-dynamic stability, bio-compatibility, transparency and moldability. For further development, it is inevitable to develop a technique to fabricate precise three dimensional structures on micrometer-scale at high aspect ratio. In the previous works, we reported a technique for high-quality micromachining of PDMS without chemical modification, by means of photo direct machining using laser plasma EUV sources. In the present work, we have investigated fabrication of through holes. The EUV radiations around 10 nm were generated by irradiation of Ta targets with Nd:YAG laser light (10 ns, 500 mJ/pulse). The generated EUV radiations were focused using an ellipsoidal mirror. It has a narrower incident angle than those in the previous works in order to form a EUV beam with higher directivity, so that higher aspect structures can be fabricated. The focused EUV beam was incident on PDMS sheets with a thickness of 15 micrometers, through holes in a contact mask placed on top of them. Using a contact mask with holes with a diameter of three micrometers, complete through holes with a diameter of two micrometers are fabricated in the PDMS sheet. Using a contact mask with two micrometer holes, however, ablation holes almost reaches to the back side of the PDMS sheet. The fabricated structures can be explained in terms of geometrical optics. Thus, we have developed a technique for micromachining of PDMS sheets at high aspect ratios.

  15. Coronal Polarization of Pseudostreamers and the Solar Polar Field Reversal

    NASA Technical Reports Server (NTRS)

    Rachmeler, L. A.; Guennou, C.; Seaton, D. B.; Gibson, S. E.; Auchere, F.

    2016-01-01

    The reversal of the solar polar magnetic field is notoriously hard to pin down due to the extreme viewing angle of the pole. In Cycle 24, the southern polar field reversal can be pinpointed with high accuracy due to a large-scale pseudostreamer that formed over the pole and persisted for approximately a year. We tracked the size and shape of this structure with multiple observations and analysis techniques including PROBA2/SWAP EUV images, AIA EUV images, CoMP polarization data, and 3D tomographic reconstructions. We find that the heliospheric field reversed polarity in February 2014, whereas in the photosphere, the last vestiges of the previous polar field polarity remained until March 2015. We present here the evolution of the structure and describe its identification in the Fe XII 1074nm coronal emission line, sensitive to the Hanle effect in the corona.

  16. Response of the upper atmosphere to variations in the solar soft x-ray irradiance. Ph.D. Thesis

    NASA Technical Reports Server (NTRS)

    Bailey, Scott Martin

    1995-01-01

    Terrestrial far ultraviolet (FUV) airglow emissions have been suggested as a means for remote sensing the structure of the upper atmosphere. The energy which leads to the excitation of FUV airglow emissions is solar irradiance at extreme ultraviolet (EUV) and soft x-ray wavelengths. Solar irradiance at these wavelengths is known to be highly variable; studies of nitric oxide (NO) in the lower thermosphere have suggested a variability of more than an order of magnitude in the solar soft x-ray irradiance. To properly interpret the FUV airflow, the magnitude of the solar energy deposition must be known. Previous analyses have used the electron impact excited Lyman-Birge-Hopfield (LBH) bands of N2 to infer the flux of photoelectrons in the atmosphere and thus to infer the magnitude of the solar irradiance. This dissertation presents the first simultaneous measurements of the FUV airglow, the major atmospheric constituent densities, and the solar EUV and soft x-ray irradiances. The measurements were made on three flights of an identical sounding rocket payload at different levels of solar activity. The linear response in brightness of the LBH bands to variations in solar irradiance is demonstrated. In addition to the N2 LBH bands, atomic oxygen lines at 135.6 and 130.4 nm are also studied. Unlike the LBH bands, these emissions undergo radiative transfer effects in the atmosphere. The OI emission at 135.6 nm is found to be well modeled using a radiative transfer calculation and the known excitation processes. Unfortunately, the assumed processes leading to OI 130.4 nm excitation are found to be insufficient to reproduce the observed variability of this emission. Production of NO in the atmosphere is examined; it is shown that a lower than previously reported variability in the solar soft x-ray irradiance is required to explain the variability of NO.

  17. Improving Soft X-Ray Spectral Irradiance Models for Use Throughout the Solar System

    NASA Astrophysics Data System (ADS)

    Eparvier, F. G.; Thiemann, E.; Woods, T. N.

    2017-12-01

    Understanding the effects of solar variability on planetary atmospheres has been hindered by the lack of accurate models and measurements of the soft x-ray (SXR) spectral irradiance (0-6 nm). Most measurements of the SXR have been broadband and are difficult to interpret due to changing spectral distribution under the pass band of the instruments. Models that use reference spectra for quiet sun, active region, and flaring contributions to irradiance have been made, but with limited success. The recent Miniature X-ray Solar Spectrometer (MinXSS) CubeSat made spectral measurements in the 0.04 - 3 nm range from June 2016 to May 2017, observing the Sun at many different levels of activity. In addition, the Solar Dynamics Observatory (SDO) EUV Variability Experiment (EVE) has observed the Sun since May 2010, in both broad bands (including a band at 0-7 nm) and spectrally resolved (6-105 nm at 0.1 nm resolution). We will present an improved model of the SXR based on new reference spectra from MinXSS and SDO-EVE. The non-flaring portion of the model is driven by broadband SXR measurements for determining activity level and relative contributions of quiet and active sun. Flares are modeled using flare temperatures from the GOES X-Ray Sensors. The improved SXR model can be driven by any sensors that provide a measure of activity level and flare temperature from any vantage point in the solar system. As an example, a version of the model is using the broadband solar irradiance measurements from the MAVEN EUV Monitor at Mars will be presented.

  18. SphinX soft X-ray spectrophotometer: Science objectives, design and performance

    NASA Astrophysics Data System (ADS)

    Gburek, S.; Sylwester, J.; Kowalinski, M.; Bakala, J.; Kordylewski, Z.; Podgorski, P.; Plocieniak, S.; Siarkowski, M.; Sylwester, B.; Trzebinski, W.; Kuzin, S. V.; Pertsov, A. A.; Kotov, Yu. D.; Farnik, F.; Reale, F.; Phillips, K. J. H.

    2011-06-01

    The goals and construction details of a new design Polish-led X-ray spectrophotometer are described. The instrument is aimed to observe emission from entire solar corona and is placed as a separate block within the Russian TESIS X- and EUV complex aboard the CORONAS-PHOTON solar orbiting observatory. SphinX uses silicon PIN diode detectors for high time resolution measurements of the solar spectra in the range 0.8-15 keV. Its spectral resolution allows for discerning more than hundred separate energy bands in this range. The instrument dynamic range extends two orders of magnitude below and above these representative for GOES. The relative and absolute accuracy of spectral measurements is expected to be better than few percent, as follows from extensive ground laboratory calibrations.

  19. EUV observation from the Earth-orbiting satellite, EXCEED

    NASA Astrophysics Data System (ADS)

    Yoshioka, K.; Murakami, G.; Yoshikawa, I.; Ueno, M.; Uemizu, K.; Yamazaki, A.

    2010-01-01

    An Earth-orbiting small satellite “EXtreme ultraviolet spectrosCope for ExosphEric Dynamics” (EXCEED) which will be launched in 2012 is under development. The mission will carry out spectroscopic and imaging observation of EUV (Extreme Ultraviolet: 60-145 nm) emissions from tenuous plasmas around the planets (Venus, Mars, Mercury, and Jupiter). It is essential for EUV observation to put on an observing site outside the Earth’s atmosphere to avoid the absorption. It is also essential that the detection efficiency must be very high in order to catch the faint signals from those targets. In this mission, we employ cesium iodide coated microchannel plate as a 2 dimensional photon counting devise which shows 1.5-50 times higher quantum detection efficiency comparing with the bared one. We coat the surface of the grating and entrance mirror with silicon carbides by the chemical vapor deposition method in order to archive the high diffraction efficiency and reflectivity. The whole spectrometer is shielded by the 2 mm thick stainless steel to prevent the contamination caused by the high energy electrons from the inner radiation belt. In this paper, we will introduce the mission overview, its instrument, and their performance.

  20. Early evolution of an energetic coronal mass ejection and its relation to EUV waves

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Rui; Wang, Yuming; Shen, Chenglong, E-mail: rliu@ustc.edu.cn

    2014-12-10

    We study a coronal mass ejection (CME) associated with an X-class flare whose initiation is clearly observed in the low corona with high-cadence, high-resolution EUV images, providing us a rare opportunity to witness the early evolution of an energetic CME in detail. The eruption starts with a slow expansion of cool overlying loops (∼1 MK) following a jet-like event in the periphery of the active region. Underneath the expanding loop system, a reverse S-shaped dimming is seen immediately above the brightening active region in hot EUV passbands. The dimming is associated with a rising diffuse arch (∼6 MK), which wemore » interpret as a preexistent, high-lying flux rope. This is followed by the arising of a double hot channel (∼10 MK) from the core of the active region. The higher structures rise earlier and faster than lower ones, with the leading front undergoing extremely rapid acceleration up to 35 km s{sup –2}. This suggests that the torus instability is the major eruption mechanism and that it is the high-lying flux rope rather than the hot channels that drives the eruption. The compression of coronal plasmas skirting and overlying the expanding loop system, whose aspect ratio h/r increases with time as a result of the rapid upward acceleration, plays a significant role in driving an outward-propagating global EUV wave and a sunward-propagating local EUV wave, respectively.« less

  1. Multi-thermal observations of flares and eruptions with the Atmospheric Imaging Assembly on the Solar Dynamics Observatory. (Invited)

    NASA Astrophysics Data System (ADS)

    Schrijver, C. J.; Aia Science Team

    2010-12-01

    The revolutionary advance in observational capabilities offered by SDO's AIA offers new views of solar flares and eruptions. The high cadence and spatial resolution, the full-Sun coverage, and the variety of thermal responses of the AIA channels from thousands to millions of degrees enable the study the source regions of solar explosions, as well as the responses of the solar corona from their immediate vicinity to regions over a solar radius away. These observations emphasize the importance of magnetic connectivity and topology, the frequent occurrence of fast wave-like perturbations, and the contrasts between impulsive compact X-ray-bright flares and long-duration EUV-bright phenomena.

  2. The Solar Dynamics Observatory, Studying the Sun and Its Influence on Other Bodies in the Solar System

    NASA Technical Reports Server (NTRS)

    Chamberlin, P. C.

    2011-01-01

    The solar photon output, which was once thought to be constant, varies over all time scales from seconds during solar flares to years due to the solar cycle. These solar variations cause significant deviations in the Earth and space environments on similar time scales, such as affecting the atmospheric densities and composition of particular atoms, molecules, and ions in the atmospheres of the Earth and other planets. Presented and discussed will be examples of unprecedented observations from NASA's new solar observatory, the Solar Dynamics Observatory (SDO). Using three specialized instruments, SDO measures the origins of solar activity from inside the Sun, though its atmosphere, then accurately measuring the Sun's radiative output in X-ray and EUV wavelengths (0.1-121 nm). Along with the visually appealing observations will be discussions of what these measurements can tell us about how the plasma motions in all layers of the Sun modifies and strengthens the weak solar dipole magnetic field to drive large energy releases in solar eruptions. Also presented will be examples of how the release of the Sun's energy, in the form of photons and high energy particles, physically influence other bodies in the solar system such as Earth, Mars, and the Moon, and how these changes drive changes in the technology that we are becoming dependent upon. The presentation will continuously emphasize how SDO, the first satellite in NASA's Living with a Star program, improving our understanding of the variable Sun and its Heliospheric influence.

  3. Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; Jiang, Jing; Yamamoto, Hiroki; De Simone, Danilo; Kozawa, Takahiro; De Gendt, Stefan; Vandenberghe, Geert

    2018-03-01

    EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.

  4. An absolute sodium abundance for a cloud-free 'hot Saturn' exoplanet.

    PubMed

    Nikolov, N; Sing, D K; Fortney, J J; Goyal, J M; Drummond, B; Evans, T M; Gibson, N P; De Mooij, E J W; Rustamkulov, Z; Wakeford, H R; Smalley, B; Burgasser, A J; Hellier, C; Helling, Ch; Mayne, N J; Madhusudhan, N; Kataria, T; Baines, J; Carter, A L; Ballester, G E; Barstow, J K; McCleery, J; Spake, J J

    2018-05-01

    Broad absorption signatures from alkali metals, such as the sodium (Na I) and potassium (K I) resonance doublets, have long been predicted in the optical atmospheric spectra of cloud-free irradiated gas giant exoplanets 1-3 . However, observations have revealed only the narrow cores of these features rather than the full pressure-broadened profiles 4-6 . Cloud and haze opacity at the day-night planetary terminator are considered to be responsible for obscuring the absorption-line wings, which hinders constraints on absolute atmospheric abundances 7-9 . Here we report an optical transmission spectrum for the 'hot Saturn' exoplanet WASP-96b obtained with the Very Large Telescope, which exhibits the complete pressure-broadened profile of the sodium absorption feature. The spectrum is in excellent agreement with cloud-free, solar-abundance models assuming chemical equilibrium. We are able to measure a precise, absolute sodium abundance of logε Na  = [Formula: see text], and use it as a proxy for the planet's atmospheric metallicity relative to the solar value (Z p /Z ʘ  = [Formula: see text]). This result is consistent with the mass-metallicity trend observed for Solar System planets and exoplanets 10-12 .

  5. An absolute sodium abundance for a cloud-free `hot Saturn' exoplanet

    NASA Astrophysics Data System (ADS)

    Nikolov, N.; Sing, D. K.; Fortney, J. J.; Goyal, J. M.; Drummond, B.; Evans, T. M.; Gibson, N. P.; De Mooij, E. J. W.; Rustamkulov, Z.; Wakeford, H. R.; Smalley, B.; Burgasser, A. J.; Hellier, C.; Helling, Ch.; Mayne, N. J.; Madhusudhan, N.; Kataria, T.; Baines, J.; Carter, A. L.; Ballester, G. E.; Barstow, J. K.; McCleery, J.; Spake, J. J.

    2018-05-01

    Broad absorption signatures from alkali metals, such as the sodium (Na i) and potassium (K i) resonance doublets, have long been predicted in the optical atmospheric spectra of cloud-free irradiated gas giant exoplanets1-3. However, observations have revealed only the narrow cores of these features rather than the full pressure-broadened profiles4-6. Cloud and haze opacity at the day-night planetary terminator are considered to be responsible for obscuring the absorption-line wings, which hinders constraints on absolute atmospheric abundances7-9. Here we report an optical transmission spectrum for the `hot Saturn' exoplanet WASP-96b obtained with the Very Large Telescope, which exhibits the complete pressure-broadened profile of the sodium absorption feature. The spectrum is in excellent agreement with cloud-free, solar-abundance models assuming chemical equilibrium. We are able to measure a precise, absolute sodium abundance of logɛNa = 6.9-0.4+0.6, and use it as a proxy for the planet's atmospheric metallicity relative to the solar value (Zp/Zʘ = 2.3-1.7+8.9). This result is consistent with the mass-metallicity trend observed for Solar System planets and exoplanets10-12.

  6. On the derivation of empirical limits on the helium abundance in coronal holes below 1.5 solar radius

    NASA Technical Reports Server (NTRS)

    Habbal, Shadia Rifai; Esser, Ruth

    1994-01-01

    We present a simple technique describing how limits on the helium abundance, alpha, defined as the ratio of helium to proton number density, can be inferred from measurements of the electron density and temperature below 1.5 solar radius. As an illustration, we apply this technique to two different data sets: emission-line intensities in the extreme ultraviolet (EUV) and white-light observations, both measured in polar coronal holes. For the EUV data, the temperature gradient is derived from line intensity ratios, and the density gradient is replaced by the gradient of the line intensity. The lower limit on alpha derived from these data is 0.2-0.3 at 1 solar radius and drops very sharply to interplanetary values of a few percent below 1.06 solar radius. The white-light observations yield density gradients in the inner corona beyond 1.25 solar radius but do not have corresponding temperature gradients. In this case we consider an isothermal atmosphere, and derive an upper limit of 0.2 for alpha. These examples are used to illustrate how this technique could be applicable to the more extensive data to be obtained with the upcoming SOHO mission. Although only ranges on alpha can be derived, the application of the technique to data currently available merely points to the fact that alpha can be significantly large in the inner corona.

  7. On the Importance of the Flare's Late Phase for the Solar Extreme Ultraviolet Irradiance

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.; Eparvier, Frank; Jones, Andrew R.; Hock, Rachel; Chamberlin, Phillip C.; Klimchuk, James A.; Didkovsky, Leonid; Judge, Darrell; Mariska, John; Bailey, Scott; hide

    2011-01-01

    The new solar extreme ultraviolet (EUV) irradiance observations from NASA Solar Dynamics Observatory (SDO) have revealed a new class of solar flares that are referred to as late phase flares. These flares are characterized by the hot 2-5 MK coronal emissions (e.g., Fe XVI 33.5 nm) showing large secondary peaks that appear many minutes to hours after an eruptive flare event. In contrast, the cool 0.7-1.5 MK coronal emissions (e.g., Fe IX 17.1 nm) usually dim immediately after the flare onset and do not recover until after the delayed second peak of the hot coronal emissions. We refer to this period of 1-5 hours after the fl amrea sin phase as the late phase, and this late phase is uniquely different than long duration flares associated with 2-ribbon flares or large filament eruptions. Our analysis of the late phase flare events indicates that the late phase involves hot coronal loops near the flaring region, not directly related to the original flaring loop system but rather with the higher post-eruption fields. Another finding is that space weather applications concerning Earth s ionosphere and thermosphere need to consider these late phase flares because they can enhance the total EUV irradiance flare variation by a factor of 2 when the late phase contribution is included.

  8. Study on the lifetime of Mo/Si multilayer optics with pulsed EUV-source at the ETS

    NASA Astrophysics Data System (ADS)

    Schürmann, Mark; Yulin, Sergiy; Nesterenko, Viatcheslav; Feigl, Torsten; Kaiser, Norbert; Tkachenko, Boris; Schürmann, Max C.

    2011-06-01

    As EUV lithography is on its way into production stage, studies of optics contamination and cleaning under realistic conditions become more and more important. Due to this fact an Exposure Test Stand (ETS) has been constructed at XTREME technologies GmbH in collaboration with Fraunhofer IOF and with financial support of Intel Corporation. This test stand is equipped with a pulsed DPP source and allows for the simultaneous exposure of several samples. In the standard set-up four samples with an exposed area larger than 35 mm2 per sample can be exposed at a homogeneous intensity of 0.25 mW/mm2. A recent update of the ETS allows for simultaneous exposures of two samples with intensities up to 1.0 mW/mm2. The first application of this alternative set-up was a comparative study of carbon contamination rates induced by EUV radiation from the pulsed source with contamination rates induced by quasicontinuous synchrotron radiation. A modified gas-inlet system allows for the introduction of a second gas to the exposure chamber. This possibility was applied to investigate the efficiency of EUV-induced cleaning with different gas mixtures. In particular the enhancement of EUV-induced cleaning by addition of a second gas to the cleaning gas was studied.

  9. Signatures of moderate (M-class) and low (C and B class) intensity solar flares on the equatorial electrojet current: Case studies

    NASA Astrophysics Data System (ADS)

    Chakrabarty, D.; Bagiya, Mala S.; Thampi, Smitha V.; Pathan, B. M.; Sekar, R.

    2013-12-01

    The present investigation brings out, in contrast to the earlier works, the changes in the equatorial electrojet (EEJ) current in response to a few moderate (M-class) and low (C and B class) intensity solar flares during 2005-2010. Special care is taken to pick these flare events in the absence of prompt electric field perturbations associated with geomagnetic storms and substorms that also affect the electrojet current. Interestingly, only the normalized (with respect to the pre-flare level) deviations of daytime EEJ (and not the deviations alone) change linearly with the increases in the EUV and X-ray fluxes. These linear relationships break down during local morning hours when the E-region electric field approaches zero before reversal of polarity. This elicits that the response of EEJ strength corresponding to less-intense flares can be appropriately gauged only when the local time variation of the quiet time E-region zonal electric field is taken into account. The flare events enhanced the EEJ strength irrespective of normal or counter electrojet (CEJ) conditions that shows that solar flares change the E-region ionization density and not the electric field. In addition, the enhancements in the X-ray and EUV fluxes, for these flares occurring during this solar minimum period, are found to be significantly correlated as opposed to the solar maximum period, indicating the differences in the solar processes in different solar epochs.

  10. Simultaneous Observations of a Large-scale Wave Event in the Solar Atmosphere: From Photosphere to Corona

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Liu, Yu

    2012-06-01

    For the first time, we report a large-scale wave that was observed simultaneously in the photosphere, chromosphere, transition region, and low corona layers of the solar atmosphere. Using the high temporal and high spatial resolution observations taken by the Solar Magnetic Activity Research Telescope at Hida Observatory and the Atmospheric Imaging Assembly (AIA) on board Solar Dynamic Observatory, we find that the wave evolved synchronously at different heights of the solar atmosphere, and it propagated at a speed of 605 km s-1 and showed a significant deceleration (-424 m s-2) in the extreme-ultraviolet (EUV) observations. During the initial stage, the wave speed in the EUV observations was 1000 km s-1, similar to those measured from the AIA 1700 Å (967 km s-1) and 1600 Å (893 km s-1) observations. The wave was reflected by a remote region with open fields, and a slower wave-like feature at a speed of 220 km s-1 was also identified following the primary fast wave. In addition, a type-II radio burst was observed to be associated with the wave. We conclude that this wave should be a fast magnetosonic shock wave, which was first driven by the associated coronal mass ejection and then propagated freely in the corona. As the shock wave propagated, its legs swept the solar surface and thereby resulted in the wave signatures observed in the lower layers of the solar atmosphere. The slower wave-like structure following the primary wave was probably caused by the reconfiguration of the low coronal magnetic fields, as predicted in the field-line stretching model.

  11. The Hinode(Solar-B)Mission: An Overview

    NASA Technical Reports Server (NTRS)

    Kosugi, T.; Matsuzaki, K.; Sakao, T.; Shimizu, T.; Sone, Y.; Tachikawa, S.; Minesugi, K.; Ohnishi, A.; Yamada, T.; Tsuneta, S.; hide

    2007-01-01

    The Hinode satellite (formerly Solar-B) of the Japan Aerospace Exploration Agency's Institute of Space and Astronautical Science (ISAS/JAXA) was successfully launched in September 2006. As the successor to the Yohkoh mission, it aims to understand how magnetic energy is transferred from the photosphere to the upper atmospheres and resulting in explosive energy releases. Hinode is an observatory style mission, with all the instruments being designed and built to work together to address the science aims. There are three instruments onboard: the Solar Optical Telescope (SOT), the EUV Imaging Spectrometer (EIS), and the X-ray Telescope (XRT). This paper overviews the mission, including the satellite, the scientific payload and operations. It will conclude with discussions on how the international science community can participate in the analysis of the mission data.

  12. Nebula-based Primordial Atmospheres of Planets Around Solar-Like Stars Revised

    NASA Astrophysics Data System (ADS)

    Scherf, Manuel; Lammer, H.; Leitzinger, M.; Odert, P.; Güdel, M.; Hanslmeier, A.

    2012-05-01

    At the beginning of a planetary system, in the stage of the stellar nebula and the growing-phase of the planets, planetesimals and Earth-like proto-planets accumulate a remarkable amount of gas, mainly consisting of hydrogen and helium. The mass of such a primordial atmosphere was first estimated for the proto-Earth by Hayashi et al. (1979), with up to 1026 g accumulated within 106 years. Furthermore it is commonly expected that these primordial atmospheres will be completely dissipated due to irradiation of the stellar EUV-flux during the first 108 years. Recent observations of young solar-like stars indicate that the efficiency and effect of the EUV-flux after the nebula disappeared, was highly overestimated by previous studies. We show that parts of these dense hydrogen/helium-gas envelopes may sustain this early active stage of a young star. Implications on the habitability are also discussed.

  13. Driving down defect density in composite EUV patterning film stacks

    NASA Astrophysics Data System (ADS)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  14. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  15. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  16. Extreme ultraviolet spectral irradiance measurements since 1946

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  17. Comparative studies of the interaction between the Sun and planetary near space environments with the Solar Connections Observatory for Planetary Environments (SCOPE)

    NASA Astrophysics Data System (ADS)

    Harris, W. M.; Scope Team

    2003-04-01

    The Solar Connections Observatory for Planetary Environments (SCOPE) is a remote sensing facility designed to probe the nature of the relationship of planetary bodies and the local interstellar medium to the solar wind and UV-EUV radiation field. In particular, the SCOPE program seeks to comparatively monitor the near space environments and thermosphere/ionospheres of planets, planetesimals, and satellites under different magnetospheric configurations and as a function of heliocentric distance and solar activity. In addition, SCOPE will include the Earth as a science target, providing new remote observations of auroral and upper atmospheric phenomena and utilizing it as baseline for direct comparison with other planetary bodies. The observatory will be scheduled into discrete campaigns interleaving Target-Terrestrial observations to provide a comparative annual activity map over the course of a solar half cycle. The SCOPE science instrument consists of binocular UV (115-310 nm) and EUV (500-120 nm) telescopes and a side channel sky-mapping interferometer on a spacecraft stationed in a remote orbit. The telescope instruments provide a mix of capabilities including high spatial resolution narrow band imaging, moderate resolution broadband spectro-imaging, and high-resolution line spectroscopy. The side channel instrument will be optimized for line profile measurements of diagnostic terrestrial upper atmospheric, comet, interplanetary, and interstellar extended emissions.

  18. Material design of negative-tone polyphenol resist for EUV and EB lithography

    NASA Astrophysics Data System (ADS)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  19. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  20. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Balachandran, Dave; He, Long; Kearney, Patrick; Karumuri, Anil; Goodwin, Frank; Cummings, Kevin

    2015-03-01

    Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.