NASA Astrophysics Data System (ADS)
Jain, Geetika; Dalal, Ranjeet; Bhardwaj, Ashutosh; Ranjan, Kirti; Dierlamm, Alexander; Hartmann, Frank; Eber, Robert; Demarteau, Marcel
2018-02-01
P-on-n silicon strip sensors having multiple guard-ring structures have been developed for High Energy Physics applications. The study constitutes the optimization of the sensor design, and fabrication of AC-coupled, poly-silicon biased sensors of strip width of 30 μm and strip pitch of 55 μm. The silicon wafers used for the fabrication are of 4 inch n-type, having an average resistivity of 2-5 k Ω cm, with a thickness of 300 μm. The electrical characterization of these detectors comprises of: (a) global measurements of total leakage current, and backplane capacitance; (b) strip and voltage scans of strip leakage current, poly-silicon resistance, interstrip capacitance, interstrip resistance, coupling capacitance, and dielectric current; and (c) charge collection measurements using ALiBaVa setup. The results of the same are reported here.
Compact cantilever couplers for low-loss fiber coupling to silicon photonic integrated circuits.
Wood, Michael; Sun, Peng; Reano, Ronald M
2012-01-02
We demonstrate coupling from tapered optical fibers to 450 nm by 250 nm silicon strip waveguides using compact cantilever couplers. The couplers consist of silicon inverse width tapers embedded within silicon dioxide cantilevers. Finite difference time domain simulations are used to design the length of the silicon inverse width taper to as short as 6.5 μm for a cantilever width of 2 μm. Modeling of various strip waveguide taper profiles shows reduced coupling losses for a quadratic taper profile. Infrared measurements of fabricated devices demonstrate average coupling losses of 0.62 dB per connection for the quasi-TE mode and 0.50 dB per connection for the quasi-TM mode across the optical telecommunications C band. In the wavelength range from 1477 nm to 1580 nm, coupling losses for both polarizations are less than 1 dB per connection. The compact, broadband, and low-loss coupling scheme enables direct access to photonic integrated circuits on an entire chip surface without the need for dicing or cleaving the chip.
NASA Astrophysics Data System (ADS)
Hau, S.; Bruch, D.; Rizzello, G.; Motzki, P.; Seelecke, S.
2018-07-01
There are two major categories of dielectric elastomer actuators (DEAs), which differ from the way in which the actuation is exploited: stack DEAs, using the thickness compression, and membrane DEAs, which exploit the expansion in area. In this work we focus on a specific type of membrane DEAs, i.e., silicone-based strip-in-plane (SIP) DEAs with screen printed electrodes. The performance of such actuators strongly depends on their geometry and on the adopted mechanical biasing system. Typically, the biasing is based on elastomer pre-stretch or on dead loads, which results in relatively low actuation strain. Biasing systems characterized by a negative rate spring have proven to significantly increase the performance of circular out-of-plane DEAs. However, this kind of biasing has never been systematically applied to silicone SIP DEAs. In this work, the biasing design based on negative rate springs is extended to strip DEAs as well, allowing to improve speed, strain, and force of the resulting actuator. At first, the DEAs are characterized under electrical and mechanical loading. Afterwards, two actuator systems are studied and compared in terms of actuation strain, force output, and actuation speed. In a first design stage, the DEA is coupled with a linear spring. Subsequently, the membrane is loaded with a combination of linear and nonlinear spring (working in a negative stiffness region). The resulting stroke output of the second systems is more than 9 times higher in comparison to the first one. An actuation strain of up to 45% (11.2 millimeter) and a force output of 0.38 Newton are measured. A maximum speed of 0.29 m s‑1 is achieved, which is about 60 times faster than the one typically measured for similar systems based on VHB.
Low-resistance strip sensors for beam-loss event protection
NASA Astrophysics Data System (ADS)
Ullán, M.; Benítez, V.; Quirion, D.; Zabala, M.; Pellegrini, G.; Lozano, M.; Lacasta, C.; Soldevila, U.; García, C.; Fadeyev, V.; Wortman, J.; DeFilippis, J.; Shumko, M.; Grillo, A. A.; Sadrozinski, H. F.-W.
2014-11-01
AC-coupled silicon strip sensors can be damaged in case of a beam loss due to the possibility of a large charge accumulation in the bulk, developing very high voltages across the coupling capacitors which can destroy them. Punch-through structures are currently used to avoid this problem helping to evacuate the accumulated charge as large voltages are developing. Nevertheless, previous experiments, performed with laser pulses, have shown that these structures can become ineffective in relatively long strips. The large value of the implant resistance can effectively isolate the "far" end of the strip from the punch-through structure leading to large voltages. We present here our developments to fabricate low-resistance strip sensors to avoid this problem. The deposition of a conducting material in contact with the implants drastically reduces the strip resistance, assuring the effectiveness of the punch-through structures. First devices have been fabricated with this new technology. Initial results with laser tests show the expected reduction in peak voltages on the low resistivity implants. Other aspects of the sensor performance, including the signal formation, are not affected by the new technology.
Beam test of CSES silicon strip detector module
NASA Astrophysics Data System (ADS)
Zhang, Da-Li; Lu, Hong; Wang, Huan-Yu; Li, Xin-Qiao; Xu, Yan-Bing; An, Zheng-Hua; Yu, Xiao-xia; Wang, Hui; Shi, Feng; Wang, Ping; Zhao, Xiao-Yun
2017-05-01
The silicon-strip tracker of the China Seismo-Electromagnetic Satellite (CSES) consists of two double-sided silicon strip detectors (DSSDs) which provide incident particle tracking information. A low-noise analog ASIC VA140 was used in this study for DSSD signal readout. A beam test on the DSSD module was performed at the Beijing Test Beam Facility of the Beijing Electron Positron Collider (BEPC) using a 400-800 MeV/c proton beam. The pedestal analysis results, RMSE noise, gain correction, and intensity distribution of incident particles of the DSSD module are presented. Supported by the XXX Civil Space Programme
NASA Astrophysics Data System (ADS)
Kajikawa, K.; Funaki, K.; Shikimachi, K.; Hirano, N.; Nagaya, S.
2010-11-01
AC losses in a superconductor strip are numerically evaluated by means of a finite element method formulated with a current vector potential. The expressions of AC losses in an infinite slab that corresponds to a simple model of infinitely stacked strips are also derived theoretically. It is assumed that the voltage-current characteristics of the superconductors are represented by Bean's critical state model. The typical operation pattern of a Superconducting Magnetic Energy Storage (SMES) coil with direct and alternating transport currents in an external AC magnetic field is taken into account as the electromagnetic environment for both the single strip and the infinite slab. By using the obtained results of AC losses, the influences of the transport currents on the total losses are discussed quantitatively.
Low dose radiation damage effects in silicon strip detectors
NASA Astrophysics Data System (ADS)
Wiącek, P.; Dąbrowski, W.
2016-11-01
The radiation damage effects in silicon segmented detectors caused by X-rays have become recently an important research topic driven mainly by development of new detectors for applications at the European X-ray Free Electron Laser (E-XFEL). However, radiation damage in silicon strip is observed not only after extreme doses up to 1 GGy expected at E-XFEL, but also at doses in the range of tens of Gy, to which the detectors in laboratory instruments like X-ray diffractometers or X-ray spectrometers can be exposed. In this paper we report on investigation of radiation damage effects in a custom developed silicon strip detector used in laboratory diffractometers equipped with X-ray tubes. Our results show that significant degradation of detector performance occurs at low doses, well below 200 Gy, which can be reached during normal operation of laboratory instruments. Degradation of the detector energy resolution can be explained by increasing leakage current and increasing interstrip capacitance of the sensor. Another observed effect caused by accumulation of charge trapped in the surface oxide layer is change of charge division between adjacent strips. In addition, we have observed unexpected anomalies in the annealing process.
NASA Astrophysics Data System (ADS)
Kasiński, Krzysztof; Szczygieł, Robert; Gryboś, Paweł
2011-10-01
This paper presents the prototype detector readout electronics for the STS (Silicon Tracking System) at CBM (Compressed Baryonic Matter) experiment at FAIR, GSI (Helmholtzzentrum fuer Schwerionenforschung GmbH) in Germany. The emphasis has been put on the strip detector readout chip and its interconnectivity with detector. Paper discusses the impact of the silicon strip detector and interconnection cable construction on the overall noise of the system and architecture of the TOT02 readout ASIC. The idea and problems of the double-sided silicon detector usage are also presented.
Multi-pinhole SPECT Imaging with Silicon Strip Detectors
Peterson, Todd E.; Shokouhi, Sepideh; Furenlid, Lars R.; Wilson, Donald W.
2010-01-01
Silicon double-sided strip detectors offer outstanding instrinsic spatial resolution with reasonable detection efficiency for iodine-125 emissions. This spatial resolution allows for multiple-pinhole imaging at low magnification, minimizing the problem of multiplexing. We have conducted imaging studies using a prototype system that utilizes a detector of 300-micrometer thickness and 50-micrometer strip pitch together with a 23-pinhole collimator. These studies include an investigation of the synthetic-collimator imaging approach, which combines multiple-pinhole projections acquired at multiple magnifications to obtain tomographic reconstructions from limited-angle data using the ML-EM algorithm. Sub-millimeter spatial resolution was obtained, demonstrating the basic validity of this approach. PMID:20953300
Martin Fabritius, Marie; Broillet, Alain; König, Stefan; Weinmann, Wolfgang
2018-06-04
Adsorption of volatiles in gaseous phase to activated charcoal strip (ACS) is one possibility for the extraction and concentration of ignitable liquid residues (ILRs) from fire debris in arson investigations. Besides liquid extraction using carbon dioxide or hexane, automated thermo-desorption can be used to transfer adsorbed residues to direct analysis by gas chromatography-mass spectrometry (GC-MS). We present a fire debris analysis work-flow with headspace adsorption of volatiles onto ACS and subsequent automated thermo-desorption (ATD) GC-MS analysis. Only a small portion of the ACS is inserted in the ATD tube for thermal desorption coupled to GC-MS, allowing for subsequent confirmation analysis with another portion of the same ACS. This approach is a promising alternative to the routinely used ACS method with solvent extraction of retained volatiles, and the application to fire debris analysis is demonstrated. Copyright © 2018 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Abou-Haïdar, Z.; Bocci, A.; Alvarez, M. A. G.; Espino, J. M.; Gallardo, M. I.; Cortés-Giraldo, M. A.; Ovejero, M. C.; Quesada, J. M.; Arráns, R.; Prieto, M. Ruiz; Vega-Leal, A. Pérez; Nieto, F. J. Pérez
2012-04-01
In this work we present the output factor measurements of a clinical linear accelerator using a silicon strip detector coupled to a new system for complex radiation therapy treatment verification. The objective of these measurements is to validate the system we built for treatment verification. The measurements were performed at the Virgin Macarena University Hospital in Seville. Irradiations were carried out with a Siemens ONCOR™ linac used to deliver radiotherapy treatment for cancer patients. The linac was operating in 6 MV photon mode; the different sizes of the fields were defined with the collimation system provided within the accelerator head. The output factor was measured with the silicon strip detector in two different layouts using two phantoms. In the first, the active area of the detector was placed perpendicular to the beam axis. In the second, the innovation consisted of a cylindrical phantom where the detector was placed in an axial plane with respect to the beam. The measured data were compared with data given by a commercial treatment planning system. Results were shown to be in a very good agreement between the compared set of data.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Barabanenkov, M. Yu., E-mail: barab@iptm.ru; Vyatkin, A. F.; Volkov, V. T.
2015-12-15
Single-mode submicrometer-thick strip waveguides on silicon-on-insulator substrates, fabricated by silicon-planar-technology methods are considered. To solve the problem of 1.5-µm wavelength radiation input-output and its frequency filtering, strip diffraction gratings and two-dimensional photonic crystals are integrated into waveguides. The reflection and transmission spectra of gratings and photonic crystals are calculated. The waveguide-mode-attenuation coefficient for a polycrystalline silicon waveguide is experimentally estimated.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ha, Thi Dep, E-mail: hathidep@yahoo.com; Faculty of Electronic Technology, Industrial University of Ho Chi Minh City, Hochiminh City; Bao, JingFu, E-mail: baojingfu@uestc.edu.cn
Phononic crystals (PnCs) and n-type doped silicon technique have been widely employed in silicon-based MEMS resonators to obtain high quality factor (Q) as well as temperature-induced frequency stability. For the PnCs, their band gaps play an important role in the acoustic wave propagation. Also, the temperature and dopant doped into silicon can cause the change in its material properties such as elastic constants, Young’s modulus. Therefore, in order to design the simultaneous high Q and frequency stability silicon-based MEMS resonators by two these techniques, a careful design should study effects of temperature and dopant on the band gap characteristics tomore » examine the acoustic wave propagation in the PnC. Based on these, this paper presents (1) a proposed silicon-based PnC strip structure for support tether applications in low frequency silicon-based MEMS resonators, (2) influences of temperature and dopant on band gap characteristics of the PnC strips. The simulation results show that the largest band gap can achieve up to 33.56 at 57.59 MHz and increase 1280.13 % (also increase 131.89 % for ratio of the widest gaps) compared with the counterpart without hole. The band gap properties of the PnC strips is insignificantly effected by temperature and electron doping concentration. Also, the quality factor of two designed length extensional mode MEMS resonators with proposed PnC strip based support tethers is up to 1084.59% and 43846.36% over the same resonators with PnC strip without hole and circled corners, respectively. This theoretical study uses the finite element analysis in COMSOL Multiphysics and MATLAB softwares as simulation tools. This findings provides a background in combination of PnC and dopant techniques for high performance silicon-based MEMS resonators as well as PnC-based MEMS devices.« less
AC Coupled Interconnect for Low Power Spaceborne Electronics
2006-09-30
Final Report 3. DATES COVERED (From - To) 20/05/2003 to 30/09/2006 4. TITLE AND SUBTITLE AC COUPLED INTERCONNECT FOR LOW POWER SPACEBORNE...14. ABSTRACT The primary objective of this effort was to establish that AC Coupled Interconnect could be used to create multiple solutions to...
A silicon dioxide modified magnetic nanoparticles-labeled lateral flow strips for HBs antigen.
Zhang, Xueqing; Jiang, Lin; Zhang, Chunlei; Li, Ding; Wang, Can; Gao, Feng; Cui, Daxiang
2011-12-01
Herein we reported a new type of silicon dioxide wrapped magnetic nanoparticles-labeled lateral flow strip for detection of HBs antigen in sera. The SiO2 wrapped Fe3O4 nanocomposites were prepared and characterized by HR-TEM, FTIR and magnetometer. As-prepared nanocomposites were used to label anti-HBV surface monoclonal antibody, the lateral flow strips were constructed, and 100 specimens of sera were collected and tested. Results showed that the prepared SiO2 wrapped Fe3O4 nanocomposites were shell/core structure, well dispersed, with the size of 25 nm in diameter, the thickness of the shell was about 3 nm, their magnetic saturation intensity was 44.3 meu g(-1). Clinical sera specimens test results showed that the prepared lateral flow strips were with the detection limitation of 5 pg/mL by naked eye observation, and 0.1 pg/mL by CCD reader or MAR Analyzer, specificity was 100%. In conclusion, one kind of silicon dioxide wrapped magnetic nanoparticles-labeled lateral flow strip for ultrasensitive detection of HBs antigen was successfully developed, its ease of use, sensitiveness and low-cost make it well-suited for population-based on-the-site hepatitis B screening.
NASA Astrophysics Data System (ADS)
Kuehn, S.; Benítez, V.; Fernández-Tejero, J.; Fleta, C.; Lozano, M.; Ullán, M.; Lacker, H.; Rehnisch, L.; Sperlich, D.; Ariza, D.; Bloch, I.; Díez, S.; Gregor, I.; Keller, J.; Lohwasser, K.; Poley, L.; Prahl, V.; Zakharchuk, N.; Hauser, M.; Jakobs, K.; Mahboubi, K.; Mori, R.; Parzefall, U.; Bernabéu, J.; Lacasta, C.; Marco-Hernandez, R.; Rodriguez Rodriguez, D.; Santoyo, D.; Solaz Contell, C.; Soldevila Serrano, U.; Affolder, T.; Greenall, A.; Gallop, B.; Phillips, P. W.; Cindro, V.
2018-03-01
In the high luminosity era of the Large Hadron Collider, the instantaneous luminosity is expected to reach unprecedented values, resulting in about 200 proton-proton interactions in a typical bunch crossing. To cope with the resultant increase in occupancy, bandwidth and radiation damage, the ATLAS Inner Detector will be replaced by an all-silicon system, the Inner Tracker (ITk). The ITk consists of a silicon pixel and a strip detector and exploits the concept of modularity. Prototyping and testing of various strip detector components has been carried out. This paper presents the developments and results obtained with reduced-size structures equivalent to those foreseen to be used in the forward region of the silicon strip detector. Referred to as petalets, these structures are built around a composite sandwich with embedded cooling pipes and electrical tapes for routing the signals and power. Detector modules built using electronic flex boards and silicon strip sensors are glued on both the front and back side surfaces of the carbon structure. Details are given on the assembly, testing and evaluation of several petalets. Measurement results of both mechanical and electrical quantities are shown. Moreover, an outlook is given for improved prototyping plans for large structures.
Non-oxidized porous silicon-based power AC switch peripheries.
Menard, Samuel; Fèvre, Angélique; Valente, Damien; Billoué, Jérôme; Gautier, Gaël
2012-10-11
We present in this paper a novel application of porous silicon (PS) for low-power alternating current (AC) switches such as triode alternating current devices (TRIACs) frequently used to control small appliances (fridge, vacuum cleaner, washing machine, coffee makers, etc.). More precisely, it seems possible to benefit from the PS electrical insulation properties to ensure the OFF state of the device. Based on the technological aspects of the most commonly used AC switch peripheries physically responsible of the TRIAC blocking performances (leakage current and breakdown voltage), we suggest to isolate upper and lower junctions through the addition of a PS layer anodically etched from existing AC switch diffusion profiles. Then, we comment the voltage capability of practical samples emanating from the proposed architecture. Thanks to the characterization results of simple Al-PS-Si(P) structures, the experimental observations are interpreted, thus opening new outlooks in the field of AC switch peripheries.
Formation of Widmanstätten Austenite in Strip Cast Grain-Oriented Silicon Steel
NASA Astrophysics Data System (ADS)
Song, Hong-Yu; Liu, Hai-Tao; Wang, Guo-Dong; Jonas, John J.
2017-04-01
The formation of Widmanstätten austenite was studied in strip cast grain-oriented silicon steel. The microstructure was investigated by optical microscopy and scanning electron microscopy. The orientations of the ferrite, Widmanstätten austenite, and martensite were determined using electron backscatter diffraction. The Widmanstätten austenite exhibits a lath-like shape and nucleates directly on the ferrite grain boundaries. This differs significantly from earlier work on duplex stainless steels. The orientation relationship between the Widmanstätten austenite and the parent ferrite is closer to Kurdjumov-Sachs than to Nishiyama-Wassermann. The ferrite boundaries migrate so as to accommodate the habit planes of the laths, leading to the presence of zigzag boundaries in the as-cast strip. Carbon partitioning into the Widmanstätten austenite and silicon partitioning into the parent ferrite were observed.
NASA Astrophysics Data System (ADS)
Ghosh, P.
2016-01-01
The Compressed Baryonic Matter (CBM) experiment at FAIR is composed of 8 tracking stations consisting of roughly 1300 double sided silicon micro-strip detectors of 3 different dimensions. For the quality assurance of prototype micro-strip detectors a non-invasive detector charaterization is developed. The test system is using a pulsed infrared laser for charge injection and characterization, called Laser Test System (LTS). The system is aimed to develop a set of characterization procedures which are non-invasive (non-destructive) in nature and could be used for quality assurances of several silicon micro-strip detectors in an efficient, reliable and reproducible way. The procedures developed (as reported here) uses the LTS to scan sensors with a pulsed infra-red laser driven by step motor to determine the charge sharing in-between strips and to measure qualitative uniformity of the sensor response over the whole active area. The prototype detector modules which are tested with the LTS so far have 1024 strips with a pitch of 58 μm on each side. They are read-out using a self-triggering prototype read-out electronic ASIC called n-XYTER. The LTS is designed to measure sensor response in an automatized procedure at several thousand positions across the sensor with focused infra-red laser light (spot size ≈ 12 μm, wavelength = 1060 nm). The pulse with a duration of ≈ 10 ns and power ≈ 5 mW of the laser pulse is selected such, that the absorption of the laser light in the 300 μm thick silicon sensor produces ≈ 24000 electrons, which is similar to the charge created by minimum ionizing particles (MIP) in these sensors. The laser scans different prototype sensors and various non-invasive techniques to determine characteristics of the detector modules for the quality assurance is reported.
Restraining for switching effects in an AC driving pixel circuit of the OLED-on-silicon
NASA Astrophysics Data System (ADS)
Liu, Yan-Yan; Geng, Wei-Dong; Dai, Yong-Ping
2010-03-01
The AC driving scheme for OLEDs, which uses the pixel circuit with two transistors and one capacitor (2T1C), can extend the lifetime of the active matrix organic light-emitting diode (AMOLED) on silicon, but there are switching effects during the switch of AC signals, which result in the voltage variation on the storage capacitor and cause the current glitch in OLED. That would decrease the gray scale of the OLED. This paper proposes a novel pixel circuit consisting of three transistors and one capacitor to realize AC driving for the OLED-on-silicon while restraining the switching effects. Simulation results indicate that the proposed circuit is less sensitive to switching effects. Also, another pixel circuit is proposed to further reduce the driving current to meet the current constraints for the OLED-on-silicon.
P-Type Silicon Strip Sensors for the new CMS Tracker at HL-LHC
NASA Astrophysics Data System (ADS)
Adam, W.; Bergauer, T.; Brondolin, E.; Dragicevic, M.; Friedl, M.; Frühwirth, R.; Hoch, M.; Hrubec, J.; König, A.; Steininger, H.; Waltenberger, W.; Alderweireldt, S.; Beaumont, W.; Janssen, X.; Lauwers, J.; Van Mechelen, P.; Van Remortel, N.; Van Spilbeeck, A.; Beghin, D.; Brun, H.; Clerbaux, B.; Delannoy, H.; De Lentdecker, G.; Fasanella, G.; Favart, L.; Goldouzian, R.; Grebenyuk, A.; Karapostoli, G.; Lenzi, Th.; Léonard, A.; Luetic, J.; Postiau, N.; Seva, T.; Vanlaer, P.; Vannerom, D.; Wang, Q.; Zhang, F.; Abu Zeid, S.; Blekman, F.; De Bruyn, I.; De Clercq, J.; D'Hondt, J.; Deroover, K.; Lowette, S.; Moortgat, S.; Moreels, L.; Python, Q.; Skovpen, K.; Van Mulders, P.; Van Parijs, I.; Bakhshiansohi, H.; Bondu, O.; Brochet, S.; Bruno, G.; Caudron, A.; Delaere, C.; Delcourt, M.; De Visscher, S.; Francois, B.; Giammanco, A.; Jafari, A.; Komm, M.; Krintiras, G.; Lemaitre, V.; Magitteri, A.; Mertens, A.; Michotte, D.; Musich, M.; Piotrzkowski, K.; Quertenmont, L.; Szilasi, N.; Vidal Marono, M.; Wertz, S.; Beliy, N.; Caebergs, T.; Daubie, E.; Hammad, G. H.; Härkönen, J.; Lampén, T.; Luukka, P.; Peltola, T.; Tuominen, E.; Tuovinen, E.; Eerola, P.; Tuuva, T.; Baulieu, G.; Boudoul, G.; Caponetto, L.; Combaret, C.; Contardo, D.; Dupasquier, T.; Gallbit, G.; Lumb, N.; Mirabito, L.; Perries, S.; Vander Donckt, M.; Viret, S.; Agram, J.-L.; Andrea, J.; Bloch, D.; Bonnin, C.; Brom, J.-M.; Chabert, E.; Chanon, N.; Charles, L.; Conte, E.; Fontaine, J.-Ch.; Gross, L.; Hosselet, J.; Jansova, M.; Tromson, D.; Autermann, C.; Feld, L.; Karpinski, W.; Kiesel, K. M.; Klein, K.; Lipinski, M.; Ostapchuk, A.; Pierschel, G.; Preuten, M.; Rauch, M.; Schael, S.; Schomakers, C.; Schulz, J.; Schwering, G.; Wlochal, M.; Zhukov, V.; Pistone, C.; Fluegge, G.; Kuensken, A.; Pooth, O.; Stahl, A.; Aldaya, M.; Asawatangtrakuldee, C.; Beernaert, K.; Bertsche, D.; Contreras-Campana, C.; Eckerlin, G.; Eckstein, D.; Eichhorn, T.; Gallo, E.; Garay Garcia, J.; Hansen, K.; Haranko, M.; Harb, A.; Hauk, J.; Keaveney, J.; Kalogeropoulos, A.; Kleinwort, C.; Lohmann, W.; Mankel, R.; Maser, H.; Mittag, G.; Muhl, C.; Mussgiller, A.; Pitzl, D.; Reichelt, O.; Savitskyi, M.; Schuetze, P.; Walsh, R.; Zuber, A.; Biskop, H.; Buhmann, P.; Centis-Vignali, M.; Garutti, E.; Haller, J.; Hoffmann, M.; Lapsien, T.; Matysek, M.; Perieanu, A.; Scharf, Ch.; Schleper, P.; Schmidt, A.; Schwandt, J.; Sonneveld, J.; Steinbrück, G.; Vormwald, B.; Wellhausen, J.; Abbas, M.; Amstutz, C.; Barvich, T.; Barth, Ch.; Boegelspacher, F.; De Boer, W.; Butz, E.; Caselle, M.; Colombo, F.; Dierlamm, A.; Freund, B.; Hartmann, F.; Heindl, S.; Husemann, U.; Kornmayer, A.; Kudella, S.; Muller, Th.; Simonis, H. J.; Steck, P.; Weber, M.; Weiler, Th.; Anagnostou, G.; Asenov, P.; Assiouras, P.; Daskalakis, G.; Kyriakis, A.; Loukas, D.; Paspalaki, L.; Siklér, F.; Veszprémi, V.; Bhardwaj, A.; Dalal, R.; Jain, G.; Ranjan, K.; Bakhshiansohl, H.; Behnamian, H.; Khakzad, M.; Naseri, M.; Cariola, P.; Creanza, D.; De Palma, M.; De Robertis, G.; Fiore, L.; Franco, M.; Loddo, F.; Silvestris, L.; Maggi, G.; Martiradonna, S.; My, S.; Selvaggi, G.; Albergo, S.; Cappello, G.; Chiorboli, M.; Costa, S.; Di Mattia, A.; Giordano, F.; Potenza, R.; Saizu, M. A.; Tricomi, A.; Tuve, C.; Barbagli, G.; Brianzi, M.; Ciaranfi, R.; Ciulli, V.; Civinini, C.; D'Alessandro, R.; Focardi, E.; Latino, G.; Lenzi, P.; Meschini, M.; Paoletti, S.; Russo, L.; Scarlini, E.; Sguazzoni, G.; Strom, D.; Viliani, L.; Ferro, F.; Lo Vetere, M.; Robutti, E.; Dinardo, M. E.; Fiorendi, S.; Gennai, S.; Malvezzi, S.; Manzoni, R. A.; Menasce, D.; Moroni, L.; Pedrini, D.; Azzi, P.; Bacchetta, N.; Bisello, D.; Dall'Osso, M.; Pozzobon, N.; Tosi, M.; De Canio, F.; Gaioni, L.; Manghisoni, M.; Nodari, B.; Riceputi, E.; Re, V.; Traversi, G.; Comotti, D.; Ratti, L.; Alunni Solestizi, L.; Biasini, M.; Bilei, G. M.; Cecchi, C.; Checcucci, B.; Ciangottini, D.; Fanò, L.; Gentsos, C.; Ionica, M.; Leonardi, R.; Manoni, E.; Mantovani, G.; Marconi, S.; Mariani, V.; Menichelli, M.; Modak, A.; Morozzi, A.; Moscatelli, F.; Passeri, D.; Placidi, P.; Postolache, V.; Rossi, A.; Saha, A.; Santocchia, A.; Storchi, L.; Spiga, D.; Androsov, K.; Azzurri, P.; Arezzini, S.; Bagliesi, G.; Basti, A.; Boccali, T.; Borrello, L.; Bosi, F.; Castaldi, R.; Ciampa, A.; Ciocci, M. A.; Dell'Orso, R.; Donato, S.; Fedi, G.; Giassi, A.; Grippo, M. T.; Ligabue, F.; Lomtadze, T.; Magazzu, G.; Martini, L.; Mazzoni, E.; Messineo, A.; Moggi, A.; Morsani, F.; Palla, F.; Palmonari, F.; Raffaelli, F.; Rizzi, A.; Savoy-Navarro, A.; Spagnolo, P.; Tenchini, R.; Tonelli, G.; Venturi, A.; Verdini, P. G.; Bellan, R.; Costa, M.; Covarelli, R.; Da Rocha Rolo, M.; Demaria, N.; Rivetti, A.; Dellacasa, G.; Mazza, G.; Migliore, E.; Monteil, E.; Pacher, L.; Ravera, F.; Solano, A.; Fernandez, M.; Gomez, G.; Jaramillo Echeverria, R.; Moya, D.; Gonzalez Sanchez, F. J.; Vila, I.; Virto, A. L.; Abbaneo, D.; Ahmed, I.; Albert, E.; Auzinger, G.; Berruti, G.; Bianchi, G.; Blanchot, G.; Bonnaud, J.; Caratelli, A.; Ceresa, D.; Christiansen, J.; Cichy, K.; Daguin, J.; D'Auria, A.; Detraz, S.; Deyrail, D.; Dondelewski, O.; Faccio, F.; Frank, N.; Gadek, T.; Gill, K.; Honma, A.; Hugo, G.; Jara Casas, L. M.; Kaplon, J.; Kornmayer, A.; Kottelat, L.; Kovacs, M.; Krammer, M.; Lenoir, P.; Mannelli, M.; Marchioro, A.; Marconi, S.; Mersi, S.; Martina, S.; Michelis, S.; Moll, M.; Onnela, A.; Orfanelli, S.; Pavis, S.; Peisert, A.; Pernot, J.-F.; Petagna, P.; Petrucciani, G.; Postema, H.; Rose, P.; Tropea, P.; Troska, J.; Tsirou, A.; Vasey, F.; Vichoudis, P.; Verlaat, B.; Zwalinski, L.; Bachmair, F.; Becker, R.; di Calafiori, D.; Casal, B.; Berger, P.; Djambazov, L.; Donega, M.; Grab, C.; Hits, D.; Hoss, J.; Kasieczka, G.; Lustermann, W.; Mangano, B.; Marionneau, M.; Martinez Ruiz del Arbol, P.; Masciovecchio, M.; Meinhard, M.; Perozzi, L.; Roeser, U.; Starodumov, A.; Tavolaro, V.; Wallny, R.; Zhu, D.; Amsler, C.; Bösiger, K.; Caminada, L.; Canelli, F.; Chiochia, V.; de Cosa, A.; Galloni, C.; Hreus, T.; Kilminster, B.; Lange, C.; Maier, R.; Ngadiuba, J.; Pinna, D.; Robmann, P.; Taroni, S.; Yang, Y.; Bertl, W.; Deiters, K.; Erdmann, W.; Horisberger, R.; Kaestli, H.-C.; Kotlinski, D.; Langenegger, U.; Meier, B.; Rohe, T.; Streuli, S.; Cussans, D.; Flacher, H.; Goldstein, J.; Grimes, M.; Jacob, J.; Seif El Nasr-Storey, S.; Cole, J.; Hoad, C.; Hobson, P.; Morton, A.; Reid, I. D.; Auzinger, G.; Bainbridge, R.; Dauncey, P.; Hall, G.; James, T.; Magnan, A.-M.; Pesaresi, M.; Raymond, D. M.; Uchida, K.; Garabedian, A.; Heintz, U.; Narain, M.; Nelson, J.; Sagir, S.; Speer, T.; Swanson, J.; Tersegno, D.; Watson-Daniels, J.; Chertok, M.; Conway, J.; Conway, R.; Flores, C.; Lander, R.; Pellett, D.; Ricci-Tam, F.; Squires, M.; Thomson, J.; Yohay, R.; Burt, K.; Ellison, J.; Hanson, G.; Olmedo, M.; Si, W.; Yates, B. R.; Gerosa, R.; Sharma, V.; Vartak, A.; Yagil, A.; Zevi Della Porta, G.; Dutta, V.; Gouskos, L.; Incandela, J.; Kyre, S.; Mullin, S.; Patterson, A.; Qu, H.; White, D.; Dominguez, A.; Bartek, R.; Cumalat, J. P.; Ford, W. T.; Jensen, F.; Johnson, A.; Krohn, M.; Leontsinis, S.; Mulholland, T.; Stenson, K.; Wagner, S. R.; Apresyan, A.; Bolla, G.; Burkett, K.; Butler, J. N.; Canepa, A.; Cheung, H. W. K.; Chramowicz, J.; Christian, D.; Cooper, W. E.; Deptuch, G.; Derylo, G.; Gingu, C.; Grünendahl, S.; Hasegawa, S.; Hoff, J.; Howell, J.; Hrycyk, M.; Jindariani, S.; Johnson, M.; Kahlid, F.; Lei, C. M.; Lipton, R.; Lopes De Sá, R.; Liu, T.; Los, S.; Matulik, M.; Merkel, P.; Nahn, S.; Prosser, A.; Rivera, R.; Schneider, B.; Sellberg, G.; Shenai, A.; Spiegel, L.; Tran, N.; Uplegger, L.; Voirin, E.; Berry, D. R.; Chen, X.; Ennesser, L.; Evdokimov, A.; Evdokimov, O.; Gerber, C. E.; Hofman, D. J.; Makauda, S.; Mills, C.; Sandoval Gonzalez, I. D.; Alimena, J.; Antonelli, L. J.; Francis, B.; Hart, A.; Hill, C. S.; Parashar, N.; Stupak, J.; Bortoletto, D.; Bubna, M.; Hinton, N.; Jones, M.; Miller, D. H.; Shi, X.; Tan, P.; Baringer, P.; Bean, A.; Khalil, S.; Kropivnitskaya, A.; Majumder, D.; Wilson, G.; Ivanov, A.; Mendis, R.; Mitchell, T.; Skhirtladze, N.; Taylor, R.; Anderson, I.; Fehling, D.; Gritsan, A.; Maksimovic, P.; Martin, C.; Nash, K.; Osherson, M.; Swartz, M.; Xiao, M.; Bloom, K.; Claes, D. R.; Fangmeier, C.; Gonzalez Suarez, R.; Monroy, J.; Siado, J.; Hahn, K.; Sevova, S.; Sung, K.; Trovato, M.; Bartz, E.; Gershtein, Y.; Halkiadakis, E.; Kyriacou, S.; Lath, A.; Nash, K.; Osherson, M.; Schnetzer, S.; Stone, R.; Walker, M.; Malik, S.; Norberg, S.; Ramirez Vargas, J. E.; Alyari, M.; Dolen, J.; Godshalk, A.; Harrington, C.; Iashvili, I.; Kharchilava, A.; Nguyen, D.; Parker, A.; Rappoccio, S.; Roozbahani, B.; Alexander, J.; Chaves, J.; Chu, J.; Dittmer, S.; McDermott, K.; Mirman, N.; Rinkevicius, A.; Ryd, A.; Salvati, E.; Skinnari, L.; Soffi, L.; Tao, Z.; Thom, J.; Tucker, J.; Zientek, M.; Akgün, B.; Ecklund, K. M.; Kilpatrick, M.; Nussbaum, T.; Zabel, J.; Betchart, B.; Covarelli, R.; Demina, R.; Hindrichs, O.; Petrillo, G.; Eusebi, R.; Osipenkov, I.; Perloff, A.; Ulmer, K. A.
2017-06-01
The upgrade of the LHC to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at the CMS experiment. Based on these results, the collaboration has chosen to use n-in-p type silicon sensors and focus further investigations on the optimization of that sensor type. This paper describes the main measurement results and conclusions that motivated this decision.
Study of the effects of neutron irradiation on silicon strip detectors
NASA Astrophysics Data System (ADS)
Guibellino, P.; Panizza, G.; Hall, G.; Sotthibandhu, S.; Ziock, H. J.; Ferguson, P.; Sommer, W. F.; Edwards, M.; Cartiglia, N.; Hubbard, B.; Lesloe, J.; Pitzl, D.; O'Shaughnessy, K.; Rowe, W.; Sadoziski, H. F.-W.; Seiden, A.; Spencer, E.
1992-05-01
Silicon strip detectors and test structures were exposed to neutron fluences up to Φ = 6.1 × 10 14 n/cm 2, using the ISIS neutron source at the Rutherford Appleton Laboratory (UK). In this paper we report some of our results concerning the effects of displacement damage, with a comparison of devices made of silicon of different resistivity. The various samples exposed showed a very similar dependence of the leakage current on the fluence received. We studied the change of effective doping concentration, and observed a behaviour suggesting the onset of type inversion at a fluence of ˜ 2.0 × 10 13 n/cm 2, a value which depends on the initial doping concentration. The linear increase of the depletion voltage for fluences higher than the inversion point could eventually determine the maximum fluence tolerable by silicon detectors.
Transparent silicon strip sensors for the optical alignment of particle detector systems
NASA Astrophysics Data System (ADS)
Blum, W.; Kroha, H.; Widmann, P.
1996-02-01
Modern large-area precision tracking detectors require increasing accuracy for the alignment of their components. A novel multi-point laser alignment system has been developed for such applications. The position of detector components with respect to reference laser beams is monitored by semi-transparent optical position sensors which work on the principle of silicon strip photodiodes. Two types of custom designed transparent strip sensors, based on crystalline and on amorphous silicon as active material, have been studied. The sensors are optimized for the typical diameters of collimated laser beams of 3-5 mm over distances of 10-20 m. They provide very high position resolution, on the order of 1 μm, uniformly over a wide measurement range of several centimeters. The preparation of the sensor surfaces requires special attention in order to achieve high light transmittance and minimum distortion of the traversing laser beams. At selected wavelengths, produced by laser diodes, transmission rates above 90% have been achieved. This allows to position more than 30 sensors along one laser beam. The sensors will be equipped with custom designed integrated readout electronics.
Study of 236U/238U ratio at CIRCE using a 16-strip silicon detector with a TOF system
NASA Astrophysics Data System (ADS)
De Cesare, M.; De Cesare, N.; D'Onofrio, A.; Gialanella, L.; Terrasi, F.
2015-04-01
Accelerator Mass Spectrometry (AMS) is presently the most sensitive technique for the measurement of long-lived actinides, e.g. 236U and xPu isotopes. A new actinide AMS system, based on a 3-MV pelletron tandem accelerator, is operated at the Center for Isotopic Research on Cultural and Environmental Heritage (CIRCE) in Caserta, Italy. In this paper we report on the procedure adopted to increase the 236U abundance sensitivity as low as possible. The energy and position determinations of the 236U ions, using a 16-strip silicon detector have been obtained. A 236U/238U isotopic ratio background level of about 2.9×10-11 was obtained, summing over all the strips, using a Time of Flight-Energy (TOF-E) system with a 16-strip silicon detector (4.9×10-12 just with one strip).
P-Type Silicon Strip Sensors for the new CMS Tracker at HL-LHC
Adam, W.; Bergauer, T.; Brondolin, E.; ...
2017-06-27
The upgrade of the LHC to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at the CMS experiment. Based on these results, the collaboration has chosen to use n-in-p type silicon sensors and focus further investigations on the optimization of that sensor type. Furthermore, this paper describes the main measurement results and conclusions thatmore » motivated this decision.« less
P-Type Silicon Strip Sensors for the new CMS Tracker at HL-LHC
DOE Office of Scientific and Technical Information (OSTI.GOV)
Adam, W.; Bergauer, T.; Brondolin, E.
The upgrade of the LHC to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at the CMS experiment. Based on these results, the collaboration has chosen to use n-in-p type silicon sensors and focus further investigations on the optimization of that sensor type. Furthermore, this paper describes the main measurement results and conclusions thatmore » motivated this decision.« less
NASA Astrophysics Data System (ADS)
Merhej, M.; Honegger, T.; Bassani, F.; Baron, T.; Peyrade, D.; Drouin, D.; Salem, B.
2018-01-01
The assembly of semiconductor nanowires with nanoscale precision is crucial for their integration into functional systems. In this work, we propose a novel method to experimentally determine the real part of the Clausius-Mossotti factor (CMF) of silicon and silicon-germanium nanowires. The quantification of this CMF is measured with the nanowires velocities in a pure dielectrophoretic regime. This approach combined with a study on the connected nanowires alignment yield has led to a frequency of capture evaluation. In addition, we have also presented the morphology of nanowires assembly using dielectrophoresis for a wide frequency variation of AC electric fields.
NASA Astrophysics Data System (ADS)
Barbier, G.; Cadoux, F.; Clark, A.; Endo, M.; Favre, Y.; Ferrere, D.; Gonzalez-Sevilla, S.; Hanagaki, K.; Hara, K.; Iacobucci, G.; Ikegami, Y.; Jinnouchi, O.; La Marra, D.; Nakamura, K.; Nishimura, R.; Perrin, E.; Seez, W.; Takubo, Y.; Takashima, R.; Terada, S.; Todome, K.; Unno, Y.; Weber, M.
2014-04-01
It is expected that after several years of data-taking, the Large Hadron Collider (LHC) physics programme will be extended to the so-called High-Luminosity LHC, where the instantaneous luminosity will be increased up to 5 × 1034 cm-2 s-1. For the general-purpose ATLAS experiment at the LHC, a complete replacement of its internal tracking detector will be necessary, as the existing detector will not provide the required performance due to the cumulated radiation damage and the increase in the detector occupancy. The baseline layout for the new ATLAS tracker is an all-silicon-based detector, with pixel sensors in the inner layers and silicon micro-strip detectors at intermediate and outer radii. The super-module (SM) is an integration concept proposed for the barrel strip region of the future ATLAS tracker, where double-sided stereo silicon micro-strip modules (DSM) are assembled into a low-mass local support (LS) structure. Mechanical aspects of the proposed LS structure are described.
Approaching total absorption of graphene strips using a c-Si subwavelength periodic membrane
NASA Astrophysics Data System (ADS)
Sang, Tian; Wang, Rui; Li, Junlang; Zhou, Jianyu; Wang, Yueke
2018-04-01
Approaching total absorption of graphene strips at near infrared using a crystalline-silicon (c-Si) subwavelength periodic membrane (SPM) is presented. The absorption in graphene strips in a c-Si SPM is enhanced by a resonant tip, which is resulted from the coupling between the guided mode and the radiation mode through symmetry breaking of the structure at near-normal incidence. The enhancement of the electric field intensity is increased 1939 times and the group velocity of light is decreased to 3.55 ×10-4c at resonance, and 99.3% absorption in graphene strips can be achieved by critical coupling at the incident angle of 2°. High absorption of the graphene strips can be maintained as the etching thickness, the strip width, and the period are altered. When this type of c-Si SPM with graphene strips is used in refractive index sensors, it shows excellent sensing properties due to its stable near-unity absorption.
Impact of low-dose electron irradiation on $$n^{+}p$$ silicon strip sensors
Adam, W.
2015-08-28
The response of n +p silicon strip sensors to electrons from a 90Sr source was measured using a multi-channel read-out system with 25 ns sampling time. The measurements were performed over a period of several weeks, during which the operating conditions were varied. The sensors were fabricated by Hamamatsu Photonics on 200 μm thick float-zone and magnetic-Czochralski silicon. Their pitch was 80 μm, and both p-stop and p-spray isolation of the n + strips were studied. The electrons from the 90Sr source were collimated to a spot with a full-width-at-half-maximum of 2 mm at the sensor surface, and the dosemore » rate in the SiO 2 at the maximum was about 50 Gy(SiO 2)/d. After only a few hours of making measurements, significant changes in charge collection and charge sharing were observed. Annealing studies, with temperatures up to 80 °C and annealing times of 18 h showed that the changes can only be partially annealed. The observations can be qualitatively explained by the increase of the positive oxide-charge density due to the ionization of the SiO 2 by the radiation from the β source. TCAD simulations of the electric field in the sensor for different oxide-charge densities and different boundary conditions at the sensor surface support this explanation. As a result, the relevance of the measurements for the design of n +p strip sensors is discussed.« less
NASA Astrophysics Data System (ADS)
Printz, Martin; CMS Tracker Collaboration
2016-09-01
In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to 1.5 ×1015neq /cm2 corresponding to 3000fb-1 after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20 cm < R < 110 cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolation but simultaneously high breakdown voltages. Therefore a study of the isolation characteristics with four different silicon sensor manufacturers has been executed in order to determine the most suitable p-stop parameters for the harsh radiation environment during HL-LHC. Several p-stop doping concentrations, doping depths and different p-stop pattern have been realized and experiments before and after irradiation with protons and neutrons have been performed and compared to T-CAD simulation studies with Synopsys Sentaurus. The measurements combine the electrical characteristics measured with a semi-automatic probestation with Sr90 signal measurements and analogue readout. Furthermore, some samples have been investigated with the help of a cosmic telescope with high resolution allowing charge collection studies of MIPs penetrating the sensor between two strips.
Large tuning of birefringence in two strip silicon waveguides via optomechanical motion.
Ma, Jing; Povinelli, Michelle L
2009-09-28
We present an optomechanical method to tune phase and group birefringence in parallel silicon strip waveguides. We first calculate the deformation of suspended, parallel strip waveguides due to optical forces. We optimize the frequency and polarization of the pump light to obtain a 9 nm deformation for an optical power of 20 mW. Widely tunable phase and group birefringence can be achieved by varying the pump power, with maximum values of 0.026 and 0.13, respectively. The giant phase birefringence allows linear to circular polarization conversion within 30 microm for a pump power of 67 mW. The group birefringence gives a tunable differential group delay of 6fs between orthogonal polarizations. We also evaluate the tuning performance of waveguides with different cross sections.
NASA Astrophysics Data System (ADS)
Krimmer, J.; Ley, J.-L.; Abellan, C.; Cachemiche, J.-P.; Caponetto, L.; Chen, X.; Dahoumane, M.; Dauvergne, D.; Freud, N.; Joly, B.; Lambert, D.; Lestand, L.; Létang, J. M.; Magne, M.; Mathez, H.; Maxim, V.; Montarou, G.; Morel, C.; Pinto, M.; Ray, C.; Reithinger, V.; Testa, E.; Zoccarato, Y.
2015-07-01
A Compton camera is being developed for the purpose of ion-range monitoring during hadrontherapy via the detection of prompt-gamma rays. The system consists of a scintillating fiber beam tagging hodoscope, a stack of double sided silicon strip detectors (90×90×2 mm3, 2×64 strips) as scatter detectors, as well as bismuth germanate (BGO) scintillation detectors (38×35×30 mm3, 100 blocks) as absorbers. The individual components will be described, together with the status of their characterization.
Ovejero, M C; Pérez Vega-Leal, A; Gallardo, M I; Espino, J M; Selva, A; Cortés-Giraldo, M A; Arráns, R
2017-02-01
The aim of this work is to present a new data acquisition, control, and analysis software system written in LabVIEW. This system has been designed to obtain the dosimetry of a silicon strip detector in polyethylene. It allows the full automation of the experiments and data analysis required for the dosimetric characterization of silicon detectors. It becomes a useful tool that can be applied in the daily routine check of a beam accelerator.
Prototyping of Silicon Strip Detectors for the Inner Tracker of the ALICE Experiment
NASA Astrophysics Data System (ADS)
Sokolov, Oleksiy
2006-04-01
The ALICE experiment at CERN will study heavy ion collisions at a center-of-mass energy 5.5˜TeV per nucleon. Particle tracking around the interaction region at radii r<45 cm is done by the Inner Tracking System (ITS), consisting of six cylindrical layers of silicon detectors. The outer two layers of the ITS use double-sided silicon strip detectors. This thesis focuses on testing of these detectors and performance studies of the detector module prototypes at the beam test. Silicon strip detector layers will require about 20 thousand HAL25 front-end readout chips and about 3.5 thousand hybrids each containing 6 HAL25 chips. During the assembly procedure, chips are bonded on a patterned TAB aluminium microcables which connect to all the chip input and output pads, and then the chips are assembled on the hybrids. Bonding failures at the chip or hybrid level may either render the component non-functional or deteriorate its the performance such that it can not be used for the module production. After each bonding operation, the component testing is done to reject the non-functional or poorly performing chips and hybrids. The LabView-controlled test station for this operation has been built at Utrecht University and was successfully used for mass production acceptance tests of chips and hybrids at three production labs. The functionality of the chip registers, bonding quality and analogue functionality of the chips and hybrids are addressed in the test. The test routines were optimized to minimize the testing time to make sure that testing is not a bottleneck of the mass production. For testing of complete modules the laser scanning station with 1060 nm diode laser has been assembled at Utrecht University. The testing method relies of the fact that a response of the detector module to a short collimated laser beam pulse resembles a response to a minimum ionizing particle. A small beam spot size (˜7 μm ) allows to deposit the charge in a narrow region and measure the
Investigation of the thickness non-uniformity of the very thin silicon-strip detectors
NASA Astrophysics Data System (ADS)
Liu, Qiang; Ye, Yanlin; Li, Zhihuan; Lin, Chengjian; Jia, Huiming; Ge, Yucheng; Li, Qite; Lou, Jianling; Yang, Xiaofei; Yang, Biao; Feng, Jun; Zang, Hongliang; Chen, Zhiqiang; Liu, Yang; Liu, Wei; Chen, Sidong; Yu, Hanzhou; Li, Jingjing; Zhang, Yun; Yang, Feng; Yang, Lei; Ma, Nanru; Sun, Lijie; Wang, Dongxi
2018-07-01
The properties of some very thin (∼ 20 μm) large-area Single-sided Silicon-Strip Detectors (SSSDs) were investigated by using the 12C-particles elastically scattered from a Au target. In the detection system, each thin SSSD was installed in front of a thick (300 μm or 500 μm) Double-sided Silicon-Strip Detector (DSSD) to form a ΔE - E particle-telescope. The energy calibration of these detectors was realized by varying the beam energy and also by the irradiation from a three-component α-particle source. The thickness distribution each SSSD is precisely determined from the energy loss in the thin layer, which was independently measured by the corresponding DSSD. It is found that, for the SSSD with the nominal thicknesses of ∼ 20 μm, the real thickness may vary by several μm over the active area. The reason for this large non-uniformity still needs to be investigated. For the present application, this non-uniformity could be corrected according to the known pixel-thickness. This correction allows to restore a good particle identification (PID) performance for the entire large-area detector, the importance of which is demonstrated by an example of measuring the cluster-decays of the highly-excited resonant states in 16O.
NASA Astrophysics Data System (ADS)
Mori, R.; Allport, P. P.; Baca, M.; Broughton, J.; Chisholm, A.; Nikolopoulos, K.; Pyatt, S.; Thomas, J. P.; Wilson, J. A.; Kierstead, J.; Kuczewski, P.; Lynn, D.; Arratia-Munoz, M. I.; Hommels, L. B. A.; Ullan, M.; Fleta, C.; Fernandez-Tejero, J.; Bloch, I.; Gregor, I. M.; Lohwasser, K.; Poley, L.; Tackmann, K.; Trofimov, A.; Yildirim, E.; Hauser, M.; Jakobs, K.; Kuehn, S.; Mahboubi, K.; Parzefall, U.; Clark, A.; Ferrere, D.; Sevilla, S. Gonzalez; Ashby, J.; Blue, A.; Bates, R.; Buttar, C.; Doherty, F.; McMullen, T.; McEwan, F.; O'Shea, V.; Kamada, S.; Yamamura, K.; Ikegami, Y.; Nakamura, K.; Takubo, Y.; Unno, Y.; Takashima, R.; Chilingarov, A.; Fox, H.; Affolder, A. A.; Casse, G.; Dervan, P.; Forshaw, D.; Greenall, A.; Wonsak, S.; Wormald, M.; Cindro, V.; Kramberger, G.; Mandić, I.; Mikuž, M.; Gorelov, I.; Hoeferkamp, M.; Palni, P.; Seidel, S.; Taylor, A.; Toms, K.; Wang, R.; Hessey, N. P.; Valencic, N.; Hanagaki, K.; Dolezal, Z.; Kodys, P.; Bohm, J.; Stastny, J.; Mikestikova, M.; Bevan, A.; Beck, G.; Milke, C.; Domingo, M.; Fadeyev, V.; Galloway, Z.; Hibbard-Lubow, D.; Liang, Z.; Sadrozinski, H. F.-W.; Seiden, A.; To, K.; French, R.; Hodgson, P.; Marin-Reyes, H.; Parker, K.; Jinnouchi, O.; Hara, K.; Sato, K.; Sato, K.; Hagihara, M.; Iwabuchi, S.; Bernabeu, J.; Civera, J. V.; Garcia, C.; Lacasta, C.; Garcia, S. Marti i.; Rodriguez, D.; Santoyo, D.; Solaz, C.; Soldevila, U.
2016-09-01
The upgrade to the High-Luminosity LHC foreseen in about ten years represents a great challenge for the ATLAS inner tracker and the silicon strip sensors in the forward region. Several strip sensor designs were developed by the ATLAS collaboration and fabricated by Hamamatsu in order to maintain enough performance in terms of charge collection efficiency and its uniformity throughout the active region. Of particular attention, in the case of a stereo-strip sensor, is the area near the sensor edge where shorter strips were ganged to the complete ones. In this work the electrical and charge collection test results on irradiated miniature sensors with forward geometry are presented. Results from charge collection efficiency measurements show that at the maximum expected fluence, the collected charge is roughly halved with respect to the one obtained prior to irradiation. Laser measurements show a good signal uniformity over the sensor. Ganged strips have a similar efficiency as standard strips.
Dwell Time and Surface Parameter Effects on Removal of Silicone Oil From D6ac Steel Using TCA
NASA Technical Reports Server (NTRS)
Boothe, R. E.
2003-01-01
This study was conducted to evaluate the impact of dwell time, surface roughness, and the surface activation state on 1,1,1-trichloroethane's (TCA's) effectiveness for removing silicone oil from D6ac steel. Silicone-contaminated test articles were washed with TCA solvent, and then the surfaces were analyzed for residue, using Fourier transform infrared spectroscopy. The predominant factor affecting the ability to remove the silicone oil was surface roughness.
Kazys, Rymantas J.; Sliteris, Reimondas; Sestoke, Justina
2017-01-01
For improvement of the efficiency of air-coupled ultrasonic transducers PMN-32%PT piezoelectric crystals which possess very high piezoelectric properties may be used. The electromechanical coupling factor of such crystals for all main vibration modes such as the thickness extension and transverse extension modes is more than 0.9. Operation of ultrasonic transducers with such piezoelectric elements in transmitting and receiving modes is rather different. Therefore, for transmission and reception of ultrasonic signals, separate piezoelectric elements with different dimensions must be used. The objective of this research was development of novel air-coupled ultrasonic receivers with PMN-32%PT strip-like piezoelectric elements vibrating in a transverse-extension mode with electromechanically controlled operation and suitable for applications in ultrasonic arrays. Performance of piezoelectric receivers made of the PMN-32%PT strip-like elements vibrating in this mode may be efficiently controlled by selecting geometry of the electrodes covering side surfaces of the piezoelectric element. It is equivalent to introduction of electromechanical damping which does not require any additional backing element. For this purpose; we have proposed the continuous electrodes to divide into two pairs of electrodes. The one pair is used to pick up the electric signal; another one is exploited for electromechanical damping. Two types of electrodes may be used—rectangular or non-rectangular—with a gap between them directed at some angle, usually 45°. The frequency bandwidth is wider (up to 9 kHz) in the case of non-rectangular electrodes. The strip-like acoustic matching element bonded to the tip of the PMN-32%PT crystal may significantly enhance the performance of the ultrasonic receiver. It was proposed to use for this purpose AIREX T10.110 rigid polymer foam, the acoustic impedance of which is close to the optimal value necessary for matching with air. It was found that in order
Kazys, Rymantas J; Sliteris, Reimondas; Sestoke, Justina
2017-10-16
For improvement of the efficiency of air-coupled ultrasonic transducers PMN-32%PT piezoelectric crystals which possess very high piezoelectric properties may be used. The electromechanical coupling factor of such crystals for all main vibration modes such as the thickness extension and transverse extension modes is more than 0.9. Operation of ultrasonic transducers with such piezoelectric elements in transmitting and receiving modes is rather different. Therefore, for transmission and reception of ultrasonic signals, separate piezoelectric elements with different dimensions must be used. The objective of this research was development of novel air-coupled ultrasonic receivers with PMN-32%PT strip-like piezoelectric elements vibrating in a transverse-extension mode with electromechanically controlled operation and suitable for applications in ultrasonic arrays. Performance of piezoelectric receivers made of the PMN-32%PT strip-like elements vibrating in this mode may be efficiently controlled by selecting geometry of the electrodes covering side surfaces of the piezoelectric element. It is equivalent to introduction of electromechanical damping which does not require any additional backing element. For this purpose; we have proposed the continuous electrodes to divide into two pairs of electrodes. The one pair is used to pick up the electric signal; another one is exploited for electromechanical damping. Two types of electrodes may be used-rectangular or non-rectangular-with a gap between them directed at some angle, usually 45°. The frequency bandwidth is wider (up to 9 kHz) in the case of non-rectangular electrodes. The strip-like acoustic matching element bonded to the tip of the PMN-32%PT crystal may significantly enhance the performance of the ultrasonic receiver. It was proposed to use for this purpose AIREX T10.110 rigid polymer foam, the acoustic impedance of which is close to the optimal value necessary for matching with air. It was found that in order to
A novel ultra-low carbon grain oriented silicon steel produced by twin-roll strip casting
NASA Astrophysics Data System (ADS)
Wang, Yang; Zhang, Yuan-Xiang; Lu, Xiang; Fang, Feng; Xu, Yun-Bo; Cao, Guang-Ming; Li, Cheng-Gang; Misra, R. D. K.; Wang, Guo-Dong
2016-12-01
A novel ultra-low carbon grain oriented silicon steel was successfully produced by strip casting and two-stage cold rolling method. The microstructure, texture and precipitate evolution under different first cold rolling reduction were investigated. It was shown that the as-cast strip was mainly composed of equiaxed grains and characterized by very weak Goss texture ({110}<001>) and λ-fiber (<001>//ND). The coarse sulfides of size 100 nm were precipitated at grain boundaries during strip casting, while nitrides remained in solution in the as-cast strip and the fine AlN particles of size 20-50 nm, which were used as grain growth inhibitors, were formed in intermediate annealed sheet after first cold rolling. In addition, the suitable Goss nuclei for secondary recrystallization were also formed during intermediate annealing, which is totally different from the conventional process that the Goss nuclei originated in the subsurface layer of the hot rolled sheet. Furthermore, the number of AlN inhibitors and the intensity of desirable Goss texture increased with increasing first cold rolling reduction. After secondary recrystallization annealing, very large grains of size 10-40 mm were formed and the final magnetic induction, B8, was as high as 1.9 T.
Strong spin-photon coupling in silicon
NASA Astrophysics Data System (ADS)
Samkharadze, N.; Zheng, G.; Kalhor, N.; Brousse, D.; Sammak, A.; Mendes, U. C.; Blais, A.; Scappucci, G.; Vandersypen, L. M. K.
2018-03-01
Long coherence times of single spins in silicon quantum dots make these systems highly attractive for quantum computation, but how to scale up spin qubit systems remains an open question. As a first step to address this issue, we demonstrate the strong coupling of a single electron spin and a single microwave photon. The electron spin is trapped in a silicon double quantum dot, and the microwave photon is stored in an on-chip high-impedance superconducting resonator. The electric field component of the cavity photon couples directly to the charge dipole of the electron in the double dot, and indirectly to the electron spin, through a strong local magnetic field gradient from a nearby micromagnet. Our results provide a route to realizing large networks of quantum dot–based spin qubit registers.
NASA Astrophysics Data System (ADS)
Ikeda, Hirokazu; Ikeda, Mitsuo; Inaba, Susumu; Tanaka, Manobu
1993-06-01
We describe a prototype data acquisition system for a silicon strip detector, which has been developed in terms of a digital readout scheme. The system consists of a master timing generator, readout controller, and a detector emulator card on which we use custom VLSI shift registers with operating clock frequency of 30 MHz.
Bent silicon strip crystals for high-energy charged particle beam collimation
NASA Astrophysics Data System (ADS)
Germogli, G.; Mazzolari, A.; Guidi, V.; Romagnoni, M.
2017-07-01
For applications in high energy particles accelerators, such as the crystal-assisted beam collimation, several strip crystals exploiting anticlastic curvature were produced in the last decade at the Sensor and Semiconductor Laboratory (SSL) of Ferrara by means of revisited techniques for silicon micromachining, such as photolitography and wet etching. Those techniques were recently enhanced by introducing a further treatment called Magnetorheological Finishing (MRF), which allowed to fabricate crystals with ultraflat surface and miscut very close to zero. The technology of the mechanical devices used to hold and bend crystals has been also improved by employing a titanium alloy to realize the holders. Characterization method were also improved: the usage of a high resolution X-rays diffractometer was introduced to directly measure crystal bending and torsion. Accuracy of the diffractometer was furtherly enhanced with an autocollimator, which found an important application in miscut characterization. A new infrared light interferometer was used to map the thickness of the starting swafers with sub-micrometric precision, as well as to measure the length along the beam of the strips. Crystals were characterized at the H8 external lines of CERN-SPS with various hundreds-GeV ion beams, which gave results in agreement with the precharacterization performed at SSL. One strip was selected among the crystals to be installed in the LHC beam pipe during the Long Shutdown 1 in 2014. These crystals were very recently tested in a crystal-assisted collimation experiment with a 6.5 TeV proton beam, resulting in the first observation of channeling at this record energy, being also the first observation of channeling of the beam circulating in the LHC.
Polymer taper bridge for silicon waveguide to single mode waveguide coupling
NASA Astrophysics Data System (ADS)
Kruse, Kevin; Middlebrook, Christopher T.
2016-03-01
Coupling of optical power from high-density silicon waveguides to silica optical fibers for signal routing can incur high losses and often requires complex end-face preparation/processing. Novel coupling device taper structures are proposed for low coupling loss between silicon photonic waveguides and single mode fibers are proposed and devices are fabricated and measured in terms of performance. Theoretical mode conversion models for waveguide tapers are derived for optimal device structure design and performance. Commercially viable vertical and multi-layer taper designs using polymer waveguide materials are proposed as innovative, cost-efficient, and mass-manufacturable optical coupling devices. The coupling efficiency for both designs is determined to evaluate optimal device dimensions and alignment tolerances with both silicon rib waveguides and silicon nanowire waveguides. Propagation loss as a function of waveguide roughness and metallic loss are determined and correlated to waveguide dimensions to obtain total insertion loss for the proposed taper designs. Multi-layer tapers on gold-sputtered substrates are fabricated through photolithography as proof-of-concept devices and evaluated for device loss optimization. Tapered waveguide coupling loss with Si WGs (2.74 dB) was experimentally measured with high correlation to theoretical results.
NASA Astrophysics Data System (ADS)
Di Domenico, Giovanni; Zavattini, Guido; Cesca, Nicola; Auricchio, Natalia; Andritschke, Robert; Schopper, Florian; Kanbach, Gottfried
2007-02-01
We investigated with Monte Carlo simulations, using the EGSNrcMP code, the capabilities of a small animal PET scanner based on four stacks of double-sided silicon strip detectors. Each stack consists of 40 silicon detectors with dimension of 60×60×1 mm 3 and 128 orthogonal strips on each side. Two coordinates of the interaction are given by the strips, whereas the third coordinate is given by the detector number in the stack. The stacks are arranged to form a box of 5×5×6 cm 3 with minor sides opened; the box represents the minimal FOV of the scanner. The performance parameters of the SiliPET scanner have been estimated giving a (positron range limited) spatial resolution of 0.52 mm FWHM, and an absolute sensitivity of 5.1% at the center of system. Preliminary results of a proof of principle measurement done with the MEGA advanced Compton imager using a ≈1 mm diameter 22Na source, showed a focal ray tracing FWHM of 1 mm.
NASA Astrophysics Data System (ADS)
Poley, L.; Bloch, I.; Edwards, S.; Friedrich, C.; Gregor, I.-M.; Jones, T.; Lacker, H.; Pyatt, S.; Rehnisch, L.; Sperlich, D.; Wilson, J.
2016-05-01
The Phase-II upgrade of the ATLAS detector for the High Luminosity Large Hadron Collider (HL-LHC) includes the replacement of the current Inner Detector with an all-silicon tracker consisting of pixel and strip detectors. The current Phase-II detector layout requires the construction of 20,000 strip detector modules consisting of sensor, circuit boards and readout chips, which are connected mechanically using adhesives. The adhesive used initially between readout chips and circuit board is a silver epoxy glue as was used in the current ATLAS SemiConductor Tracker (SCT). However, this glue has several disadvantages, which motivated the search for an alternative. This paper presents a study of six ultra-violet (UV) cure glues and a glue pad for possible use in the assembly of silicon strip detector modules for the ATLAS upgrade. Trials were carried out to determine the ease of use, thermal conduction and shear strength. Samples were thermally cycled, radiation hardness and corrosion resistance were also determined. These investigations led to the exclusion of three UV cure glues as well as the glue pad. Three UV cure glues were found to be possible better alternatives than silver loaded glue. Results from electrical tests of first prototype modules constructed using these glues are presented.
Signal coupling to embedded pitch adapters in silicon sensors
NASA Astrophysics Data System (ADS)
Artuso, M.; Betancourt, C.; Bezshyiko, I.; Blusk, S.; Bruendler, R.; Bugiel, S.; Dasgupta, R.; Dendek, A.; Dey, B.; Ely, S.; Lionetto, F.; Petruzzo, M.; Polyakov, I.; Rudolph, M.; Schindler, H.; Steinkamp, O.; Stone, S.
2018-01-01
We have examined the effects of embedded pitch adapters on signal formation in n-substrate silicon microstrip sensors with data from beam tests and simulation. According to simulation, the presence of the pitch adapter metal layer changes the electric field inside the sensor, resulting in slowed signal formation on the nearby strips and a pick-up effect on the pitch adapter. This can result in an inefficiency to detect particles passing through the pitch adapter region. All these effects have been observed in the beam test data.
NASA Astrophysics Data System (ADS)
Ghosh, P.
2015-03-01
The Compressed Baryonic Matter (CBM) experiment at FAIR is composed of 8 tracking stations consisting of 1292 double sided silicon micro-strip sensors. For the quality assurance of produced prototype sensors a laser test system (LTS) has been developed. The aim of the LTS is to scan sensors with a pulsed infra-red laser driven by step motor to determine the charge sharing in-between strips and to measure qualitative uniformity of the sensor response over the whole active area. The prototype sensors which are tested with the LTS so far have 256 strips with a pitch of 50 μm on each side. They are read-out using a self-triggering prototype read-out electronic ASIC called n-XYTER. The LTS is designed to measure sensor response in an automatized procedure at several thousand positions across the sensor with focused infra-red laser light (spot size ≈ 12 μm , wavelength = 1060 nm). The pulse with duration (≈ 10 ns) and power (≈ 5 mW) of the laser pulses is selected such, that the absorption of the laser light in the 300 μm thick silicon sensors produces a number of about 24000 electrons, which is similar to the charge created by minimum ionizing particles (MIP) in these sensors. Laser scans different prototype sensors is reported.
Characterization of X3 Silicon Detectors for the ELISSA Array at ELI-NP
NASA Astrophysics Data System (ADS)
Chesnevskaya, S.; Balabanski, D. L.; Choudhury, D.; Cognata, M. La; Constantin, P.; Filipescu, D. M.; Ghita, D. G.; Guardo, G. L.; Lattuada, D.; Matei, C.; Rotaru, A.; Spitaleri, C.; State, A.; Xu, Y.
2018-01-01
Position-sensitive silicon strip detectors represent one of the best solutions for the detection of charged particles as they provide good energy and position resolution over a large range of energies. A silicon array coupled with the gamma beams at the ELI-NP facility would allow measuring photodissociation reactions of interest for Big Bang Nucleosynthesis and on heavy nuclei intervening in the p-process. Forty X3 detectors for our ELISSA (ELI-NP Silicon Strip Detectors Array) project have been recently purchased and tested. We investigated several specifications, such as leakage currents, depletion voltage, and detector stability under vacuum. The energy and position resolution, and ballistic deficit were measured and analyzed. This paper presents the main results of our extensive testing. The measured energy resolution for the X3 detectors is better than results published for similar arrays (ANASEN or ORRUBA).
Strong coupling of a single electron in silicon to a microwave photon
NASA Astrophysics Data System (ADS)
Mi, X.; Cady, J. V.; Zajac, D. M.; Deelman, P. W.; Petta, J. R.
2017-01-01
Silicon is vital to the computing industry because of the high quality of its native oxide and well-established doping technologies. Isotopic purification has enabled quantum coherence times on the order of seconds, thereby placing silicon at the forefront of efforts to create a solid-state quantum processor. We demonstrate strong coupling of a single electron in a silicon double quantum dot to the photonic field of a microwave cavity, as shown by the observation of vacuum Rabi splitting. Strong coupling of a quantum dot electron to a cavity photon would allow for long-range qubit coupling and the long-range entanglement of electrons in semiconductor quantum dots.
NASA Astrophysics Data System (ADS)
Härkönen, J.; Tuovinen, E.; Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T.; Junkes, A.; Wu, X.; Li, Z.
2016-08-01
Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n+ segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO2 interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al2O3) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current-voltage and capacitance-voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×1015 neq/cm2 proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.
Energy-resolved CT imaging with a photon-counting silicon-strip detector
NASA Astrophysics Data System (ADS)
Persson, Mats; Huber, Ben; Karlsson, Staffan; Liu, Xuejin; Chen, Han; Xu, Cheng; Yveborg, Moa; Bornefalk, Hans; Danielsson, Mats
2014-03-01
Photon-counting detectors are promising candidates for use in the next generation of x-ray CT scanners. Among the foreseen benefits are higher spatial resolution, better trade-off between noise and dose, and energy discriminating capabilities. Silicon is an attractive detector material because of its low cost, mature manufacturing process and high hole mobility. However, it is sometimes claimed to be unsuitable for use in computed tomography because of its low absorption efficiency and high fraction of Compton scatter. The purpose of this work is to demonstrate that high-quality energy-resolved CT images can nonetheless be acquired with clinically realistic exposure parameters using a photon-counting silicon-strip detector with eight energy thresholds developed in our group. We use a single detector module, consisting of a linear array of 50 0.5 × 0.4 mm detector elements, to image a phantom in a table-top lab setup. The phantom consists of a plastic cylinder with circular inserts containing water, fat and aqueous solutions of calcium, iodine and gadolinium, in different concentrations. We use basis material decomposition to obtain water, calcium, iodine and gadolinium basis images and demonstrate that these basis images can be used to separate the different materials in the inserts. We also show results showing that the detector has potential for quantitative measurements of substance concentrations.
Hybrid Circuit Quantum Electrodynamics: Coupling a Single Silicon Spin Qubit to a Photon
2015-01-01
HYBRID CIRCUIT QUANTUM ELECTRODYNAMICS: COUPLING A SINGLE SILICON SPIN QUBIT TO A PHOTON PRINCETON UNIVERSITY JANUARY 2015 FINAL...SILICON SPIN QUBIT TO A PHOTON 5a. CONTRACT NUMBER FA8750-12-2-0296 5b. GRANT NUMBER N/A 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) Jason R. Petta...architectures. 15. SUBJECT TERMS Quantum Computing, Quantum Hybrid Circuits, Quantum Electrodynamics, Coupling a Single Silicon Spin Qubit to a Photon
Strong coupling of a single electron in silicon to a microwave photon.
Mi, X; Cady, J V; Zajac, D M; Deelman, P W; Petta, J R
2017-01-13
Silicon is vital to the computing industry because of the high quality of its native oxide and well-established doping technologies. Isotopic purification has enabled quantum coherence times on the order of seconds, thereby placing silicon at the forefront of efforts to create a solid-state quantum processor. We demonstrate strong coupling of a single electron in a silicon double quantum dot to the photonic field of a microwave cavity, as shown by the observation of vacuum Rabi splitting. Strong coupling of a quantum dot electron to a cavity photon would allow for long-range qubit coupling and the long-range entanglement of electrons in semiconductor quantum dots. Copyright © 2017, American Association for the Advancement of Science.
NASA Astrophysics Data System (ADS)
Di Pietro, V.; Brinkmann, K.-Th.; Riccardi, A.; Ritman, J.; Rivetti, A.; Rolo, M. D.; Stockmanns, T.; Zambanini, A.
2016-03-01
The bar PANDA (Antiproton Annihilation at Darmstadt) experiment foresees many detectors for tracking, particle identification and calorimetry. Among them, the innermost is the MVD (Micro Vertex Detector) responsible for a precise tracking and the reconstruction of secondary vertices. This detector will be built from both hybrid pixel (two inner barrels and six forward disks) and double-sided micro strip (two outer barrels and outer rim of the last two disks) silicon sensors. A time-based approach has been chosen for the readout ASIC of the strip sensors. The PASTA (bar PANDA Strip ASIC) chip aims at high resolution time-stamping and charge information through the Time over Threshold (ToT) technique. It benefits from a Time to Digital Converter (TDC) allowing a time bin width down to 50 ps. The analog front-end was designed to serve both n-type and p-type strips and the performed simulations show remarkable performances in terms of linearity and electronic noise. The TDC consists of an analog interpolator, a digital local controller, and a digital global controller as the common back-end for all of the 64 channels.
Brillouin Optomechanics in Coupled Silicon Microcavities
NASA Astrophysics Data System (ADS)
Espinel, Y. A. V.; Santos, F. G. S.; Luiz, G. O.; Alegre, T. P. Mayer; Wiederhecker, G. S.
2017-03-01
The simultaneous control of optical and mechanical waves has enabled a range of fundamental and technological breakthroughs, from the demonstration of ultra-stable frequency reference devices, to the exploration of the quantum-classical boundaries in optomechanical laser-cooling experiments. More recently, such an optomechanical interaction has been observed in integrated nano-waveguides and microcavities in the Brillouin regime, where short-wavelength mechanical modes scatter light at several GHz. Here we engineer coupled optical microcavities to enable a low threshold excitation of mechanical travelling-wave modes through backward stimulated Brillouin scattering. Exploring the backward scattering we propose silicon microcavity designs based on laterally coupled single and double-layer cavities, the proposed structures enable optomechanical coupling with very high frequency modes (11 to 25 GHz) and large optomechanical coupling rates (g0/2π) from 50 kHz to 90 kHz.
Broadband and scalable optical coupling for silicon photonics using polymer waveguides
NASA Astrophysics Data System (ADS)
La Porta, Antonio; Weiss, Jonas; Dangel, Roger; Jubin, Daniel; Meier, Norbert; Horst, Folkert; Offrein, Bert Jan
2018-04-01
We present optical coupling schemes for silicon integrated photonics circuits that account for the challenges in large-scale data processing systems such as those used for emerging big data workloads. Our waveguide based approach allows to optimally exploit the on-chip optical feature size, and chip- and package real-estate. It further scales well to high numbers of channels and is compatible with state-of-the-art flip-chip die packaging. We demonstrate silicon waveguide to polymer waveguide coupling losses below 1.5 dB for both the O- and C-bands with a polarisation dependent loss of <1 dB. Over 100 optical silicon waveguide to polymer waveguide interfaces were assembled within a single alignment step, resulting in a physical I/O channel density of up to 13 waveguides per millimetre along the chip-edge, with an average coupling loss of below 3.4 dB measured at 1310 nm.
Optomechanical coupling in phoxonic–plasmonic slab cavities with periodic metal strips
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lin, Tzy-Rong; Institute of Optoelectronic Sciences, National Taiwan Ocean University, Keelung 20224, Taiwan; Huang, Yin-Chen
2015-05-07
We theoretically investigate the optomechanical (OM) coupling of submicron cavities formed in one-dimensional phoxonic–plasmonic slabs. The phoxonic–plasmonic slabs are structured by depositing periodic Ag strips onto the top surfaces of dielectric GaAs slabs to produce dual band gaps for both electromagnetic and acoustic waves, thereby inducing the coupling of surface plasmons with photons for tailoring the OM coupling. We quantify the OM coupling by calculating the temporal modulation of the optical resonance wavelength with the acoustic phonon-induced photoelastic (PE) and moving-boundary (MB) effects. We also consider the appearance of a uniform Ag layer on the bottom surface of the slabsmore » to modulate the photonic–plasmonic coupling. The results show that the PE and MB effects can be constructive or destructive in the overall OM coupling, and their magnitudes depend not only on the quality factors of the resonant modes but also on the mode area, mode overlap, and individual symmetries of the photonic–phononic mode pairs. Lowering the mode area could be effective for enhancing the OM coupling of subwavelength photons and phonons. This study introduces possible engineering applications to achieve enhanced interaction between photons and phonons in nanoscale OM devices.« less
Ultra-thin silicon/electro-optic polymer hybrid waveguide modulators
DOE Office of Scientific and Technical Information (OSTI.GOV)
Qiu, Feng; Spring, Andrew M.; Sato, Hiromu
2015-09-21
Ultra-thin silicon and electro-optic (EO) polymer hybrid waveguide modulators have been designed and fabricated. The waveguide consists of a silicon core with a thickness of 30 nm and a width of 2 μm. The cladding is an EO polymer. Optical mode calculation reveals that 55% of the optical field around the silicon extends into the EO polymer in the TE mode. A Mach-Zehnder interferometer (MZI) modulator was prepared using common coplanar electrodes. The measured half-wave voltage of the MZI with 7 μm spacing and 1.3 cm long electrodes is 4.6 V at 1550 nm. The evaluated EO coefficient is 70 pm/V, which is comparable to that ofmore » the bulk EO polymer film. Using ultra-thin silicon is beneficial in order to reduce the side-wall scattering loss, yielding a propagation loss of 4.0 dB/cm. We also investigated a mode converter which couples light from the hybrid EO waveguide into a strip silicon waveguide. The calculation indicates that the coupling loss between these two devices is small enough to exploit the potential fusion of a hybrid EO polymer modulator together with a silicon micro-photonics device.« less
Silicon quantum processor with robust long-distance qubit couplings.
Tosi, Guilherme; Mohiyaddin, Fahd A; Schmitt, Vivien; Tenberg, Stefanie; Rahman, Rajib; Klimeck, Gerhard; Morello, Andrea
2017-09-06
Practical quantum computers require a large network of highly coherent qubits, interconnected in a design robust against errors. Donor spins in silicon provide state-of-the-art coherence and quantum gate fidelities, in a platform adapted from industrial semiconductor processing. Here we present a scalable design for a silicon quantum processor that does not require precise donor placement and leaves ample space for the routing of interconnects and readout devices. We introduce the flip-flop qubit, a combination of the electron-nuclear spin states of a phosphorus donor that can be controlled by microwave electric fields. Two-qubit gates exploit a second-order electric dipole-dipole interaction, allowing selective coupling beyond the nearest-neighbor, at separations of hundreds of nanometers, while microwave resonators can extend the entanglement to macroscopic distances. We predict gate fidelities within fault-tolerance thresholds using realistic noise models. This design provides a realizable blueprint for scalable spin-based quantum computers in silicon.Quantum computers will require a large network of coherent qubits, connected in a noise-resilient way. Tosi et al. present a design for a quantum processor based on electron-nuclear spins in silicon, with electrical control and coupling schemes that simplify qubit fabrication and operation.
Silicon coupled with plasmon nanocavities generates bright visible hot luminescence
NASA Astrophysics Data System (ADS)
Cho, Chang-Hee; Aspetti, Carlos O.; Park, Joohee; Agarwal, Ritesh
2013-04-01
To address the limitations in device speed and performance in silicon-based electronics, there have been extensive studies on silicon optoelectronics with a view to achieving ultrafast optical data processing. The biggest challenge has been to develop an efficient silicon-based light source, because the indirect bandgap of silicon gives rise to extremely low emission efficiencies. Although light emission in quantum-confined silicon at sub-10 nm length scales has been demonstrated, there are difficulties in integrating quantum structures with conventional electronics. It is desirable to develop new concepts to obtain emission from silicon at length scales compatible with current electronic devices (20-100 nm), which therefore do not utilize quantum-confinement effects. Here, we demonstrate an entirely new method to achieve bright visible light emission in `bulk-sized' silicon coupled with plasmon nanocavities at room temperature, from non-thermalized carrier recombination. The highly enhanced emission (internal quantum efficiency of >1%) in plasmonic silicon, together with its size compatibility with current silicon electronics, provides new avenues for developing monolithically integrated light sources on conventional microchips.
Gauge Factor and Stretchability of Silicon-on-Polymer Strain Gauges
Yang, Shixuan; Lu, Nanshu
2013-01-01
Strain gauges are widely applied to measure mechanical deformation of structures and specimens. While metallic foil gauges usually have a gauge factor slightly over 2, single crystalline silicon demonstrates intrinsic gauge factors as high as 200. Although silicon is an intrinsically stiff and brittle material, flexible and even stretchable strain gauges have been achieved by integrating thin silicon strips on soft and deformable polymer substrates. To achieve a fundamental understanding of the large variance in gauge factor and stretchability of reported flexible/stretchable silicon-on-polymer strain gauges, finite element and analytically models are established to reveal the effects of the length of the silicon strip, and the thickness and modulus of the polymer substrate. Analytical results for two limiting cases, i.e., infinitely thick substrate and infinitely long strip, have found good agreement with FEM results. We have discovered that strains in silicon resistor can vary by orders of magnitude with different substrate materials whereas strip length or substrate thickness only affects the strain level mildly. While the average strain in silicon reflects the gauge factor, the maximum strain in silicon governs the stretchability of the system. The tradeoff between gauge factor and stretchability of silicon-on-polymer strain gauges has been proposed and discussed. PMID:23881128
Contrast cancellation technique applied to digital x-ray imaging using silicon strip detectors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Avila, C.; Lopez, J.; Sanabria, J. C.
2005-12-15
Dual-energy mammographic imaging experimental tests have been performed using a compact dichromatic imaging system based on a conventional x-ray tube, a mosaic crystal, and a 384-strip silicon detector equipped with full-custom electronics with single photon counting capability. For simulating mammal tissue, a three-component phantom, made of Plexiglass, polyethylene, and water, has been used. Images have been collected with three different pairs of x-ray energies: 16-32 keV, 18-36 keV, and 20-40 keV. A Monte Carlo simulation of the experiment has also been carried out using the MCNP-4C transport code. The Alvarez-Macovski algorithm has been applied both to experimental and simulated datamore » to remove the contrast between two of the phantom materials so as to enhance the visibility of the third one.« less
Range gated strip proximity sensor
McEwan, T.E.
1996-12-03
A range gated strip proximity sensor uses one set of sensor electronics and a distributed antenna or strip which extends along the perimeter to be sensed. A micro-power RF transmitter is coupled to the first end of the strip and transmits a sequence of RF pulses on the strip to produce a sensor field along the strip. A receiver is coupled to the second end of the strip, and generates a field reference signal in response to the sequence of pulse on the line combined with received electromagnetic energy from reflections in the field. The sensor signals comprise pulses of radio frequency signals having a duration of less than 10 nanoseconds, and a pulse repetition rate on the order of 1 to 10 MegaHertz or less. The duration of the radio frequency pulses is adjusted to control the range of the sensor. An RF detector feeds a filter capacitor in response to received pulses on the strip line to produce a field reference signal representing the average amplitude of the received pulses. When a received pulse is mixed with a received echo, the mixing causes a fluctuation in the amplitude of the field reference signal, providing a range-limited Doppler type signature of a field disturbance. 6 figs.
Range gated strip proximity sensor
McEwan, Thomas E.
1996-01-01
A range gated strip proximity sensor uses one set of sensor electronics and a distributed antenna or strip which extends along the perimeter to be sensed. A micro-power RF transmitter is coupled to the first end of the strip and transmits a sequence of RF pulses on the strip to produce a sensor field along the strip. A receiver is coupled to the second end of the strip, and generates a field reference signal in response to the sequence of pulse on the line combined with received electromagnetic energy from reflections in the field. The sensor signals comprise pulses of radio frequency signals having a duration of less than 10 nanoseconds, and a pulse repetition rate on the order of 1 to 10 MegaHertz or less. The duration of the radio frequency pulses is adjusted to control the range of the sensor. An RF detector feeds a filter capacitor in response to received pulses on the strip line to produce a field reference signal representing the average amplitude of the received pulses. When a received pulse is mixed with a received echo, the mixing causes a fluctuation in the amplitude of the field reference signal, providing a range-limited Doppler type signature of a field disturbance.
Innovative and water based stripping approach for thick and bulk photoresists
NASA Astrophysics Data System (ADS)
Rudolph, Matthias; Schumann, Dirk; Thrun, Xaver; Esche, Silvio; Hohle, Christoph
2014-10-01
The usage of phase fluid based stripping agents to remove photoresists from silicon substrates was studied. Photoresists are required for many silicon based technologies such as MEMS patterning, 3D-Integration or frontend and backend of line semiconductor applications [1]. Although the use of resists is very common, their successful integration often depends on the ability to remove the resist after certain processing steps. On the one hand the resist is changing during subsequent process steps that can cause a thermally activated cross-linking which increases the stripping complexity. Resist removal is also challenging after the formation of a hard polymer surface layer during plasma or implant processes which is called skin or crust [2]. On the other hand the choice of stripping chemistry is often limited due to the presence of functional materials such as metals which can be damaged by aggressive stripping chemistries [3].
The AGILE silicon tracker: an innovative /γ-ray instrument for space
NASA Astrophysics Data System (ADS)
Prest, M.; Barbiellini, G.; Bordignon, G.; Fedel, G.; Liello, F.; Longo, F.; Pontoni, C.; Vallazza, E.
2003-03-01
AGILE (Light Imager for Gamma-ray Astrophysics) is the first small scientific mission of ASI, the Italian Space Agency. It is a light (100kg for the scientific instrument) satellite for the detection of /γ-ray sources in the energy range 30MeV-50GeV within a large field of view (1/4 of the sky). It is planned to be operational in the years 2003-2006, a period in which no other gamma-ray mission in the same energy range is foreseen. AGILE is made of a silicon tungsten tracker, a CsI(Tl) minicalorimeter (1.5X0), an anticoincidence system of segmented plastic scintillators and a X-ray imaging detector sensitive in the 10-40keV range. The tracker consists of 14 planes, each of them made of two layers of 16 single-sided, AC coupled, 410μm thick, 9.5×9.5cm2 silicon detectors with a readout pitch of 242μm and a floating strip. The readout ASIC is the TAA1, an analog-digital, low noise, self-triggering ASIC used in a very low power configuration (<400μW/channel) with full analog readout. The trigger of the satellite is given by the tracker. The total number of readout channels is around 43000. We present a detailed description of the tracker, its trigger and readout logic, its assembly procedures and the prototype performance in several testbeam periods at the CERN PS.
NASA Astrophysics Data System (ADS)
Hirsch, Jens; Gaudig, Maria; Bernhard, Norbert; Lausch, Dominik
2016-06-01
The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF6 and O2 are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 1015 cm-3 minority carrier density (MCD) after an atomic layer deposition (ALD) with Al2O3. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique to substitute the industrial state of the art wet chemical textures in the solar cell mass production.
Development of double-sided silicon strip detectors for solar hard x-ray observation
NASA Astrophysics Data System (ADS)
Saito, Shinya; Ishikawa, Shin-Nosuke; Watanabe, Shin; Odaka, Hirokazu; Sugimoto, Soichiro; Fukuyama, Taro; Kokubun, Motohide; Takahashi, Tadayuki; Terada, Yukikatsu; Tajima, Hiroyasu; Tanaka, Takaaki; Krucker, Säm; Christe, Steven; McBride, Steve; Glesener, Lindsay
2010-07-01
The Focusing Optics X-ray Solar Imager (FOXSI) is a rocket experiment scheduled for January 2011 launch. FOXSI observes 5 - 15 keV hard X-ray emission from quiet-region solar flares in order to study the acceleration process of electrons and the mechanism of coronal heating. For observing faint hard X-ray emission, FOXSI uses focusing optics for the first time in solar hard X-ray observation, and attains 100 times higher sensitivity than RHESSI, which is the present solar hard X-ray observing satellite. Now our group is working on developments of both Double-sided Silicon Strip Detector (DSSD) and read-out analog ASIC "VATA451" used for FOXSI. Our DSSD has a very fine strip pitch of 75 μm, which has sufficient position resolution for FOXSI mirrors with angular resolution (FWHM) of 12 arcseconds. DSSD also has high spectral resolution and efficiency in the FOXSI's energy range of 5 - 15 keV, when it is read out by our 64-channel analog ASIC. In advance of the FOXSI launch, we have established and tested a setup of 75 μm pitch DSSD bonded with "VATA451" ASICs. We successfully read out from almost all the channels of the detector, and proved ability to make a shadow image of tungsten plate. We also confirmed that our DSSD has energy resolution (FWHM) of 0.5 keV, lower threshold of 5 keV, and position resolution less than 63 μm. These performance satisfy FOXSI's requirements.
Low Noise Double-Sided Silicon Strip Detector for Multiple-Compton Gamma-ray Telescope
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tajima, Hiroyasu
2002-12-03
A Semiconductor Multiple-Compton Telescope (SMCT) is being developed to explore the gamma-ray universe in an energy band 0.1-20 MeV, which is not well covered by the present or near-future gamma-ray telescopes. The key feature of the SMCT is the high energy resolution that is crucial for high angular resolution and high background rejection capability. We have developed prototype modules for a low noise Double-sided Silicon Strip Detector (DSSD) system which is an essential element of the SMCT. The geometry of the DSSD is optimized to achieve the lowest noise possible. A new front-end VLSI device optimized for low noise operationmore » is also developed. We report on the design and test results of the prototype system. We have reached an energy resolution of 1.3 keV (FWHM) for 60 keV and 122 keV at 0 C.« less
Muñoz-Rosas, Ana Luz; Alonso-Huitrón, Juan Carlos
2018-01-01
Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs) embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs) to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD) in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC)-sputtering technique, and an aluminum doped zinc oxide thin film (AZO) which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL) enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL) enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer. PMID:29565267
Nuclear-driven electron spin rotations in a coupled silicon quantum dot and single donor system
NASA Astrophysics Data System (ADS)
Harvey-Collard, Patrick; Jacobson, Noah Tobias; Rudolph, Martin; Ten Eyck, Gregory A.; Wendt, Joel R.; Pluym, Tammy; Lilly, Michael P.; Pioro-Ladrière, Michel; Carroll, Malcolm S.
Single donors in silicon are very good qubits. However, a central challenge is to couple them to one another. To achieve this, many proposals rely on using a nearby quantum dot (QD) to mediate an interaction. In this work, we demonstrate the coherent coupling of electron spins between a single 31P donor and an enriched 28Si metal-oxide-semiconductor few-electron QD. We show that the electron-nuclear spin interaction can drive coherent rotations between singlet and triplet electron spin states. Moreover, we are able to tune electrically the exchange interaction between the QD and donor electrons. The combination of single-nucleus-driven rotations and voltage-tunable exchange provides all elements for future all-electrical control of a spin qubit, and requires only a single dot and no additional magnetic field gradients. This work was performed, in part, at the Center for Integrated Nanotechnologies, an Office of Science User Facility operated for the U.S. Department of Energy (DOE) Office of Science. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. DOE's National Nuclear Security Administration under Contract DE-AC04-94AL85000.
Sciacca, Beniamino; Alvarez, Sara D.; Geobaldo, Francesco; Sailor, Michael J.
2011-01-01
The high stability of Salonen’s thermally carbonized porous silicon (TCPSi) has attracted attention for environmental and biochemical sensing applications, where corrosion-induced zero point drift of porous silicon-based sensor elements has historically been a significant problem. Prepared by the high temperature reaction of porous silicon with acetylene gas, the stability of this silicon carbide-like material also poses a challenge—many sensor applications require a functionalized surface, and the low reactivity of TCPSi has limited the ability to chemically modify its surface. This work presents a simple reaction to modify the surface of TCPSi with an alkyl carboxylate. The method involves radical coupling of a dicarboxylic acid (sebacic acid) to the TCPSi surface using a benzoyl peroxide initiator. The grafted carboxylic acid species provides a route for bioconjugate chemical modification, demonstrated in this work by coupling propylamine to the surface carboxylic acid group through the intermediacy of pentafluorophenol and 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide hydrochloride (EDC). The stability of the carbonized porous Si surface, both before and after chemical modification, is tested in phosphate buffered saline solution and found to be superior to either hydrosilylated (with undecylenic acid) or thermally oxidized porous Si surfaces. PMID:20967329
de Oliveira, Daniel Maia Nogueira; Batista-Lima, Francisco José; de Carvalho, Emanuella Feitosa; Havt, Alexandre; da Silva, Moisés Tolentino Bento; Dos Santos, Armênio Aguiar; Magalhães, Pedro Jorge Caldas
2017-12-01
What is the central question of this study? Acute acidosis that results from short-term exercise is involved in delayed gastric emptying in rats and the lower responsiveness of gastric fundus strips to carbachol. Does extracellular acidosis decrease responsiveness to carbachol in tissues of sedentary rats? How? What is the main finding and its importance? Extracellular acidosis inhibits cholinergic signalling in the rat gastric fundus by selectively influencing the G q/11 protein signalling pathway. Acute acidosis that results from short-term exercise delays gastric emptying in rats and decreases the responsiveness to carbachol in gastric fundus strips. The regulation of cytosolic Ca 2+ concentrations appears to be a mechanism of action of acidosis. The present study investigated the way in which acidosis interferes with gastric smooth muscle contractions. Rat gastric fundus isolated strips at pH 6.0 presented a lower magnitude of carbachol-induced contractions compared with preparations at pH 7.4. This lower magnitude was absent in carbachol-stimulated duodenum and KCl-stimulated gastric fundus strips. In Ca 2+ -free conditions, repeated contractions that were induced by carbachol progressively decreased, with no influence of extracellular pH. In fundus strips, CaCl 2 -induced contractions were lower at pH 6.0 than at pH 7.4 but only when stimulated in the combined presence of carbachol and verapamil. In contrast, verapamil-sensitive contractions that were induced by CaCl 2 in the presence of KCl did not change with pH acidification. In Ca 2+ store-depleted preparations that were treated with thapsigargin, the contractions that were induced by extracellular Ca 2+ restoration were smaller at pH 6.0 than at pH 7.4, but relaxation that was induced by SKF-96365 (an inhibitor of store-operated Ca 2+ entry) was unaltered by extracellular acidification. At pH 6.0, the phospholipase C inhibitor U-73122 relaxed carbachol-induced contractions less than at pH 7
Development of a Broad High-Energy Gamma-Ray Telescope using Silicon Strip Detectors
NASA Technical Reports Server (NTRS)
Michelson, Peter F.
1998-01-01
The research effort has led to the development and demonstration of technology to enable the design and construction of a next-generation high-energy gamma-ray telescope that operates in the pair-production regime (E greater than 10 MeV). In particular, the technology approach developed is based on silicon-strip detector technology. A complete instrument concept based on this technology for the pair-conversion tracker and the use of CsI(T1) crystals for the calorimeter is now the baseline instrument concept for the Gamma-ray Large Area Space Telescope (GLAST) mission. GLAST is NASA's proposed high-energy gamma-ray mission designed to operate in the energy range from 10 MeV to approximately 300 GeV. GLAST, with nearly 100 times the sensitivity of EGRET, operates through pair conversion of gamma-rays and measurement of the direction and energy of the resulting e (+) - e (-) shower. The baseline design, developed with support from NASA includes a charged particle anticoincidence shield, a tracker/converter made of thin sheets of high-Z material interspersed with Si strip detectors, a CsI calorimeter and a programmable data trigger and acquisition system. The telescope is assembled as an array of modules or towers. Each tower contains elements of the tracker, calorimeter, and anticoincidence system. As originally proposed, the telescope design had 49 modules. In the more optimized design that emerged at the end of the grant period the individual modules are larger and the total number in the GLAST array is 25. Also the calorimeter design was advanced substantially to the point that it has a self-contained imaging capability, albeit much cruder than the tracker.
Gabbay, I E; Bahar, I; Nahum, Y; Livny, E
2017-08-01
Descemet's membrane endothelial keratoplasty (DMEK) involves removal of the recipient's Descemet membrane (DM) prior to transplanting the donor's DM. When using balanced salt solution (BSS) or ophthalmic viscosurgical devices (OVDs), visualization of the host's DM during its stripping may be inadequate and may result in Descemet remnants and could lead to sub-optimal surgical results. Previous articles described excellent visualization when utilizing air injection but this requires repeated air injection into the anterior chamber (AC). We present a pilot study that compares different techniques under which DM stripping can be performed: with continuous automated air infusion, with manual air infusion, and with BSS. We retrospectively compared video footage of DM stripping with BSS, with continuous air and with manual injection of air into the AC to determine DM stripping duration and the number of times the surgeon had to insert and retrieve a surgical instrument from the AC. Thirty videos of 10 consecutive cases of the three DM stripping techniques were evaluated. DM stripping duration was 3.26 (±1.32), 3.92 (±1.2) and 12.9 (±3.98) minutes for BSS, continuous air flow, and manual air injection, respectively. Frequency of instrument retrieval (FIR) was 3.6 (±1.71), 1.5 (±0.71) and 15.1 (±3.28) for BSS, continuous air flow, and manual air injection, respectively. Continuous air flow and BSS were both statistically different than manual air injection into the AC (p < 0.05), but did not differ from one another statistically. DM stripping during posterior lamellar surgery is imperative for favorable post-operative results and prevention of complications. Performing this step under air in the AC contributes to better visualization and an efficient surgery.
Silicon quantum processor with robust long-distance qubit couplings
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tosi, Guilherme; Mohiyaddin, Fahd A.; Schmitt, Vivien
Practical quantum computers require a large network of highly coherent qubits, interconnected in a design robust against errors. Donor spins in silicon provide state-of-the-art coherence and quantum gate fidelities, in a platform adapted from industrial semiconductor processing. Here we present a scalable design for a silicon quantum processor that does not require precise donor placement and leaves ample space for the routing of interconnects and readout devices. We introduce the flip-flop qubit, a combination of the electron-nuclear spin states of a phosphorus donor that can be controlled by microwave electric fields. Two-qubit gates exploit a second-order electric dipole-dipole interaction, allowingmore » selective coupling beyond the nearest-neighbor, at separations of hundreds of nanometers, while microwave resonators can extend the entanglement to macroscopic distances. We predict gate fidelities within fault-tolerance thresholds using realistic noise models. This design provides a realizable blueprint for scalable spin-based quantum computers in silicon.« less
Segmented AC-coupled readout from continuous collection electrodes in semiconductor sensors
Sadrozinski, Hartmut F. W.; Seiden, Abraham; Cartiglia, Nicolo
2017-04-04
Position sensitive radiation detection is provided using a continuous electrode in a semiconductor radiation detector, as opposed to the conventional use of a segmented electrode. Time constants relating to AC coupling between the continuous electrode and segmented contacts to the electrode are selected to provide position resolution from the resulting configurations. The resulting detectors advantageously have a more uniform electric field than conventional detectors having segmented electrodes, and are expected to have much lower cost of production and of integration with readout electronics.
Bimetallic strip for low temperature use
Bussiere, Jean F.; Welch, David O.; Suenaga, Masaki
1981-01-01
There is provided a class of mechanically pre-stressed structures, suitably bi-layer strips comprising a layer of group 5 transition metals in intimate contact with a layer of an intermetallic compound of said transition metals with certain group 3A, 4A or 5A metals or metalloids suitably gallium, indium, silicon, germanium, tin, arsenic or antimony. The changes of Young's modulus of these bi-layered combinations at temperatures in the region of but somewhat above absolute zero provides a useful means of sensing temperature changes. Such bi-metallic strips may be used as control strips in thermostats, in direct dial reading instruments, or the like. The structures are made by preparing a sandwich of a group 5B transition metal strip between the substantially thicker strips of an alloy between copper and a predetermined group 3A, 4A or 5A metal or metalloid, holding the three layers of the sandwich in intimate contact heating the same, cooling the same and removing the copper alloy and then removing one of the two thus formed interlayer alloys between said transition metal and the metal previously alloyed with copper.
Silicon web process development
NASA Technical Reports Server (NTRS)
Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Skutch, M. E.; Driggers, J. M.; Hopkins, R. H.
1981-01-01
The silicon web process takes advantage of natural crystallographic stabilizing forces to grow long, thin single crystal ribbons directly from liquid silicon. The ribbon, or web, is formed by the solidification of a liquid film supported by surface tension between two silicon filaments, called dendrites, which border the edges of the growing strip. The ribbon can be propagated indefinitely by replenishing the liquid silicon as it is transformed to crystal. The dendritic web process has several advantages for achieving low cost, high efficiency solar cells. These advantages are discussed.
Rahier, A H; Lunardi, S; Nicolle, F; George, S M
2010-10-15
The sensitive differential pulse anodic stripping voltammetry (DPASV) proposed originally by Ishiyama et al. (2001) has been revised and improved to allow the accurate measurement of silicon on a hanging mercury drop electrode (HMDE) instead of a glassy carbon electrode. We assessed the rate of formation of the partially reduced β-silicododecamolybdate and found that metallic mercury promotes the reaction in the presence of a large concentration of Fe(3+). The scope of the method has been broadened by carrying out the measurements in the presence of a constant amount of Fe(3+). The limit of detection (LOD) of the method described in the present paper is 100 μg Sig(-1) of steel, with a relative precision ranging from 5% to 12%. It can be further enhanced to 700 ng Sig(-1) of steel provided the weight of the sample, the dilution factors, the duration of the electrolysis and the ballast of iron are adequately revised. The tolerance to several interfering species has been examined, especially regarding Al(3+), Cr(3+) and Cr VI species. The method was validated using four low-alloy ferritic steels certified by the National Institute of Standards and Technology (NIST). Its application to nickel base alloys as well as to less complicated matrixes is straightforward. It has also been successfully applied to the determination of free silicon into silicon carbide nano-powder. Copyright © 2010 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Cicuttin, Andres; Colavita, Alberto; Cerdeira, Alberto; Fratnik, Fabio; Vacchi, Andrea
1997-02-01
In this report we describe a mixed analog-digital integrated circuit (IC) designed as the front-end electronics for silicon strip-detectors for space applications. In space power consumption, compactness and robustness become critical constraints for a pre-amplifier design. The IC is a prototype with 32 complete channels, and it is intended for a large area particle tracker of a new generation of gamma ray telescopes. Each channel contains a charge sensitive amplifier, a pulse shaper, a discriminator and two digital buffers. The reference trip point of the discriminator is adjustable. This chip also has a custom PMOSFET transistor per channel, included in order to provide the high dynamic resistance needed to reverse-bias the strip diode. The digital part of the chip is used to store and serially shift out the state of the channels. There is also a storage buffer that allows the disabling of non-functioning channels if it is required by the data acquisition system. An input capacitance of 30 pF introduced at the input of the front-end produces less than 1000 electrons of RMS equivalent noise charge (ENC), for a total power dissipation of only 60 μW per channel. The chip was made using Orbit's 1.2 μm double poly, double metal n-well low noise CMOS process. The dimensions of the IC are 2400 μm × 8840 μm.
Concentrations of MUC16 and MUC5AC using three tear collection methods
Nichols, Jason J.
2017-01-01
Purpose To determine the optimal tear collection method for analysis of ocular surface mucins MUC5AC and MUC16. Methods Fifteen subjects without ocular surface disease were recruited. Subjects presented for tear collection on three separate days for three different tear collection methods with the order of method randomized. Methods used to collect tears from right and left eyes included Schirmer’s strip, basal tear collection, and flush tear collection. All samples from the right eyes were individually analyzed for MUC5AC whereas the left eye samples were individually analyzed for MUC16. For each individual sample, 10 μg of protein were loaded per lane into a 1% (w/v) agarose gel and run in electrophoresis buffer for 2 h. After overnight capillary transfer, membranes were incubated with either MUC5AC antibody CLH2 or MUC16 antibody OC125 for western blot analysis. Blots were developed with enhanced chemiluminescence (ECL) and signals captured with the Odyssey Fc (LI-COR). The relative amounts of MUC5AC and MUC16 were quantified with densitometry using software and compared for statistically significant differences between tear collection methods using the Kruskal–Wallis test in SPSS 22 and GraphPad Prism 7.02. Dunn’s multiple comparisons test was used for pairwise post-hoc comparisons. Results Samples containing less than 10 μg of total protein were not used for analysis which left eight samples (out of 45) unusable. The calculated MUC5AC median signal intensities from Schirmer’s strip, basal tears, and flush tears were 2.86 (n = 15, the interquartile range [IQR] = 2.54–3.21), 1.65 (n = 14, IQR = 1.34–3.1), and 1.67 (n = 9, IQR = 1.42–1.72), respectively (H = 9.5, p = 0.009). Post-hoc pairwise comparisons showed a statistically significant difference between Schirmer’s strip and flush tears (p = 0.01). The calculated MUC16 median signal intensities from Schirmer’s strip, basal tears, and flush tears were 1.88 (n = 14, IQR = 1.43–2.61), 5
Enhanced absorption of graphene strips with a multilayer subwavelength grating structure
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hu, Jin-Hua; Huang, Yong-Qing, E-mail: yqhuang@bupt.edu.cn; Duan, Xiao-Feng
2014-12-01
The optical absorption of graphene strips covered on a multilayer subwavelength grating (MSG) surface is theoretically investigated. The absorption of graphene strips with MSG is enhanced in the wavelength range of 1500 nm to 1600 nm by critical coupling, which is associated with the combined effects of a guided resonance of MSG and its photonic band gap effect. The critical coupling of the graphene strips can be controlled by adjusting the incident angle without changing the structural parameters of MSG. The absorption of graphene strips can also be tuned by varying key parameters, such as grating period, strip width, and incident angle.
Imaging ac losses in superconducting films via scanning Hall probe microscopy
NASA Astrophysics Data System (ADS)
Dinner, Rafael B.; Moler, Kathryn A.; Feldmann, D. Matthew; Beasley, M. R.
2007-04-01
Various local probes have been applied to understanding current flow through superconducting films, which are often surprisingly inhomogeneous. Here, we show that magnetic imaging allows quantitative reconstruction of both current density J and electric field E resolved in time and space in a film carrying subcritical ac current. Current reconstruction entails inversion of the Biot-Savart law, while electric fields are reconstructed using Faraday’s law. We describe the corresponding numerical procedures, largely adapting existing work to the case of a strip carrying ac current, but including other methods of obtaining the complete electric field from the inductive portion determined by Faraday’s law. We also delineate the physical requirements behind the mathematical transformations. We then apply the procedures to images of a strip of YBa2Cu3O7-δ carrying an ac current at 400Hz . Our scanning Hall probe microscope produces a time series of magnetic images of the strip with 1μm spatial resolution and 25μs time resolution. Combining the reconstructed J and E , we obtain a complete characterization including local critical current density, E-J curves, and power losses. This analysis has a range of applications from fundamental studies of vortex dynamics to practical coated conductor development.
Kim, H; Chen, C-T; Eclov, N; Ronzhin, A; Murat, P; Ramberg, E; Los, S; Wyrwicz, Alice M; Li, Limin; Kao, C-M
2015-06-01
We are developing a time-of-flight Positron Emission Tomography (PET) detector by using silicon photo-multipliers (SiPM) on a strip-line and high speed waveform sampling data acquisition. In this design, multiple SiPMs are connected on a single strip-line and signal waveforms on the strip-line are sampled at two ends of the strip to reduce readout channels while fully exploiting the fast time response of SiPMs. In addition to the deposited energy and time information, the position of the hit SiPM along the strip-line is determined by the arrival time difference of the waveform. Due to the insensitivity of the SiPMs to magnetic fields and the compact front-end electronics, the detector approach is highly attractive for developing a PET insert system for a magnetic resonance imaging (MRI) scanner to provide simultaneous PET/MR imaging. To investigate the feasibility, experimental tests using prototype detector modules have been conducted inside a 9.4 Tesla small animal MRI scanner (Bruker BioSpec 94/30 imaging spectrometer). On the prototype strip-line board, 16 SiPMs (5.2 mm pitch) are installed on two strip-lines and coupled to 2 × 8 LYSO scintillators (5.0 × 5.0 × 10.0 mm 3 with 5.2 mm pitch). The outputs of the strip-line boards are connected to a Domino-Ring-Sampler (DRS4) evaluation board for waveform sampling. Preliminary experimental results show that the effect of interference on the MRI image due to the PET detector is negligible and that PET detector performance is comparable with the results measured outside the MRI scanner.
NASA Technical Reports Server (NTRS)
Danford, M. D.; Higgins, R. H.
1983-01-01
The effects of galvanic coupling between D6AC steel, 6061-T6 aluminum, Inconel 718, and graphite-epoxy composite material (G/E) in 3.5% NaCl were studied. Measurements of corrosion potentials, galvanic currents and corrosion rates of the bare metals using weight-loss methods served to establish the need for corrosion protection in cases where D6AC steel and 6061-T6 aluminum are galvanically coupled to G/E in salt water while Inconel 718 was shown to be compatible with G/E. Six tests were made to study corrosion protective methods for eliminating galvanic corrosion in the cases of D6AC steel and 6061-T6 aluminum coupled to G/E. These results indicate that, when the G/E is completely coated with paint or a paint/polyurethane resin combination, satisfactory protection of the D6AC steel is achieved with either a coat of zinc-rich primer or a primer/topcoat combination. Likewise, satisfactory corrosion protection of the aluminum is achieved by coating it with an epoxy coating system.
NASA Astrophysics Data System (ADS)
Covey, John; Chen, Ray T.
2014-03-01
Grating couplers are ideal for coupling into the tightly confined propagation modes of semiconductor waveguides. In addition, nonlinear optics has benefited from the sub-diffraction limit confinement of horizontal slot waveguides. By combining these two advancements, slot-based nonlinear optics with mode areas less than 0.02 μm2 can become as routine as twisting fiber connectors together. Surface normal fiber alignment to a chip is also highly desirable from time, cost, and manufacturing considerations. To meet these considerable design challenges, a custom genetic algorithm is created which, starting from purely random designs, creates a unique four stage grating coupler for two novel horizontal slot waveguide platforms. For horizontal multiple-slot waveguides filled with silicon nanocrystal, a theoretical fiber-towaveguide coupling efficiency of 68% is obtained. For thin silicon waveguides clad with optically active silicon nanocrystal, known as cover-slot waveguides, a theoretical fiber-to-waveguide coupling efficiency of 47% is obtained, and 1 dB and 3 dB theoretical bandwidths of 70 nm and 150 nm are obtained, respectively. Both waveguide platforms are fabricated from scratch, and their respective on-chip grating couplers are experimentally measured from a standard single mode fiber array that is mounted surface normally. The horizontal multiple-slot grating coupler achieved an experimental 60% coupling efficiency, and the horizontal cover-slot grating coupler achieved an experimental 38.7% coupling efficiency, with an extrapolated 1 dB bandwidth of 66 nm. This report demonstrates the promise of genetic algorithm-based design by reducing to practice the first large bandwidth vertical grating coupler to a novel silicon nanocrystal horizontal cover-slot waveguide.
Integrated amorphous silicon-aluminum long-range surface plasmon polariton (LR-SPP) waveguides
NASA Astrophysics Data System (ADS)
Sturlesi, Boaz; Grajower, Meir; Mazurski, Noa; Levy, Uriel
2018-03-01
We demonstrate the design, fabrication, and experimental characterization of a long range surface plasmon polariton waveguide that is compatible with complementary metal-oxide semiconductor backend technology. The structure consists of a thin aluminum strip embedded in amorphous silicon. This configuration offers a symmetric environment in which surface plasmon polariton modes undergo minimal loss. Furthermore, the plasmonic mode profile matches the modes of the dielectric (amorphous silicon) waveguide, thus allowing efficient coupling between silicon photonics and plasmonic platforms. The propagation length of the plasmonic waveguide was measured to be about 27 μm at the telecom wavelength around 1550 nm, in good agreement with numerical simulations. As such, the waveguide features both tight mode confinement and decent propagation length. On top of its photonic properties, placing a metal within the structure may also allow for additional functionalities such as photo-detection, thermo-optic tuning, and electro-optic control to be implemented.
Optimized optical devices for edge-coupling-enabled silicon photonics platform
NASA Astrophysics Data System (ADS)
Png, Ching Eng; Ang, Thomas Y. L.; Ong, Jun Rong; Lim, Soon Thor; Sahin, Ezgi; Chen, G. F. R.; Tan, D. T. H.; Guo, Tina X.; Wang, Hong
2018-02-01
We present a library of high-performance passive and active silicon photonic devices at the C-band that is specifically designed and optimized for edge-coupling-enabled silicon photonics platform. These devices meet the broadband (100 nm), low-loss (< 2dB per device), high speed (>= 25 Gb/s), and polarization diversity requirements (TE and TM polarization extinction ratio <= 25 dB) for optical communication applications. Ultra-low loss edge couplers, broadband directional couplers, high-extinction ratio polarization beam splitters (PBSs), and high-speed modulators are some of the devices within our library. In particular, we have designed and fabricated inverse taper fiber-to-waveguide edge couplers of tip widths ranging from 120 nm to 200 nm, and we obtained a low coupling loss of 1.80+/-0.28 dB for 160 nm tip width. To achieve polarization diversity operation for inverse tapers, we have experimentally realized different designs of polarization beam splitters (PBS). Our optimized PBS has a measured extinction ratio of <= 25 dB for both the quasiTE modes, and quasi-TM modes. Additionally, a broadband (100 nm) directional coupler with a 50/50 power splitting ratio was experimentally realized on a small footprint of 20×3 μm2 . Last but not least, high-speed silicon modulators with a range of carrier doping concentrations and offset of the PN junction can be used to optimise the modulation efficiency, and insertion losses for operation at 25 GHz.
Detecting single-electron events in TEM using low-cost electronics and a silicon strip sensor.
Gontard, Lionel C; Moldovan, Grigore; Carmona-Galán, Ricardo; Lin, Chao; Kirkland, Angus I
2014-04-01
There is great interest in developing novel position-sensitive direct detectors for transmission electron microscopy (TEM) that do not rely in the conversion of electrons into photons. Direct imaging improves contrast and efficiency and allows the operation of the microscope at lower energies and at lower doses without loss in resolution, which is especially important for studying soft materials and biological samples. We investigate the feasibility of employing a silicon strip detector as an imaging detector for TEM. This device, routinely used in high-energy particle physics, can detect small variations in electric current associated with the impact of a single charged particle. The main advantages of using this type of sensor for direct imaging in TEM are its intrinsic radiation hardness and large detection area. Here, we detail design, simulation, fabrication and tests in a TEM of the front-end electronics developed using low-cost discrete components and discuss the limitations and applications of this technology for TEM.
360-degrees profilometry using strip-light projection coupled to Fourier phase-demodulation.
Servin, Manuel; Padilla, Moises; Garnica, Guillermo
2016-01-11
360 degrees (360°) digitalization of three dimensional (3D) solids using a projected light-strip is a well-established technique in academic and commercial profilometers. These profilometers project a light-strip over the digitizing solid while the solid is rotated a full revolution or 360-degrees. Then, a computer program typically extracts the centroid of this light-strip, and by triangulation one obtains the shape of the solid. Here instead of using intensity-based light-strip centroid estimation, we propose to use Fourier phase-demodulation for 360° solid digitalization. The advantage of Fourier demodulation over strip-centroid estimation is that the accuracy of phase-demodulation linearly-increases with the fringe density, while in strip-light the centroid-estimation errors are independent. Here we proposed first to construct a carrier-frequency fringe-pattern by closely adding the individual light-strip images recorded while the solid is being rotated. Next, this high-density fringe-pattern is phase-demodulated using the standard Fourier technique. To test the feasibility of this Fourier demodulation approach, we have digitized two solids with increasing topographic complexity: a Rubik's cube and a plastic model of a human-skull. According to our results, phase demodulation based on the Fourier technique is less noisy than triangulation based on centroid light-strip estimation. Moreover, Fourier demodulation also provides the amplitude of the analytic signal which is a valuable information for the visualization of surface details.
NASA Astrophysics Data System (ADS)
Bisadi, Zahra; Acerbi, Fabio; Fontana, Giorgio; Zorzi, Nicola; Piemonte, Claudio; Pucker, Georg; Pavesi, Lorenzo
2018-02-01
A small-sized photonic quantum random number generator, easy to be implemented in small electronic devices for secure data encryption and other applications, is highly demanding nowadays. Here, we propose a compact configuration with Silicon nanocrystals large area light emitting device (LED) coupled to a Silicon photomultiplier to generate random numbers. The random number generation methodology is based on the photon arrival time and is robust against the non-idealities of the detector and the source of quantum entropy. The raw data show high quality of randomness and pass all the statistical tests in national institute of standards and technology tests (NIST) suite without a post-processing algorithm. The highest bit rate is 0.5 Mbps with the efficiency of 4 bits per detected photon.
Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.
Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali
2013-07-29
We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).
NASA Astrophysics Data System (ADS)
Arteche, F.; Rivetta, C.; Iglesias, M.; Echeverria, I.
2016-05-01
Silicon detectors have been used in astrophysics satellites and particle detectors for high energy physics (HEP) experiments. For HEP applications, EMC studies have been conducted in silicon detectors to characterize the impact of external noise on the system. They have shown that problems associated with the new generation of silicon detectors are related with interferences generated by the power supplies and auxiliary equipment connected to the device. Characterization of these interferences along with the coupling and their propagation into the susceptible front-end circuits is required for a successful integration of these systems. This paper presents the analysis of the sensitivity curves and coupling mechanisms between the noise and the front-end electronics that have been observed during the characterization of two silicon detector prototypes: the CMS-Silicon tracker detector (CMS-ST) and Silicon Vertex Detector (Belle II-SVD). As a result of these studies, it is possible to identify critical elements in prototypes to take corrective actions in the design and improve the front-end electronics performance.
NASA Astrophysics Data System (ADS)
Bugiel, Sz.; Dasgupta, R.; Firlej, M.; Fiutowski, T.; Idzik, M.; Kuczynska, M.; Moron, J.; Swientek, K.; Szumlak, T.
2016-02-01
The Upstream Tracker (UT) silicon strip detector, one of the central parts of the tracker system of the modernised LHCb experiment, will use a new 128-channel readout ASIC called SALT. It will extract and digitise analogue signals from the UT sensors, perform digital signal processing and transmit a serial output data. The SALT is being designed in CMOS 130 nm process and uses a novel architecture comprising of analog front-end and fast (40 MSps) ultra-low power (<0.5 mW) 6-bit ADC in each channel. The prototype ASICs of important functional blocks, like analogue front-end, 6-bit SAR ADC, PLL, and DLL, were designed, fabricated and tested. A prototype of an 8-channel version of the SALT chip, comprising all important functionalities was also designed and fabricated. The architecture and design of the SALT, together with the selected preliminary tests results, are presented.
NASA Astrophysics Data System (ADS)
Rostem, Karwan; Ali, Aamir; Appel, John W.; Bennett, Charles L.; Brown, Ari; Chang, Meng-Ping; Chuss, David T.; Colazo, Felipe A.; Costen, Nick; Denis, Kevin L.; Essinger-Hileman, Tom; Hu, Ron; Marriage, Tobias A.; Moseley, Samuel H.; Stevenson, Thomas R.; U-Yen, Kongpop; Wollack, Edward J.; Xu, Zhilei
2016-07-01
We describe feedhorn-coupled polarization-sensitive detector arrays that utilize monocrystalline silicon as the dielectric substrate material. Monocrystalline silicon has a low-loss tangent and repeatable dielectric constant, characteristics that are critical for realizing efficient and uniform superconducting microwave circuits. An additional advantage of this material is its low specific heat. In a detector pixel, two Transition-Edge Sensor (TES) bolometers are antenna-coupled to in-band radiation via a symmetric planar orthomode transducer (OMT). Each orthogonal linear polarization is coupled to a separate superconducting microstrip transmission line circuit. On-chip filtering is employed to both reject out-of-band radiation from the upper band edge to the gap frequency of the niobium superconductor, and to flexibly define the bandwidth for each TES to meet the requirements of the application. The microwave circuit is compatible with multi-chroic operation. Metalized silicon platelets are used to define the backshort for the waveguide probes. This micro-machined structure is also used to mitigate the coupling of out-of-band radiation to the microwave circuit. At 40 GHz, the detectors have a measured efficiency of ˜90%. In this paper, we describe the development of the 90 GHz detector arrays that will be demonstrated using the Cosmology Large Angular Scale Surveyor (CLASS) ground-based telescope.
Silicon web process development. [for low cost solar cells
NASA Technical Reports Server (NTRS)
Duncan, C. S.; Hopkins, R. H.; Seidensticker, R. G.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.
1979-01-01
Silicon dendritic web, a single crystal ribbon shaped during growth by crystallographic forces and surface tension (rather than dies), is a highly promising base material for efficient low cost solar cells. The form of the product smooth, flexible strips 100 to 200 microns thick, conserves expensive silicon and facilitates automation of crystal growth and the subsequent manufacturing of solar cells. These characteristics, coupled with the highest demonstrated ribbon solar cell efficiency-15.5%-make silicon web a leading candidate to achieve, or better, the 1986 Low Cost Solar Array (LSA) Project cost objective of 50 cents per peak watt of photovoltaic output power. The main objective of the Web Program, technology development to significantly increase web output rate, and to show the feasibility for simultaneous melt replenishment and growth, have largely been accomplished. Recently, web output rates of 23.6 sq cm/min, nearly three times the 8 sq cm/min maximum rate of a year ago, were achieved. Webs 4 cm wide or greater were grown on a number of occassions.
Chang; Shrock
2000-10-01
We present exact calculations of the zero-temperature partition function (chromatic polynomial) and W(q), the exponent of the ground-state entropy, for the q-state Potts antiferromagnet with next-nearest-neighbor spin-spin couplings on square lattice strips, of width L(y)=3 and L(y)=4 vertices and arbitrarily great length Lx vertices, with both free and periodic boundary conditions. The resultant values of W for a range of physical q values are compared with each other and with the values for the full two-dimensional lattice. These results give insight into the effect of such nonnearest-neighbor couplings on the ground-state entropy. We show that the q=2 (Ising) and q=4 Potts antiferromagnets have zero-temperature critical points on the Lx-->infinity limits of the strips that we study. With the generalization of q from Z+ to C, we determine the analytic structure of W(q) in the q plane for the various cases.
Fabrication, characterization and testing of silicon photomultipliers for the Muon Portal Project
NASA Astrophysics Data System (ADS)
La Rocca, P.; Billotta, S.; Blancato, A. A.; Bonanno, D.; Bonanno, G.; Fallica, G.; Garozzo, S.; Lo Presti, D.; Marano, D.; Pugliatti, C.; Riggi, F.; Romeo, G.; Santagati, G.; Valvo, G.
2015-07-01
The Muon Portal is a recently started Project aiming at the construction of a large area tracking detector that exploits the muon tomography technique to inspect the contents of traveling cargo containers. The detection planes will be made of plastic scintillator strips with embedded wavelength-shifting fibres. Special designed silicon photomultipliers will read the scintillation light transported by the fibres along the strips and a dedicated electronics will combine signals from different strips to reduce the overall number of channels, without loss of information. Different silicon photomultiplier prototypes, both with the p-on-n and n-on-p technologies, have been produced by STMicroelectronics during the last years. In this paper we present the main characteristics of the silicon photomultipliers designed for the Muon Portal Project and describe the setup and the procedure implemented for the characterization of these devices, giving some statistical results obtained from the test of a first batch of silicon photomultipliers.
Frequency dependent ac transport of films of close-packed carbon nanotube arrays
NASA Astrophysics Data System (ADS)
Endo, A.; Katsumoto, S.; Matsuda, K.; Norimatsu, W.; Kusunoki, M.
2018-03-01
We have measured low-temperature ac impedance of films of closely-packed, highly-aligned carbon nanotubes prepared by thermal decomposition of silicon carbide wafers. The measurement was performed on films with the thickness (the length of the nanotubes) ranging from 6.5 to 65 nm. We found that the impedance rapidly decreases with the frequency. This can be interpreted as resulting from the electric transport via capacitive coupling between adjacent nanotubes. We also found numbers of sharp spikes superposed on frequency vs. impedance curves, which presumably represent resonant frequencies seen in the calculated conductivity of random capacitance networks. Capacitive coupling between the nanotubes was reduced by the magnetic field perpendicular to the films at 8.2 mK, resulting in the transition from negative to positive magnetoresistance with an increase of the frequency.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cao, Ye; Chen, Long-Qing; Kalinin, Sergei V.
Ferroelectric and ferroelastic domain walls play important roles in ferroelectric properties. However, their couplings with flexoelectricity have been less understood. Here, we applied phase-field simulation to investigate the flexoelectric coupling with ferroelectric a/c twin structures in lead ziconate titanate thin films. Local stress gradients were found to exist near twin walls that created both lateral and vertical electric fields through the flexoelectric effect, resulting in polarization inclinations from either horizontal or normal orientation, polarization rotation angles deviated from 90°, and consequently highly asymmetric a/c twin walls. Furthermore, by tuning the flexoelectric strengths in a reasonable range from first-principles calculations, wemore » found that the transverse flexoelectric coefficient has a larger influence on the polarization rotation than longitudinal and shear coefficients. And as polar rotations that commonly occur at compositional morphotropic phase boundaries contribute to the piezoelectric enhancement, this work calls for further exploration of alternative strain-engineered polar rotations via flexoelectricity in ferroelectric thin films.« less
Cao, Ye; Chen, Long-Qing; Kalinin, Sergei V.
2017-05-16
Ferroelectric and ferroelastic domain walls play important roles in ferroelectric properties. However, their couplings with flexoelectricity have been less understood. Here, we applied phase-field simulation to investigate the flexoelectric coupling with ferroelectric a/c twin structures in lead ziconate titanate thin films. Local stress gradients were found to exist near twin walls that created both lateral and vertical electric fields through the flexoelectric effect, resulting in polarization inclinations from either horizontal or normal orientation, polarization rotation angles deviated from 90°, and consequently highly asymmetric a/c twin walls. Furthermore, by tuning the flexoelectric strengths in a reasonable range from first-principles calculations, wemore » found that the transverse flexoelectric coefficient has a larger influence on the polarization rotation than longitudinal and shear coefficients. And as polar rotations that commonly occur at compositional morphotropic phase boundaries contribute to the piezoelectric enhancement, this work calls for further exploration of alternative strain-engineered polar rotations via flexoelectricity in ferroelectric thin films.« less
Sensing nitrous oxide with QCL-coupled silicon-on-sapphire ring resonators.
Smith, Clinton J; Shankar, Raji; Laderer, Matthew; Frish, Michael B; Loncar, Marko; Allen, Mark G
2015-03-09
We report the initial evaluation of a mid-infrared QCL-coupled silicon-on-sapphire ring resonator gas sensor. The device probes the N(2)O 2241.79 cm(-1) optical transition (R23 line) in the ν(3) vibrational band. N(2)O concentration is deduced using a non-linear least squares fit, based on coupled-mode theory, of the change in ring resonator Q due to gas absorption losses in the evanescent portion of the waveguide optical mode. These early experiments demonstrated response to 5000 ppmv N(2)O.
All-Silicon Switchable Magnetoelectric Effect through Interlayer Exchange Coupling.
Liu, Hang; Sun, Jia-Tao; Fu, Hui-Xia; Sun, Pei-Jie; Feng, Y P; Meng, Sheng
2017-07-19
The magnetoelectric (ME) effect originating from the effective coupling between electric field and magnetism is an exciting frontier in nanoscale science such as magnetic tunneling junction (MTJ), ferroelectric/piezoelectric heterojunctions etc. The realization of switchable ME effect under external electric field in d0 semiconducting materials of single composition is needed especially for all-silicon spintronics applications because of its natural compatibility with current industry. We employ density functional theory (DFT) to reveal that the pristine Si(111)-3×3 R30° (Si3 hereafter) reconstructed surfaces of thin films with a thickness smaller than eleven bilayers support a sizeable linear ME effect with switchable direction of magnetic moment under external electric field. This is achieved through the interlayer exchange coupling effect in the antiferromagnetic regime, where the spin-up and spin-down magnetized density is located on opposite surfaces of Si3 thin films. The obtained coefficient for the linear ME effect can be four times larger than that of ferromagnetic Fe films, which fail to have the reversal switching capabilities. The larger ME effect originates from the spin-dependent screening of the spin-polarized Dirac fermion. The prediction will promote the realization of well-controlled and switchable data storage in all-silicon electronics. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Nonlinear AC susceptibility, surface and bulk shielding
NASA Astrophysics Data System (ADS)
van der Beek, C. J.; Indenbom, M. V.; D'Anna, G.; Benoit, W.
1996-02-01
We calculate the nonlinear AC response of a thin superconducting strip in perpendicular field, shielded by an edge current due to the geometrical barrier. A comparison with the results for infinite samples in parallel field, screened by a surface barrier, and with those for screening by a bulk current in the critical state, shows that the AC response due to a barrier has general features that are independent of geometry, and that are significantly different from those for screening by a bulk current in the critical state. By consequence, the nonlinear (global) AC susceptibility can be used to determine the origin of magnetic irreversibility. A comparison with experiments on a Bi 2Sr 2CaCu 2O 8+δ crystal shows that in this material, the low-frequency AC screening at high temperature is mainly due to the screening by an edge current, and that this is the unique source of the nonlinear magnetic response at temperatures above 40 K.
Noise-Optimized Silicon Radiometers
Eppeldauer, George P.
2000-01-01
This paper describes a new, experimentally verified, noise analysis and the design considerations of the dynamic characteristics of silicon radiometers. Transimpedance gain, loop gain, and voltage gain were optimized versus frequency for photodiode current meters measuring ac and dc optical radiation. Silicon radiometers with improved dynamic characteristics were built and tested. The frequency-dependent photocurrent gains were measured. The noise floor was optimized in an ac measurement mode using photodiodes of different shunt resistance and operational amplifiers with low 1/f voltage and current noise. In the dark (without any signal), the noise floor of the optimized silicon radiometers was dominated by the Johnson noise of the source resistance. The Johnson noise was decreased and equalized to the amplified 1/f input noise at a 9 Hz chopping frequency and 30 s integration time constant, resulting in an equivalent root-mean-square (rms) photocurrent noise of 8 × 10−17 A. The lowest noise floor of 5 × 10−17 A, equal to a noise equivalent power (NEP) of 1.4 × 10−16 W at the 730 nm peak responsivity, was obtained at a 100 s integration time constant. The radiometers, optimized for ac measurements, were tested in a dc measurement mode as well. Performances in ac and dc measurement modes were compared. In the ac mode, a ten times shorter (40 s) overall measurement time was needed than in the dc mode (400 s) to obtain the same 10−16 A noise floor. PMID:27551606
Monolithic coupling of a SU8 waveguide to a silicon photodiode
NASA Astrophysics Data System (ADS)
Nathan, M.; Levy, O.; Goldfarb, I.; Ruzin, A.
2003-12-01
We present quantitative results of light coupling from SU8 waveguides into silicon p-n photodiodes in monolithically integrated structures. Multimode, 12 μm thick, and 20 μm wide SU8 waveguides were fabricated to overlap 40×180 μm2 photodiodes, with three different waveguide-photodiode overlap lengths. The attenuation due to leaky-mode coupling in the overlap area was then calculated from photocurrent measurements. The overlap attenuation ranged from a minimum of 2.2 dB per mm overlap length to a maximum of about 3 dB/mm, comparing favorably with reported nonpolymeric waveguide-Si photodiode attenuations.
2014-01-01
Background Bioethanol production from biomass is becoming a hot topic internationally. Traditional static solid state fermentation (TS-SSF) for bioethanol production is similar to the traditional method of intermittent operation. The main problems of its large-scale intensive production are the low efficiency of mass and heat transfer and the high ethanol inhibition effect. In order to achieve continuous production and high conversion efficiency, gas stripping solid state fermentation (GS-SSF) for bioethanol production from sweet sorghum stalk (SSS) was systematically investigated in the present study. Results TS-SSF and GS-SSF were conducted and evaluated based on different SSS particle thicknesses under identical conditions. The ethanol yield reached 22.7 g/100 g dry SSS during GS-SSF, which was obviously higher than that during TS-SSF. The optimal initial gas stripping time, gas stripping temperature, fermentation time, and particle thickness of GS-SSF were 10 h, 35°C, 28 h, and 0.15 cm, respectively, and the corresponding ethanol stripping efficiency was 77.5%. The ethanol yield apparently increased by 30% with the particle thickness decreasing from 0.4 cm to 0.05 cm during GS-SSF. Meanwhile, the ethanol yield increased by 6% to 10% during GS-SSF compared with that during TS-SSF under the same particle thickness. The results revealed that gas stripping removed the ethanol inhibition effect and improved the mass and heat transfer efficiency, and hence strongly enhanced the solid state fermentation (SSF) performance of SSS. GS-SSF also eliminated the need for separate reactors and further simplified the bioethanol production process from SSS. As a result, a continuous conversion process of SSS and online separation of bioethanol were achieved by GS-SSF. Conclusions SSF coupled with gas stripping meet the requirements of high yield and efficient industrial bioethanol production. It should be a novel bioconversion process for bioethanol production from SSS
Rectangular-cladding silicon slot waveguide with improved nonlinear performance
NASA Astrophysics Data System (ADS)
Huang, Zengzhi; Huang, Qingzhong; Wang, Yi; Xia, Jinsong
2018-04-01
Silicon slot waveguides have great potential in hybrid silicon integration to realize nonlinear optical applications. We propose a rectangular-cladding hybrid silicon slot waveguide. Simulation result shows that, with a rectangular-cladding, the slot waveguide can be formed by narrower silicon strips, so the two-photon absorption (TPA) loss in silicon is decreased. When the cladding material is a nonlinear polymer, the calculated TPA figure of merit (FOMTPA) is 4.4, close to the value of bulk nonlinear polymer of 5.0. This value confirms the good nonlinear performance of rectangular-cladding silicon slot waveguides.
Graded pitch electromagnetic pump for thin strip metal casting systems
Kuznetsov, Stephen B.
1986-01-01
A metal strip casing system is provided with an electromagnetic pump which includes a pair of primary blocks having a graded pole pitch, polyphase ac winding and being arranged on opposite sides of a movable heat sink. A nozzle is provided for depositing liquid metal on the heat sink such that the resulting metal strip and heat sink combination is subjected to a longitudinal electromagnetic field which increases in wavelength in the direction of travel of the heat sink, thereby subjecting the metal and heat sink to a longitudinal force having a magnitude which increases in the direction of travel.
Graded pitch electromagnetic pump for thin strip metal casting systems
Kuznetsov, S.B.
1986-04-01
A metal strip casing system is provided with an electromagnetic pump which includes a pair of primary blocks having a graded pole pitch, polyphase ac winding and being arranged on opposite sides of a movable heat sink. A nozzle is provided for depositing liquid metal on the heat sink such that the resulting metal strip and heat sink combination is subjected to a longitudinal electromagnetic field which increases in wavelength in the direction of travel of the heat sink, thereby subjecting the metal and heat sink to a longitudinal force having a magnitude which increases in the direction of travel. 4 figs.
Zhong, Qiuhang; Tian, Zhaobing; Veerasubramanian, Venkat; Dastjerdi, M Hadi Tavakoli; Mi, Zetian; Plant, David V
2014-05-01
We report on the first experimental demonstration of the thermal control of coupling strength between a rolled-up microtube and a waveguide on a silicon electronic-photonic integrated circuit. The microtubes are fabricated by selectively releasing a coherently strained GaAs/InGaAs heterostructure bilayer. The fabricated microtubes are then integrated with silicon waveguides using an abruptly tapered fiber probe. By tuning the gap between the microtube and the waveguide using localized heaters, the microtube-waveguide evanescent coupling is effectively controlled. With heating, the extinction ratio of a microtube whispering-gallery mode changes over an 18 dB range, while the resonant wavelength remains approximately unchanged. Utilizing this dynamic thermal tuning effect, we realize coupling modulation of the microtube integrated with the silicon waveguide at 2 kHz with a heater voltage swing of 0-6 V.
Template-Stripped Tunable Plasmonic Devices on Stretchable and Rollable Substrates
2015-01-01
We use template stripping to integrate metallic nanostructures onto flexible, stretchable, and rollable substrates. Using this approach, high-quality patterned metals that are replicated from reusable silicon templates can be directly transferred to polydimethylsiloxane (PDMS) substrates. First we produce stretchable gold nanohole arrays and show that their optical transmission spectra can be modulated by mechanical stretching. Next we fabricate stretchable arrays of gold pyramids and demonstrate a modulation of the wavelength of light resonantly scattered from the tip of the pyramid by stretching the underlying PDMS film. The use of a flexible transfer layer also enables template stripping using a cylindrical roller as a substrate. As an example, we demonstrate roller template stripping of metallic nanoholes, nanodisks, wires, and pyramids onto the cylindrical surface of a glass rod lens. These nonplanar metallic structures produced via template stripping with flexible and stretchable films can facilitate many applications in sensing, display, plasmonics, metasurfaces, and roll-to-roll fabrication. PMID:26402066
AC application of second generation HTS wire
NASA Astrophysics Data System (ADS)
Thieme, C. L. H.; Gagnon, K.; Voccio, J.; Aized, D.; Claassen, J.
2008-02-01
For the production of Second Generation (2G) YBCO High Temperature Superconductor wire American Superconductor uses a wide-strip MOD-YBCO/RABiTSTM process, a low-cost approach for commercial manufacturing. It can be engineered with a high degree of flexibility to manufacture practical 2G conductors with architectures and properties tailored for specific applications and operating conditions. For ac applications conductor and coil design can be geared towards low hysteretic losses. For applications which experience high frequency ac fields, the stabilizer needs to be adjusted for low eddy current losses. For these applications a stainless-steel laminate is used. An example is a Low Pass Filter Inductor which was developed and built in this work.
Xu, Fang; Poon, Andrew W
2008-06-09
We report silicon cross-connect filters using microring resonator coupled multimode-interference (MMI) based waveguide crossings. Our experiments reveal that the MMI-based cross-connect filters impose lower crosstalk at the crossing than the conventional cross-connect filters using plain crossings, while offering a nearly symmetric resonance line shape in the drop-port transmission. As a proof-of-concept for cross-connection applications, we demonstrate on a silicon-on-insulator substrate (i) a 4-channel 1 x 4 linear-cascaded MMI-based cross-connect filter, and (ii) a 2-channel 2 x 2 array-cascaded MMI-based cross-connect filter.
Effect of superhydrophobicity on surface damage of silicone rubber under AC voltage
NASA Astrophysics Data System (ADS)
Li, Yufeng; Jin, Haiyun; Nie, Shichao; Tong, Cheng; Gao, Naikui
2018-03-01
In this paper, the influence of superhydrophobicity on the surface damage of silicone rubber is studied. On a common silicone rubber surface, a droplet can become elongated, and arc discharge induced by the droplet can cause tracking on the silicone rubber surface. However, for a superhydrophobic silicone rubber surface, a droplet can leave the silicone rubber due to the low adhesion of the superhydrophobic surface. Accordingly, arc discharge caused by the droplet does not occur, and the surface of the silicone rubber is not affected. Results demonstrate that using a superhydrophobic surface has a significant effect on limiting the surface damage of silicone rubber.
Xu, Yin; Xiao, Jinbiao
2016-01-01
On-chip polarization manipulation is pivotal for silicon-on-insulator material platform to realize polarization-transparent circuits and polarization-division-multiplexing transmissions, where polarization splitters and rotators are fundamental components. In this work, we propose an ultracompact and high efficient silicon-based polarization splitter-rotator (PSR) using a partially-etched subwavelength grating (SWG) coupler. The proposed PSR consists of a taper-integrated SWG coupler combined with a partially-etched waveguide between the input and output strip waveguides to make the input transverse-electric (TE) mode couple and convert to the output transverse-magnetic (TM) mode at the cross port while the input TM mode confine well in the strip waveguide during propagation and directly output from the bar port with nearly neglected coupling. Moreover, to better separate input polarizations, an additional tapered waveguide extended from the partially-etched waveguide is also added. From results, an ultracompact PSR of only 8.2 μm in length is achieved, which is so far the reported shortest one. The polarization conversion loss and efficiency are 0.12 dB and 98.52%, respectively, together with the crosstalk and reflection loss of −31.41/−22.43 dB and −34.74/−33.13 dB for input TE/TM mode at wavelength of 1.55 μm. These attributes make the present device suitable for constructing on-chip compact photonic integrated circuits with polarization-independence. PMID:27306112
A new strips tracker for the upgraded ATLAS ITk detector
NASA Astrophysics Data System (ADS)
David, C.
2018-01-01
The ATLAS detector has been designed and developed to function in the environment of the present Large Hadron Collider (LHC). At the next-generation tracking detector proposed for the High Luminosity LHC (HL-LHC), the so-called ATLAS Phase-II Upgrade, the fluences and radiation levels will be higher by as much as a factor of ten. The new sub-detectors must thus be faster, of larger area, more segmented and more radiation hard while the amount of inactive material should be minimized and the power supply to the front-end systems should be increased. For those reasons, the current inner tracker of the ATLAS detector will be fully replaced by an all-silicon tracking system that consists of a pixel detector at small radius close to the beam line and a large area strip tracker surrounding it. This document gives an overview of the design of the strip inner tracker (Strip ITk) and summarises the intensive R&D activities performed over the last years by the numerous institutes within the Strips ITk collaboration. These studies are accompanied with a strong prototyping effort to contribute to the optimisation of the Strip ITk's structure and components. This effort culminated recently in the release of the ATLAS Strips ITk Technical Design Report (TDR).
Establishment and analysis of coupled dynamic model for dual-mass silicon micro-gyroscope
NASA Astrophysics Data System (ADS)
Wang, Zhanghui; Qiu, Anping; Shi, Qin; Zhang, Taoyuan
2017-12-01
This paper presents a coupled dynamic model for a dual-mass silicon micro-gyroscope (DMSG). It can quantitatively analyze the influence of left-right stiffness difference on the natural frequencies, modal matrix and modal coupling coefficient of the DMSG. The analytic results are verified by using the finite element method (FEM) simulation. The model shows that with the left-right stiffness difference of 1%, the modal coupling coefficient is 12% in the driving direction and 31% in the sensing direction. It also shows that in order to achieve good separation, the stiffness of base beam should be small enough in both the driving and sensing direction.
Coupling of PZT Thin Films with Bimetallic Strip Heat Engines for Thermal Energy Harvesting.
Boughaleb, Jihane; Arnaud, Arthur; Guiffard, Benoit; Guyomar, Daniel; Seveno, Raynald; Monfray, Stéphane; Skotnicki, Thomas; Cottinet, Pierre-Jean
2018-06-06
A thermal energy harvester based on a double transduction mechanism and which converts thermal energy into electrical energy by means of piezoelectric membranes and bimetals, has previously been developed and widely presented in the literature In such a device, the thermo-mechanical conversion is ensured by a bimetal whereas the electro-mechanical conversion is generated by a piezoelectric ceramic. However, it has been shown that only 19% of the mechanical energy delivered by the bimetal during its snap is converted into electrical energy. To extract more energy from the bimetallic strip and to increase the transduction efficiency, a new way to couple piezoelectric materials with bimetals has thus been explored through direct deposition of piezoelectric layers on bimetals. This paper consequently presents an alternative way to harvest heat, based on piezoelectric bimetallic strip heat engines and presents a proof of concept of such a system. In this light, different PZT (Lead zirconate titanate) thin films were synthesized directly on aluminium foils and were attached to the bimetals using conductive epoxy. The fabrication process of each sample is presented herein as well as the experimental tests carried out on the devices. Throughout this study, different thicknesses of the piezoelectric layers and substrates were tested to determine the most powerful configuration. Finally, the study also gives some guidelines for future improvements of piezoelectric bimetals.
Ultra-wide band signal generation using a coupling-tunable silicon microring resonator.
Ding, Yunhong; Huang, Bo; Peucheret, Christophe; Xu, Jing; Ou, Haiyan; Zhang, Xinliang; Huang, Dexiu
2014-03-10
Ultra-wide band signal generation using a silicon microring resonator tuned to an NRZ-DPSK modulated optical carrier is proposed and demonstrated. The scheme is shown to enable the generation of UWB signals with switchable polarity and tunable bandwidth by simply tuning the coupling regions of the microring resonator. Monocycle pulses with both negative and positive polarities are successfully synthesized experimentally.
The bipolar silicon microstrip detector: A proposal for a novel precision tracking device
NASA Astrophysics Data System (ADS)
Horisberger, R.
1990-03-01
It is proposed to combine the technology of fully depleted silicon microstrip detectors fabricated on n doped high resistivity silicon with the concept of the bipolar transistor. This is done by adding a n ++ doped region inside the normal p + implanted region of the reverse biased p + n diode. Teh resulting structure has amplifying properties and is referred to as bipolar pixel transistor. The simplest readout scheme of a bipolar pixel array by an aluminium strip bus leads to the bipolar microstrip detector. The bipolar pixel structure is expected to give a better signal-to-noise performance for the detection of minimum ionizing charged particle tracks than the normal silicon diode strip detector and therefore should allow in future the fabrication of thinner silicon detectors for precision tracking.
NASA Astrophysics Data System (ADS)
D'Andrea, M.; Argan, A.; Lotti, S.; Macculi, C.; Piro, L.; Biasotti, M.; Corsini, D.; Gatti, F.; Torrioli, G.
2016-07-01
The ATHENA observatory is the second large-class mission in ESA Cosmic Vision 2015-2025, with a launch foreseen in 2028 towards the L2 orbit. The mission addresses the science theme "The Hot and Energetic Universe", by coupling a high-performance X-ray Telescope with two complementary focal-plane instruments. One of these is the X-ray Integral Field Unit (X-IFU): it is a TES based kilo-pixel order array able to provide spatially resolved high-resolution spectroscopy (2.5 eV at 6 keV) over a 5 arcmin FoV. The X-IFU sensitivity is degraded by the particles background expected at L2 orbit, which is induced by primary protons of both galactic and solar origin, and mostly by secondary electrons. To reduce the background level and enable the mission science goals, a Cryogenic Anticoincidence (CryoAC) detector is placed < 1 mm below the TES array. It is a 4- pixel TES based detector, with wide Silicon absorbers sensed by Ir:Au TESes. The CryoAC development schedule foresees by Q1 2017 the delivery of a Demonstration Model (DM) to the X-IFU FPA development team. The DM is a single-pixel detector that will address the final design of the CryoAC. It will verify some representative requirements at single-pixel level, especially the detector operation at 50 mK thermal bath and the threshold energy at 20 keV. To reach the final DM design we have developed and tested the AC-S7 prototype, with 1 cm2 absorber area sensed by 65 Ir TESes. Here we will discuss the pulse analysis of this detector, which has been illuminated by the 60 keV line from a 241Am source. First, we will present the analysis performed to investigate pulses timings and spectrum, and to disentangle the athermal component of the pulses from the thermal one. Furthermore, we will show the application to our dataset of an alternative method of pulse processing, based upon Principal Component Analysis (PCA). This kind of analysis allow us to recover better energy spectra than achievable with traditional methods
Transport spectroscopy of coupled donors in silicon nano-transistors
Moraru, Daniel; Samanta, Arup; Anh, Le The; Mizuno, Takeshi; Mizuta, Hiroshi; Tabe, Michiharu
2014-01-01
The impact of dopant atoms in transistor functionality has significantly changed over the past few decades. In downscaled transistors, discrete dopants with uncontrolled positions and number induce fluctuations in device operation. On the other hand, by gaining access to tunneling through individual dopants, a new type of devices is developed: dopant-atom-based transistors. So far, most studies report transport through dopants randomly located in the channel. However, for practical applications, it is critical to control the location of the donors with simple techniques. Here, we fabricate silicon transistors with selectively nanoscale-doped channels using nano-lithography and thermal-diffusion doping processes. Coupled phosphorus donors form a quantum dot with the ground state split into a number of levels practically equal to the number of coupled donors, when the number of donors is small. Tunneling-transport spectroscopy reveals fine features which can be correlated with the different numbers of donors inside the quantum dot, as also suggested by first-principles simulation results. PMID:25164032
Low AC Loss YBCO Coated Conductor Geometry by Direct Inkjet Printing
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rupich, Martin, Dr.; Duckworth, Robert, Dr.
The second generation (2G) high temperature superconductors (HTS) wire offers potential benefits for many electric power applications, including ones requiring filamentized conductors with low ac loss, such as transformers and fault current limiters. However, the use of 2G wire in these applications requires the development of both novel multi-filamentary conductor designs with lower ac losses and the development of advanced manufacturing technologies that enable the low-cost manufacturing of these filamentized architectures. This Phase I SBIR project focused on testing inkjet printing as a potential low-cost, roll-to-roll manufacturing technique to fabricate potential low ac loss filamentized architectures directly on the 2Gmore » template strips.« less
Analyzing Noise for the Muon Silicon Scanner
DOE Office of Scientific and Technical Information (OSTI.GOV)
Marchan, Miguelangel; Utes, Michael
2017-01-01
The development of a silicon muon tomography detector is a joint project between Fermilab and National Security Technologies, LLC. The goal of this detector is to detect nuclear materials better than technology in the past. Using silicon strip detectors and readout chips used by experiments at CERN we have been developing the detector. This summer we have been testing components of the detector and have been analyzing noise characteristics.
Enhanced Single-Photon Emission from Carbon-Nanotube Dopant States Coupled to Silicon Microcavities.
Ishii, Akihiro; He, Xiaowei; Hartmann, Nicolai F; Machiya, Hidenori; Htoon, Han; Doorn, Stephen K; Kato, Yuichiro K
2018-06-13
Single-walled carbon nanotubes are a promising material as quantum light sources at room temperature and as nanoscale light sources for integrated photonic circuits on silicon. Here, we show that the integration of dopant states in carbon nanotubes and silicon microcavities can provide bright and high-purity single-photon emitters on a silicon photonics platform at room temperature. We perform photoluminescence spectroscopy and observe the enhancement of emission from the dopant states by a factor of ∼50, and cavity-enhanced radiative decay is confirmed using time-resolved measurements, in which a ∼30% decrease of emission lifetime is observed. The statistics of photons emitted from the cavity-coupled dopant states are investigated by photon-correlation measurements, and high-purity single photon generation is observed. The excitation power dependence of photon emission statistics shows that the degree of photon antibunching can be kept high even when the excitation power increases, while the single-photon emission rate can be increased to ∼1.7 × 10 7 Hz.
NASA Astrophysics Data System (ADS)
Syafiqah Syahirah Mohamed, Nor; Amalina Banu Mohamat Adek, Noor; Hamid, Nurul Farhana Abd
2018-03-01
This paper presents the development of Graphical User Interface (GUI) software for sizing main component in AC coupled photovoltaic (PV) hybrid power system based on Malaysia climate. This software provides guideline for PV system integrator to design effectively the size of components and system configuration to match the system and load requirement with geographical condition. The concept of the proposed software is balancing the annual average renewable energy generation and load demand. In this study, the PV to diesel generator (DG) ratio is introduced by considering the hybrid system energy contribution. The GUI software is able to size the main components in the PV hybrid system to meet with the set target of energy contribution ratio. The rated powers of the components to be defined are PV array, grid-tie inverter, bi-directional inverter, battery storage and DG. GUI is used to perform all the system sizing procedures to make it user friendly interface as a sizing tool for AC coupled PV hybrid system. The GUI will be done by using Visual Studio 2015 based on the real data under Malaysia Climate.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Xingyu, E-mail: xzhang@utexas.edu, E-mail: swapnajit.chakravarty@omegaoptics.com, E-mail: chenrt@austin.utexas.edu; Chung, Chi-Jui; Pan, Zeyu
2015-11-30
We design, fabricate, and experimentally demonstrate a compact thermo-optic gate switch comprising a 3.78 μm-long coupled L0-type photonic crystal microcavities on a silicon-on-insulator substrate. A nanohole is inserted in the center of each individual L0 photonic crystal microcavity. Coupling between identical microcavities gives rise to bonding and anti-bonding states of the coupled photonic molecules. The coupled photonic crystal microcavities are numerically simulated and experimentally verified with a 6 nm-wide flat-bottom resonance in its transmission spectrum, which enables wider operational spectrum range than microring resonators. An integrated micro-heater is in direct contact with the silicon core to efficiently drive the device. The thermo-opticmore » switch is measured with an optical extinction ratio of 20 dB, an on-off switching power of 18.2 mW, a thermo-optic tuning efficiency of 0.63 nm/mW, a rise time of 14.8 μs, and a fall time of 18.5 μs. The measured on-chip loss on the transmission band is as low as 1 dB.« less
Flat-top passband filter based on parallel-coupled double microring resonators in silicon
NASA Astrophysics Data System (ADS)
Huang, Qingzhong; Xiao, Xi; Li, Yuntao; Li, Zhiyong; Yu, Yude; Yu, Jinzhong
2009-08-01
Optical filters with box-like response were designed and realized based on parallel-coupled double microrings in silicon-on-insulator. The properties of this design are simulated, considering the impact of the center-to-center distance of two rings, and coupling efficiency. Flat-top passband in the drop channel of the fabricated device was demonstrated with a 1dB bandwidth of 0.82nm, a 1dB/10dB bandwidth ratio of 0.51, an out of band rejection ratio of 14.6dB, as well as a free spectrum range of 13.6nm.
NASA Astrophysics Data System (ADS)
Matsubara, Atsuko; Kojima, Hisao; Itoga, Toshihiko; Kanehori, Keiichi
1995-08-01
High resolution depth profiling of arsenic (As) implanted into silicon wafers by a chemical technique is described. Silicon wafers are precisely etched through repeated oxidation by hydrogen peroxide solution and dissolution of the oxide by hydrofluoric acid solution. The etched silicon thickness is determined by inductively-coupled plasma atomic emission spectrometry (ICP-AES). Arsenic concentration is determined by hydride generation ICP-AES (HG-ICP-AES) with prereduction using potassium iodide. The detection limit of As in a 4-inch silicon wafer is 2.4×1018 atoms/cm3. The etched silicon thickness is controlled to less than 4±2 atomic layers. Depth profiling of an ultra-shallow As diffusion layer with the proposed method shows good agreement with profiling using the four-probe method or secondary ion mass spectrometry.
Fabrication of an Absorber-Coupled MKID Detector
NASA Technical Reports Server (NTRS)
Brown, Ari; Hsieh, Wen-Ting; Moseley, Samuel; Stevenson, Thomas; U-Yen, Kongpop; Wollack, Edward
2012-01-01
Absorber-coupled microwave kinetic inductance detector (MKID) arrays were developed for submillimeter and far-infrared astronomy. These sensors comprise arrays of lambda/2 stepped microwave impedance resonators patterned on a 1.5-mm-thick silicon membrane, which is optimized for optical coupling. The detector elements are supported on a 380-mm-thick micro-machined silicon wafer. The resonators consist of parallel plate aluminum transmission lines coupled to low-impedance Nb microstrip traces of variable length, which set the resonant frequency of each resonator. This allows for multiplexed microwave readout and, consequently, good spatial discrimination between pixels in the array. The transmission lines simultaneously act to absorb optical power and employ an appropriate surface impedance and effective filling fraction. The fabrication techniques demonstrate high-fabrication yield of MKID arrays on large, single-crystal membranes and sub-micron front-to-back alignment of the micro strip circuit. An MKID is a detector that operates upon the principle that a superconducting material s kinetic inductance and surface resistance will change in response to being exposed to radiation with a power density sufficient to break its Cooper pairs. When integrated as part of a resonant circuit, the change in surface impedance will result in a shift in its resonance frequency and a decrease of its quality factor. In this approach, incident power creates quasiparticles inside a superconducting resonator, which is configured to match the impedance of free space in order to absorb the radiation being detected. For this reason MKIDs are attractive for use in large-format focal plane arrays, because they are easily multiplexed in the frequency domain and their fabrication is straightforward. The fabrication process can be summarized in seven steps: (1) Alignment marks are lithographically patterned and etched all the way through a silicon on insulator (SOI) wafer, which consists of a
Simple circuit monitors "third wire" in ac lines
NASA Technical Reports Server (NTRS)
Kojima, T. T.; Stuck, D. E.
1980-01-01
Device detects interruption of ground connection in three-wire electrical equipment and shuts off ac power to prevent shock hazard. Silicon-controlled rectifiers detect floating ground, and deenergize optoelectric relays thereby breaking power connections. Circuit could be incorporated into hand tools, appliances, and other electrical equipment.
Si-strip photon counting detectors for contrast-enhanced spectral mammography
NASA Astrophysics Data System (ADS)
Chen, Buxin; Reiser, Ingrid; Wessel, Jan C.; Malakhov, Nail; Wawrzyniak, Gregor; Hartsough, Neal E.; Gandhi, Thulasi; Chen, Chin-Tu; Iwanczyk, Jan S.; Barber, William C.
2015-08-01
We report on the development of silicon strip detectors for energy-resolved clinical mammography. Typically, X-ray integrating detectors based on scintillating cesium iodide CsI(Tl) or amorphous selenium (a-Se) are used in most commercial systems. Recently, mammography instrumentation has been introduced based on photon counting Si strip detectors. The required performance for mammography in terms of the output count rate, spatial resolution, and dynamic range must be obtained with sufficient field of view for the application, thus requiring the tiling of pixel arrays and particular scanning techniques. Room temperature Si strip detector, operating as direct conversion x-ray sensors, can provide the required speed when connected to application specific integrated circuits (ASICs) operating at fast peaking times with multiple fixed thresholds per pixel, provided that the sensors are designed for rapid signal formation across the X-ray energy ranges of the application. We present our methods and results from the optimization of Si-strip detectors for contrast enhanced spectral mammography. We describe the method being developed for quantifying iodine contrast using the energy-resolved detector with fixed thresholds. We demonstrate the feasibility of the method by scanning an iodine phantom with clinically relevant contrast levels.
A size selective porous silicon grating-coupled Bloch surface and sub-surface wave biosensor.
Rodriguez, Gilberto A; Ryckman, Judson D; Jiao, Yang; Weiss, Sharon M
2014-03-15
A porous silicon (PSi) grating-coupled Bloch surface and sub-surface wave (BSW/BSSW) biosensor is demonstrated to size selectively detect the presence of both large and small molecules. The BSW is used to sense large immobilized analytes at the surface of the structure while the BSSW that is confined inside but near the top of the structure is used to sensitively detect small molecules. Functionality of the BSW and BSSW modes is theoretically described by dispersion relations, field confinements, and simulated refractive index shifts within the structure. The theoretical results are experimentally verified by detecting two different small chemical molecules and one large 40 base DNA oligonucleotide. The PSi-BSW/BSSW structure is benchmarked against current porous silicon technology and is shown to have a 6-fold higher sensitivity in detecting large molecules and a 33% improvement in detecting small molecules. This is the first report of a grating-coupled BSW biosensor and the first report of a BSSW propagating mode. © 2013 Published by Elsevier B.V.
Influence of Relative Humidity on AC Corona Discharge from Algae Attached on the Silicone Rubber
NASA Astrophysics Data System (ADS)
Sato, Daisuke; Hara, Yoshiaki; Kokufu, Morihide; Higashiyama, Yoshio
To make clear the influence of algae growth at the surface of a polymer insulator in a practical transmission line, the characteristics of ac corona discharge from an aggregate algae particle were investigated. The aggregate algae particle was made of Protococcus viridis. Corona onset voltage from an aggregate algae particle was decreased as relative humidity increased. Under the condition of relatively higher relative humidity, luminous channel of corona discharge became more strongly and the number of corona pulses in the current waveform was increased. For an aggregate algae particle contaminated with sea salt including MgCl2, corona onset voltage decreased drastically at relative humidity above 40%. This property would result from deliquescence of MgCl2. Corona discharge was strongly affected by existence of MgCl2 in an aggregate algae particle. Surface resistance of algae attached to the surface of the silicone rubber sheet decreased in fourth figures for relative humidity from 20 to 90%. Therefore, the existence of algae on the polymer insulator inevitably affects the electric property and the surface property of the polymer insulator.
Pica, G.; Lovett, B. W.; Bhatt, R. N.; ...
2016-01-14
A scaled quantum computer with donor spins in silicon would benefit from a viable semiconductor framework and a strong inherent decoupling of the qubits from the noisy environment. Coupling neighboring spins via the natural exchange interaction according to current designs requires gate control structures with extremely small length scales. In this work, we present a silicon architecture where bismuth donors with long coherence times are coupled to electrons that can shuttle between adjacent quantum dots, thus relaxing the pitch requirements and allowing space between donors for classical control devices. An adiabatic SWAP operation within each donor/dot pair solves the scalabilitymore » issues intrinsic to exchange-based two-qubit gates, as it does not rely on subnanometer precision in donor placement and is robust against noise in the control fields. In conclusion, we use this SWAP together with well established global microwave Rabi pulses and parallel electron shuttling to construct a surface code that needs minimal, feasible local control.« less
NASA Astrophysics Data System (ADS)
Mitsui, S.; Unno, Y.; Ikegami, Y.; Takubo, Y.; Terada, S.; Hara, K.; Takahashi, Y.; Jinnouchi, O.; Nagai, R.; Kishida, T.; Yorita, K.; Hanagaki, K.; Takashima, R.; Kamada, S.; Yamamura, K.
2013-01-01
Planar geometry silicon pixel and strip sensors for the high luminosity upgrade of the LHC (HL-LHC) require a high bias voltage of 1000 V in order to withstand a radiation damage caused by particle fluences of 1×1016 1 MeV neq/cm2 and 1×1015 1 MeV neq/cm2 for pixel and strip detectors, respectively. In order to minimize the inactive edge space that can withstand a bias voltage of 1000 V, edge regions susceptible to microdischarge (MD) should be carefully optimized. We fabricated diodes with various edge distances (slim-edge diodes) and with 1-3 multiple guard rings (multi-guard diodes). AC coupling insulators of strip sensors are vulnerable to sudden heavy charge deposition, such as an accidental beam splash, which may destroy the readout AC capacitors. Thus various types of punch-through-protection (PTP) structures were implemented in order to find the most effective structure to protect against heavy charge deposition. These samples were irradiated with 70 MeV protons at fluences of 5×1012 1 MeV neq/cm2-1×1016 1 MeV neq/cm2. Their performances were evaluated before and after irradiation in terms of an onset voltage of the MD, a turn-on voltage of the PTP, and PTP saturation resistance.
Enhanced Single-Photon Emission from Carbon-Nanotube Dopant States Coupled to Silicon Microcavities
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ishii, Akihiro; He, Xiaowei; Hartmann, Nicolai F.
Single-walled carbon nanotubes are a promising material as quantum light sources at room temperature and as nanoscale light sources for integrated photonic circuits on silicon. Here, we show that the integration of dopant states in carbon nanotubes and silicon microcavities can provide bright and high-purity single-photon emitters on a silicon photonics platform at room temperature. We perform photoluminescence spectroscopy and observe the enhancement of emission from the dopant states by a factor of ~50, and cavity-enhanced radiative decay is confirmed using time-resolved measurements, in which a ~30% decrease of emission lifetime is observed. The statistics of photons emitted from themore » cavity-coupled dopant states are investigated by photon-correlation measurements, and high-purity single photon generation is observed. The excitation power dependence of photon emission statistics shows that the degree of photon antibunching can be kept high even when the excitation power increases, while the single-photon emission rate can be increased to ~1.7 × 10 7 Hz.« less
Enhanced Single-Photon Emission from Carbon-Nanotube Dopant States Coupled to Silicon Microcavities
Ishii, Akihiro; He, Xiaowei; Hartmann, Nicolai F.; ...
2018-05-21
Single-walled carbon nanotubes are a promising material as quantum light sources at room temperature and as nanoscale light sources for integrated photonic circuits on silicon. Here, we show that the integration of dopant states in carbon nanotubes and silicon microcavities can provide bright and high-purity single-photon emitters on a silicon photonics platform at room temperature. We perform photoluminescence spectroscopy and observe the enhancement of emission from the dopant states by a factor of ~50, and cavity-enhanced radiative decay is confirmed using time-resolved measurements, in which a ~30% decrease of emission lifetime is observed. The statistics of photons emitted from themore » cavity-coupled dopant states are investigated by photon-correlation measurements, and high-purity single photon generation is observed. The excitation power dependence of photon emission statistics shows that the degree of photon antibunching can be kept high even when the excitation power increases, while the single-photon emission rate can be increased to ~1.7 × 10 7 Hz.« less
Third harmonic ac susceptibility of superconductors with finite thickness
NASA Astrophysics Data System (ADS)
Qin, M. J.; Ong, C. K.
Third harmonic ac susceptibility of superconducting strips with finite thickness in perpendicularly applied magnetic field Ha = H0 sin(ω t) have been calculated. The flux creep effect is taken into account by using a power-law electric field E( j) = Ec( j/ jc) n. Results for different thicknesses and creep exponents n have been derived and compared to the results derived from the Bean critical state model.
Carbon Nanotube Tape Vibrating Gyroscope
NASA Technical Reports Server (NTRS)
Tucker, Dennis Stephen (Inventor)
2016-01-01
A vibrating gyroscope includes a piezoelectric strip having length and width dimensions. The piezoelectric strip includes a piezoelectric material and carbon nanotubes (CNTs) substantially aligned and polled along the strip's length dimension. A spindle having an axis of rotation is coupled to the piezoelectric strip. The axis of rotation is parallel to the strip's width dimension. A first capacitance sensor is mechanically coupled to the spindle for rotation therewith. The first capacitance sensor is positioned at one of the strip's opposing ends and is spaced apart from one of the strip's opposing faces. A second capacitance sensor is mechanically coupled to the spindle for rotation therewith. The second capacitance sensor is positioned at another of the strip's opposing ends and is spaced apart from another of the strip's opposing faces. A voltage source applies an AC voltage to the piezoelectric strip.
Low material budget floating strip Micromegas for ion transmission radiography
NASA Astrophysics Data System (ADS)
Bortfeldt, J.; Biebel, O.; Flierl, B.; Hertenberger, R.; Klitzner, F.; Lösel, Ph.; Magallanes, L.; Müller, R.; Parodi, K.; Schlüter, T.; Voss, B.; Zibell, A.
2017-02-01
Floating strip Micromegas are high-accuracy and discharge insensitive gaseous detectors, able to track single particles at fluxes of 7 MHz/cm2 with 100 μm resolution. We developed low-material-budget detectors with one-dimensional strip readout, suitable for tracking at highest particle rates as encountered in medical ion transmission radiography or inner tracker applications. Recently we additionally developed Kapton-based floating strip Micromegas with two-dimensional strip readout, featuring an overall thickness of 0.011 X0. These detectors were tested in high-rate proton and carbon-ion beams at the tandem accelerator in Garching and the Heidelberg Ion-Beam Therapy Center, operated with an optimized Ne:CF4 gas mixture. By coupling the Micromegas detectors to a new scintillator based range detector, ion transmission radiographies of PMMA and tissue-equivalent phantoms were acquired. The range detector with 18 layers is read out via wavelength shifting fibers, coupled to a multi-anode photomultiplier. We present the performance of the Micromegas detectors with respect to timing and single plane track reconstruction using the μTPC method. We discuss the range resolution of the scintillator range telescope and present the image reconstruction capabilities of the combined system.
NASA Astrophysics Data System (ADS)
Zhang, J.; Andrä, M.; Barten, R.; Bergamaschi, A.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Mezza, D.; Mozzanica, A.; Ramilli, M.; Redford, S.; Ruat, M.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Turcato, M.; Vetter, S.
2018-01-01
Gotthard-II is a 1-D microstrip detector specifically developed for the European X-ray Free-Electron Laser. It will not only be used in energy dispersive experiments but also as a beam diagnostic tool with additional logic to generate veto signals for the other 2-D detectors. Gotthard-II makes use of a silicon microstrip sensor with a pitch of either 50 μm or 25 μm and with 1280 or 2560 channels wire-bonded to adaptive gain switching readout chips. Built-in analog-to-digital converters and digital memories will be implemented in the readout chip for a continuous conversion and storage of frames for all bunches in the bunch train. The performance of analogue front-end prototypes of Gotthard has been investigated in this work. The results in terms of noise, conversion gain, dynamic range, obtained by means of infrared laser and X-rays, will be shown. In particular, the effects of the strip-to-strip coupling are studied in detail and it is found that the reduction of the coupling effects is one of the key factors for the development of the analogue front-end of Gotthard-II.
Photovoltaic system with improved AC connections and method of making same
Cioffi, Philip Michael; Todorovic, Maja Harfman; Herzog, Michael Scott; Korman, Charles Steven; Doherty, Donald M.; Johnson, Neil Anthony
2018-02-13
An alternating current (AC) harness for a photovoltaic (PV) system includes a wire assembly having a first end and a second end, the wire assembly having a plurality of lead wires, and at least one AC connection module positioned at a location along a length of the wire assembly between the first end and the second end. Further, the at least one AC connection module includes a first connection terminal electrically coupled to the plurality of lead wires of the wire assembly and constructed to electrically couple the wire assembly with an output of a first PV module of the PV system. The at least one AC connection module also includes a second connection terminal electrically coupled to the plurality of lead wires of the wire assembly and constructed to electrically couple the wire assembly with an output of a second PV module of the PV system.
Fabrication of a Silicon Backshort Assembly for Waveguide-Coupled Superconducting Detectors
NASA Technical Reports Server (NTRS)
Crowe, Erik J.; Bennett, Charles L.; Chuss, David T.; Denis, Kevin L.; Eimer, Joseph; Lourie, Nathan; Marriage, Tobias; Moseley, Samuel H.; Rostem, Karwan; Stevenson, Thomas R.;
2012-01-01
The Cosmology Large Angular Scale Surveyor (CLASS) is a ground-based instrument that will measure the polarization of the cosmic microwave background to search for evidence for gravitational waves from a posited epoch of inflation early in the Universe s history. This measurement will require integration of superconducting transition-edge sensors with microwave waveguide inputs with excellent control of systematic errors, such as unwanted coupling to stray signals at frequencies outside of a precisely defined microwave band. To address these needs we present work on the fabrication of micromachined silicon, producing conductive quarter-wave backshort assemblies for the CLASS 40 GHz focal plane. Each 40 GHz backshort assembly consists of three degeneratively doped silicon wafers. Two spacer wafers are micromachined with through-wafer vias to provide a 2.04 mm long square waveguide delay section. The third wafer terminates the waveguide delay in a short. The three wafers are bonded at the wafer level by Au-Au thermal compression bonding then aligned and flip chip bonded to the CLASS detector at the chip level. The micromachining techniques used have been optimized to create high aspect ratio waveguides, silicon pillars, and relief trenches with the goal of providing improved out of band signal rejection. We will discuss the fabrication of integrated CLASS superconducting detector chips with the quarter-wave backshort assemblies.
NASA Astrophysics Data System (ADS)
Chong, Y. F.; Pey, K. L.; Wee, A. T. S.; Thompson, M. O.; Tung, C. H.; See, A.
2002-11-01
In this letter, we report on the complex solidification structures formed during laser irradiation of a titanium nitride/titanium/polycrystalline silicon/silicon dioxide/silicon film stack. Due to enhanced optical coupling, the titanium nitride/titanium capping layer increases the melt depth of polycrystalline silicon by more than a factor of 2. It is found that the titanium atoms diffuse through the entire polycrystalline silicon layer during irradiation. Contrary to the expected polycrystalline silicon growth, distinct regions of polycrystalline and amorphous silicon are formed instead. Possible mechanisms for the formation of these microstructures are proposed.
Cardiac Muscle Studies with Rat Ventricular Strips
ERIC Educational Resources Information Center
Whitten, Bert K.; Faleschini, Richard J.
1977-01-01
Details undergraduate physiology laboratory experiments that demonstrate mechanical properties of cardiac muscle, using strips from the ventricle of a rat heart. Includes procedures for obtaining length-tension curves, demonstrating the role of calcium in excitation-contraction coupling, and showing effects of several cardiovascular drugs…
NASA Astrophysics Data System (ADS)
Manninen, N. K.; Calderon, S.; Carvalho, I.; Henriques, M.; Cavaleiro, A.; Carvalho, S.
2016-07-01
Biofilm formation has been pointed as a major concern in different industrial applications, namely on biomedical implants and surgical instruments, which has prompted the development of new strategies for production of efficient antimicrobial surfaces. In this work, nano-galvanic couples were created to enhance the antibacterial properties of silver, by embedding it into amorphous carbon (a-C) matrix. The developed Ag/a-C nanocomposite coatings, deposited by magnetron sputtering, revealed an outstanding antibacterial activity against Staphylococcus epidermidis, promoting a total reduction in biofilm formation with no bacteria counts in all dilution. The open circuit potential (OCP) tests in 0.9% NaCl confirmed that a-C shows a positive OCP value, in contrast to Ag coating, thus enhancing the ionization of biocidal Ag+ due to the nano-galvanic couple activation. This result was confirmed by the inductively coupled plasma-optical emission spectroscopy (ICP-OES), which revealed a higher Ag ionization rate in the nanocomposite coating in comparison with the Ag coating. The surface of Ag/a-C and Ag coatings immersed in 0.9% NaCl were monitored by scanning electron microscopy (SEM) over a period of 24 h, being found that the Ag ionization determined by ICP-OES was accompanied by an Ag nanoparticles coalescence and agglomeration in Ag/a-C coating.
Synthesis of Silicon Nanoparticles in Inductively Coupled Plasmas
NASA Astrophysics Data System (ADS)
Markosyan, Aram H.; Le Picard, Romain; Girshick, Steven L.; Kushner, Mark J.
2016-09-01
The synthesis of silicon nanoparticles (Si-NPs) is being investigated for their use in photo-emitting electronics, photovoltaics, and biotechnology. The ability to control the size and mono-disperse nature of Si-NPs is important to optimizing these applications. In this paper we discuss results from a computational investigation of Si-NP formation and growth in an inductively coupled plasma (ICP) reactor with the goal of achieving this control. We use a two dimensional numerical model where the algorithms for the kinetics of NP formation are self-consistently coupled with a plasma hydrodynamics simulation. The reactor modeled here resembles a GEC reference cell through which, for the base case, a mixture of Ar/SiH4 = 70/30 flows at 150 sccm at a pressure of 100 mTorr. In continuous wave mode, three coils located on top of the reactor deliver 150 W. The electric plasma potential confines negatively charged particles at the center of the discharge, increasing the residence time of negative NPs, which enables the NPs to potentially grow to large and controllable sizes of many to 100s nm. We discuss methods of controlling NP growth rates by varying the mole fraction and flow rate of SiH4, and using a pulsed plasma by varying the pulse period and duty cycle. Work supported by DOE Office of Fusion Energy Science and National Science Foundation.
NASA Astrophysics Data System (ADS)
Gourash, F.
1984-02-01
The test results for a functional model ac motor controller for electric vehicles and a three-phase induction motor which were dynamically tested on the Lewis Research Center road load simulator are presented. Results show that the controller has the capability to meet the SAE-J227a D cycle test schedule and to accelerate a 1576-kg (3456-lb) simulated vehicle to a cruise speed of 88.5 km/hr (55 mph). Combined motor controller efficiency is 72 percent and the power inverter efficiency alone is 89 percent for the cruise region of the D cycle. Steady state test results for motoring, regeneration, and thermal data obtained by operating the simulator as a conventional dynamometer are in agreement with the contractor's previously reported data. The regeneration test results indicate that a reduction in energy requirements for urban driving cycles is attainable with regenerative braking. Test results and data in this report serve as a data base for further development of ac motor controllers and propulsion systems for electric vehicles. The controller uses state-of-the-art silicon controlled rectifier (SCR) power semiconductors and microprocessor-based logic and control circuitry. The controller was developed by Gould Laboratories under a Lewis contract for the Department of Energy's Electric and Hybrid Vehicle program.
NASA Technical Reports Server (NTRS)
Gourash, F.
1984-01-01
The test results for a functional model ac motor controller for electric vehicles and a three-phase induction motor which were dynamically tested on the Lewis Research Center road load simulator are presented. Results show that the controller has the capability to meet the SAE-J227a D cycle test schedule and to accelerate a 1576-kg (3456-lb) simulated vehicle to a cruise speed of 88.5 km/hr (55 mph). Combined motor controller efficiency is 72 percent and the power inverter efficiency alone is 89 percent for the cruise region of the D cycle. Steady state test results for motoring, regeneration, and thermal data obtained by operating the simulator as a conventional dynamometer are in agreement with the contractor's previously reported data. The regeneration test results indicate that a reduction in energy requirements for urban driving cycles is attainable with regenerative braking. Test results and data in this report serve as a data base for further development of ac motor controllers and propulsion systems for electric vehicles. The controller uses state-of-the-art silicon controlled rectifier (SCR) power semiconductors and microprocessor-based logic and control circuitry. The controller was developed by Gould Laboratories under a Lewis contract for the Department of Energy's Electric and Hybrid Vehicle program.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xue, Cun; He, An; Yong, Huadong
We present an exact analytical approach for arbitrary field-dependent critical state of high-T{sub c} superconducting strip with transport current. The sheet current and flux-density profiles are derived by solving the integral equations, which agree with experiments quite well. For small transport current, the approximate explicit expressions of sheet current, flux-density and penetration depth for the Kim model are derived based on the mean value theorem for integration. We also extend the results to the field-dependent critical state of superconducting strip in the simultaneous presence of applied field and transport current. The sheet current distributions calculated by the Kim model agreemore » with experiments better than that by the Bean model. Moreover, the lines in the I{sub a}-B{sub a} plane for the Kim model are not monotonic, which is quite different from that the Bean model. The results reveal that the maximum transport current in thin superconducting strip will decrease with increasing applied field which vanishes for the Bean model. The results of this paper are useful to calculate ac susceptibility and ac loss.« less
Micó, Gloria; Pastor, Daniel; Pérez, Daniel; Doménech, José David; Fernández, Juan; Baños, Rocío; Alemany, Rubén; Sánchez, Ana M.; Cirera, Josep M.; Mas, Roser
2017-01-01
Silicon nitride photonics is on the rise owing to the broadband nature of the material, allowing applications of biophotonics, tele/datacom, optical signal processing and sensing, from visible, through near to mid-infrared wavelengths. In this paper, a review of the state of the art of silicon nitride strip waveguide platforms is provided, alongside the experimental results on the development of a versatile 300 nm guiding film height silicon nitride platform. PMID:28895906
A Silicon SPECT System for Molecular Imaging of the Mouse Brain.
Shokouhi, Sepideh; Fritz, Mark A; McDonald, Benjamin S; Durko, Heather L; Furenlid, Lars R; Wilson, Donald W; Peterson, Todd E
2007-01-01
We previously demonstrated the feasibility of using silicon double-sided strip detectors (DSSDs) for SPECT imaging of the activity distribution of iodine-125 using a 300-micrometer thick detector. Based on this experience, we now have developed fully customized silicon DSSDs and associated readout electronics with the intent of developing a multi-pinhole SPECT system. Each DSSD has a 60.4 mm × 60.4 mm active area and is 1 mm thick. The strip pitch is 59 micrometers, and the readout of the 1024 strips on each side gives rise to a detector with over one million pixels. Combining four high-resolution DSSDs into a SPECT system offers an unprecedented space-bandwidth product for the imaging of single-photon emitters. The system consists of two camera heads with two silicon detectors stacked one behind the other in each head. The collimator has a focused pinhole system with cylindrical-shaped pinholes that are laser-drilled in a 250 μm tungsten plate. The unique ability to collect projection data at two magnifications simultaneously allows for multiplexed data at high resolution to be combined with lower magnification data with little or no multiplexing. With the current multi-pinhole collimator design, our SPECT system will be capable of offering high spatial resolution, sensitivity and angular sampling for small field-of-view applications, such as molecular imaging of the mouse brain.
Roy, Swapnoneel; Thakur, Ashok Kumar
2008-01-01
Genome rearrangements have been modelled by a variety of primitives such as reversals, transpositions, block moves and block interchanges. We consider such a genome rearrangement primitive Strip Exchanges. Given a permutation, the challenge is to sort it by using minimum number of strip exchanges. A strip exchanging move interchanges the positions of two chosen strips so that they merge with other strips. The strip exchange problem is to sort a permutation using minimum number of strip exchanges. We present here the first non-trivial 2-approximation algorithm to this problem. We also observe that sorting by strip-exchanges is fixed-parameter-tractable. Lastly we discuss the application of strip exchanges in a different area Optical Character Recognition (OCR) with an example.
Intermediate Bandgap Solar Cells From Nanostructured Silicon
DOE Office of Scientific and Technical Information (OSTI.GOV)
Black, Marcie
2014-10-30
This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.
NASA Astrophysics Data System (ADS)
Zheng, Yu; Wang, Kan; Zhang, Jingjing; Qin, Weijian; Yan, Xinyu; Shen, Guangxia; Gao, Guo; Pan, Fei; Cui, Daxiang
2016-02-01
Quantum dots-labeled urea-enzyme antibody-based rapid immunochromatographic test strips have been developed as quantitative fluorescence point-of-care tests (POCTs) to detect helicobacter pylori. Presented in this study is a new test strip reader designed to run on tablet personal computers (PCs), which is portable for outdoor detection even without an alternating current (AC) power supply. A Wi-Fi module was integrated into the reader to improve its portability. Patient information was loaded by a barcode scanner, and an application designed to run on tablet PCs was developed to handle the acquired images. A vision algorithm called Kmeans was used for picture processing. Different concentrations of various human blood samples were tested to evaluate the stability and accuracy of the fabricated device. Results demonstrate that the reader can provide an easy, rapid, simultaneous, quantitative detection for helicobacter pylori. The proposed test strip reader has a lighter weight than existing detection readers, and it can run for long durations without an AC power supply, thus verifying that it possesses advantages for outdoor detection. Given its fast detection speed and high accuracy, the proposed reader combined with quantum dots-labeled test strips is suitable for POCTs and owns great potential in applications such as screening patients with infection of helicobacter pylori, etc. in near future.
DC coupled Doppler radar physiological monitor.
Zhao, Xi; Song, Chenyan; Lubecke, Victor; Boric-Lubecke, Olga
2011-01-01
One of the challenges in Doppler radar systems for physiological monitoring is a large DC offset in baseband outputs. Typically, AC coupling is used to eliminate this DC offset. Since the physiological signals of interest include frequency content near DC, it is not desirable to simply use AC coupling on the radar outputs. While AC coupling effectively removes DC offset, it also introduces a large time delay and distortion. This paper presents the first DC coupled IQ demodulator printed circuit board (PCB) design and measurements. The DC coupling is achieved by using a mixer with high LO to RF port isolation, resulting in a very low radar DC offset on the order of mV. The DC coupled signals from the PCB radar system were successfully detected with significant LNA gain without saturation. Compared to the AC coupled results, the DC coupled results show great advantages of less signal distortion and more accurate rate estimation.
Laser-fiber coupling by means of a silicon micro-optical bench and a self-aligned soldering process
NASA Astrophysics Data System (ADS)
Schmidt, Jan P.; Cordes, A.; Mueller, Joerg; Burkhardt, Hans
1995-02-01
The alignment of laser diodes to monomode fibers has to meet extremely close tolerances for a low coupling loss. Typically < 0.5 micrometers in lateral and vertical direction and less than two degrees in angle deviation are allowed for a coupling loss below 2 dB. Presently such close tolerances can only be met by gluing or soldering both components on separate base plates and combining them via piezoactivated alignment monitoring the output of the circuit and then gluing them using UV-hardening epoxies. Such a procedure is not very economical and not useful for mass applications. This paper presents the principle and realization of a silicon micro-optical bench for laser-fiber-coupling, which avoids the above mentioned disadvantages. The micro-optical bench is realized using well controlled plasma etching processes to transfer the guiding patterns for the laser and the fiber into the silicon substrate, keeping geometry tolerances below +/- 0.5 micrometers in lateral and vertical direction. Mounting the laser diode by means of a self-aligned soldering process, an additional contribution to the precise alignment of the laser is further improved.
A MEMS sensor for AC electric current
NASA Astrophysics Data System (ADS)
Leland, Eli Sidney
This manuscript describes the development of a new MEMS sensor for the measurement of AC electric current. The sensor is comprised of a MEMS piezoelectric cantilever with a microscale permanent magnet mounted to the cantilever's free end. When placed near a wire carrying AC current, the magnet couples to the oscillating magnetic field surrounding the wire, causing the cantilever to deflect, and piezoelectric coupling produces a sinusoidal voltage proportional to the current in the wire. The sensor is itself passive, requiring no power supply to operate. It also operates on proximity and need only be placed near a current carrier in order to function. The sensor does not need to encircle the current carrier and it therefore can measure current in two-wire zip-cords without necessitating the separation of the two conductors. Applications for tins sensor include measuring residential and commercial electricity use and monitoring electric power distribution networks. An analytical model describing the behavior of the current sensor was developed. This model was also adapted to describe the power output of an energy scavenger coupled to a wire carrying AC current. A mesoscale sensor exhibited a sensitivity of 75 mV/A when measuring AC electric current in a zip-cord. A mesoscale energy scavenger produced 345 muW when coupled to a zip-cord carrying 13 A. MEMS current sensors were fabricated from aluminum nitride piezoelectric cantilevers and composite permanent magnets. The cantilevers were fabricated using a four-mask process. Microscale permanent magnets were dispenser-printed using NdFeB magnetic powder with an epoxy binder. The MEMS AC current sensor was interfaced with amplification circuitry and packaged inside an almninum enclosure. The sensor was also integrated with a mesoscale energy scavenger and power conditioning circuitry to create a fully self-powered current sensor. Unamplified sensitivity of the sensor was 0.1-1.1 mV/A when measuring currents in single
Coupled resonator optical waveguides based on silicon-on-insulator photonic wires
NASA Astrophysics Data System (ADS)
Xia, Fengnian; Sekaric, Lidija; O'Boyle, Martin; Vlasov, Yurii
2006-07-01
Coupled resonator optical waveguides (CROWs) comprised of up to 16 racetrack resonators based on silicon-on-insulator (SOI) photonic wires were fabricated and characterized. The optical properties of the CROWs were simulated using measured single resonator parameters based on a matrix approach. The group delay property of CROWs was also analyzed. The SOI based CROWs consisting of multiple resonators have extremely small footprints and can find applications in optical filtering, dispersion compensation, and optical buffering. Moreover, such CROW structure is a promising candidate for exploration of low light level nonlinear optics due to its resonant nature and compact mode size (˜0.1μm2) in photonic wire.
Ultra-stripped supernovae: progenitors and fate
NASA Astrophysics Data System (ADS)
Tauris, Thomas M.; Langer, Norbert; Podsiadlowski, Philipp
2015-08-01
The explosion of ultra-stripped stars in close binaries can lead to ejecta masses <0.1 M⊙ and may explain some of the recent discoveries of weak and fast optical transients. In Tauris et al., it was demonstrated that helium star companions to neutron stars (NSs) may experience mass transfer and evolve into naked ˜1.5 M⊙ metal cores, barely above the Chandrasekhar mass limit. Here, we elaborate on this work and present a systematic investigation of the progenitor evolution leading to ultra-stripped supernovae (SNe). In particular, we examine the binary parameter space leading to electron-capture (EC SNe) and iron core-collapse SNe (Fe CCSNe), respectively, and determine the amount of helium ejected with applications to their observational classification as Type Ib or Type Ic. We mainly evolve systems where the SN progenitors are helium star donors of initial mass MHe = 2.5-3.5 M⊙ in tight binaries with orbital periods of Porb = 0.06-2.0 d, and hosting an accreting NS, but we also discuss the evolution of wider systems and of both more massive and lighter - as well as single - helium stars. In some cases, we are able to follow the evolution until the onset of silicon burning, just a few days prior to the SN explosion. We find that ultra-stripped SNe are possible for both EC SNe and Fe CCSNe. EC SNe only occur for MHe = 2.60-2.95 M⊙ depending on Porb. The general outcome, however, is an Fe CCSN above this mass interval and an ONeMg or CO white dwarf for smaller masses. For the exploding stars, the amount of helium ejected is correlated with Porb - the tightest systems even having donors being stripped down to envelopes of less than 0.01 M⊙. We estimate the rise time of ultra-stripped SNe to be in the range 12 h-8 d, and light-curve decay times between 1 and 50 d. A number of fitting formulae for our models are provided with applications to population synthesis. Ultra-stripped SNe may produce NSs in the mass range 1.10-1.80 M⊙ and are highly relevant for
Denmark, Scott E; Kobayashi, Tetsuya
2003-06-27
The palladium- and copper-catalyzed cross-coupling reactions of cyclic silyl ethers with aryl iodides are reported. Silyl ethers 3 were readily prepared by intramolecular silylformylation of homopropargyl silyl ethers 2 under a carbon monoxide atmosphere. The reaction of cyclic silyl ethers 3with various aryl iodides 7 in the presence of [(allyl)PdCl](2), CuI, a hydrosilane, and KF.2H(2)O in DMF at room temperature provided the alpha,beta-unsaturated aldehyde coupling products 8 in high yields. The need for copper in this process suggested that transmetalation from silicon to copper is an important step in the mechanism. Although siloxane 3 and the product 8 are not stable under basic conditions, KF.2H(2)O provided the appropriate balance of reactivity toward silicon and reduced basicity. The addition of a hydrosilane to [(allyl)PdCl](2) was needed to reduce the palladium(II) to the active palladium(0) form.
NASA Technical Reports Server (NTRS)
Crowe, Erik J.; Bennett, Charles L.; Chuss, David T.; Denis, Kevin L.; Eimer, Joseph; Lourie, Nathan; Marriage, Tobias; Moseley, Samuel H.; Rostem, Karwan; Stevenson, Thomas R.;
2012-01-01
The Cosmology Large Angular Scale Surveyor (CLASS) is a ground-based instrument that will measure the polarization of the cosmic microqave background to search for gravitational waves form a posited epoch of inflation early in the universe's history. This measurement will require integration of superconducting transition-edge sensors with microwave waveguide inputs with good conrol of systematic errors, such as unwanted coupling to stray signals at frequencies outside of a precisely defined microwave band. To address these needs we will present work on the fabrication of silicon quarter-wave backshorts for the CLASS 40GHz focal plane. The 40GHz backshort consists of three degeneratively doped silicon wafers. Two spacer wafers are micromachined with through wafer vins to provide a 2.0mm long square waveguide. The third wafer acts as the backshort cap. The three wafers are bonded at the wafer level by Au-Au thermal compression bonding then aligned and flip chip bonded to the CLASS detector at the chip level. The micromachining techniques used have been optimized to create high aspect ratio waveguides, silicon pillars, and relief trenches with the goal of providing improved out of band signal rejection. We will discuss the fabrication of integrated CLASS superconducting detectors with silicon quarter wave backshorts and present current measurement results.
Ma, Zhongyuan; Ni, Xiaodong; Zhang, Wenping; Jiang, Xiaofan; Yang, Huafeng; Yu, Jie; Wang, Wen; Xu, Ling; Xu, Jun; Chen, Kunji; Feng, Duan
2014-11-17
A significant enhancement of blue light emission from amorphous oxidized silicon nitride (a-SiNx:O) films is achieved by introduction of ordered and size-controllable arrays of Ag nanoparticles between the silicon substrate and a-SiNx:O films. Using hexagonal arrays of Ag nanoparticles fabricated by nanosphere lithography, the localized surface plasmons (LSPs) resonance can effectively increase the internal quantum efficiency from 3.9% to 13.3%. Theoretical calculation confirms that the electromagnetic field-intensity enhancement is through the dipole surface plasma coupling with the excitons of a-SiNx:O films, which demonstrates a-SiNx:O films with enhanced blue emission are promising for silicon-based light-emitting applications by patterned Ag arrays.
NASA Astrophysics Data System (ADS)
Gong, Yuanhao; Liu, Lei; Chang, Limin; Li, Zhiyong; Tan, Manqing; Yu, Yude
2017-10-01
We propose and numerically simulate a polarization-independent 1×3 broadband beam splitter based on silicon-on-insulator (SOI) technology with adiabatic coupling. The designed structure is simulated by beam-propagation-method (BPM) and gets simulated transmission uniformity of three outputs better than 0.3dB for TE-polarization and 0.8dB for TM-polarization in a broadband of 180nm.
NASA Astrophysics Data System (ADS)
Stauffer, Donald R.; Lenz, James
1997-02-01
Single vehicle run-off-road accidents are responsible for significant numbers of injuries and fatalities, and significant property damage. This fact spurs interest in warning systems to alert drivers that vehicles are drifting towards the edge of the road, and that a run-off road accident is imminent. An early attempt at such a warning system is the use of machined grooves on the shoulder to create a rumble strip. Such a system only provides warning, however, as the vehicle actually leaves the traffic lane. More desirable is a system that warns in anticipation of such departure. Honeywell has under development a magnetic lateral guidance system that couples a sensitive magnetoresistive transducer with a magnetic traffic marking tape being developed by 3M. While this development was initially undertaken for use in automated highways, or for special tasks such as guiding snowplow owners, the system can provide an effective, all-weather warning system to provide alert of impending departure from the roadway. This electronic rumble strip is actually a simpler system than the baseline guidance system, and can monitor both distance from the traffic lane edge and the speed of approach to the edge with a low cost sensor.
Long term performance stability of silicon sensors
NASA Astrophysics Data System (ADS)
Mori, R.; Betancourt, C.; Kühn, S.; Hauser, M.; Messmer, I.; Hasenfratz, A.; Thomas, M.; Lohwasser, K.; Parzefall, U.; Jakobs, K.
2015-10-01
The HL-LHC investigations on silicon particle sensor performance are carried out with the intention to reproduce the harsh environments foreseen, but usually in individual short measurements. Recently, several groups have observed a decrease in the charge collection of silicon strip sensors after several days, in particular on sensors showing charge multiplication. This phenomenon has been explained with a surface effect, the increase of charge sharing due to the increment of positive charge in the silicon oxide coming from the source used for charge collection measurements. Observing a similar behaviour in other sensors for which we can exclude this surface effect, we propose and investigate alternative explanations, namely trapping related effects (change of polarization) and annealing related effects. Several n-on-p strip sensors, as-processed and irradiated with protons and neutrons up to 5 ×1015neq /cm2, have been subjected to charge collection efficiency measurements for several days, while parameters like the impedance have been monitored. The probable stressing conditions have been changed in an attempt to recover the collected charge in case of a decrease. The results show that for the investigated sensors the effect of charge sharing induced by a radioactive source is not important, and a main detrimental factor is due to very high voltage, while at lower voltages the performance is stable.
NASA Astrophysics Data System (ADS)
Zemánek, Ivan; Havlíček, Václav
2006-09-01
A new universal control and measuring system for classic and amorphous soft magnetic materials single/on-line strip testing has been developed at the Czech Technical University in Prague. The measuring system allows to measure magnetization characteristic and specific power losses of different tested materials (strips) at AC magnetization of arbitrary magnetic flux density waveform at wide range of frequencies 20 Hz-20 kHz. The measuring system can be used for both single strip testing in laboratories and on-line strip testing during the production process. The measuring system is controlled by two-stage master-slave control system consisting of the external PC (master) completed by three special A/D measuring plug-in boards, and local executing control unit (slave) with one-chip microprocessor 8051, connected with PC by the RS232 serial line. The "user friendly" powerful control software implemented on the PC and the effective program code for the microprocessor give possibility for full automatic measurement with high measuring power and high measuring accuracy.
Mode converter based on an inverse taper for multimode silicon nanophotonic integrated circuits.
Dai, Daoxin; Mao, Mao
2015-11-02
An inverse taper on silicon is proposed and designed to realize an efficient mode converter available for the connection between multimode silicon nanophotonic integrated circuits and few-mode fibers. The present mode converter has a silicon-on-insulator inverse taper buried in a 3 × 3μm(2) SiN strip waveguide to deal with not only for the fundamental mode but also for the higher-order modes. The designed inverse taper enables the conversion between the six modes (i.e., TE(11), TE(21), TE(31), TE(41), TM(11), TM(12)) in a 1.4 × 0.22μm(2) multimode SOI waveguide and the six modes (like the LP(01), LP(11a), LP(11b) modes in a few-mode fiber) in a 3 × 3μm(2) SiN strip waveguide. The conversion efficiency for any desired mode is higher than 95.6% while any undesired mode excitation ratio is lower than 0.5%. This is helpful to make multimode silicon nanophotonic integrated circuits (e.g., the on-chip mode (de)multiplexers developed well) available to work together with few-mode fibers in the future.
Spin-orbit coupling induced two-electron relaxation in silicon donor pairs
NASA Astrophysics Data System (ADS)
Song, Yang; Das Sarma, S.
2017-09-01
We unravel theoretically a key intrinsic relaxation mechanism among the low-lying singlet and triplet donor-pair states in silicon, an important element in the fast-developing field of spintronics and quantum computation. Despite the perceived weak spin-orbit coupling (SOC) in Si, we find that our discovered relaxation mechanism, combined with the electron-phonon and interdonor interactions, drives the transitions in the two-electron states over a large range of donor coupling regimes. The scaling of the relaxation rate with interdonor exchange interaction J goes from J5 to J4 at the low to high temperature limits. Our analytical study draws on the symmetry analysis over combined band, donor envelope, and valley configurations. It uncovers naturally the dependence on the donor-alignment direction and triplet spin orientation, and especially on the dominant SOC source from donor impurities. While a magnetic field is not necessary for this relaxation, unlike in the single-donor spin relaxation, we discuss the crossover behavior with increasing Zeeman energy in order to facilitate comparison with experiments.
Upconversion fluorescent strip sensor for rapid determination of Vibrio anguillarum
NASA Astrophysics Data System (ADS)
Zhao, Peng; Wu, Yuanyuan; Zhu, Yihua; Yang, Xiaoling; Jiang, Xin; Xiao, Jingfan; Zhang, Yuanxing; Li, Chunzhong
2014-03-01
Here, we report a simple and ultrasensitive upconversion fluorescent strip sensor based on NaYF4:Yb,Er nanoparticles (NPs) and the lateral flow immunochromatographic assay (LFIA). Carboxyl-modified β-NaYF4:Yb,Er NPs were successfully synthesized by a facile one-pot solvothermal approach, upon further coupling with monoclonal antibody, the resultant UCNPs-antibody conjugates probes were used in LFIA and served as signal vehicles for the fluorescent reporters. V. anguillarum was used as a model analyte to demonstrate the use of this strip sensor. The limit of the detection for the fluorescent strip was determined as 102 CFU mL-1, which is 100 times lower than those displayed by enzyme-linked immunosorbent assays, while the time needed for the detection was only 15 min. Furthermore, no cross-reaction with other eight pathogens was found, indicating the good specificity of the strip. This developed LFIA would offer the potential as a useful tool for the quantification of pathogens analysis in the future.
Observing RAM Pressure Stripping and Morphological Transformation in the Coma Cluster
NASA Astrophysics Data System (ADS)
Gregg, Michael; West, Michael
2017-07-01
The two largest spirals in the Coma cluster, NGC4911 and NGC4921, are being vigorously ram-pressure stripped by the hot intracluster medium. Our HST ACS and WFC3 images have revealed galactic scale shock fronts, giant "Pillars of Creation", rivulets of dust, and spatially coherent star formation in these grand design spirals. We have now obtained HST WFC3 imaging of five additional large Coma spirals to search for and investigate the effects of ram pressure stripping across the wider cluster environment. The results are equally spectacular as the first two examples. The geometry of the interactions in some cases allows an estimation of the various time scales involved, including gas flows out of the disk leading to creation of the ICM, and the attendant triggered star formation in the galaxy disks. The global star formation patterns yield insights into the spatial and temporal ISM-ICM interactions driving cluster galaxy evolution and ultimately transforming morphologies from spiral to S0. These processes were much more common in the early Universe when the intergalactic and intracluster components were initially created from stripping and destruction of member galaxies.
Hybrid Photon-Plasmon Coupling and Ultrafast Control of Nanoantennas on a Silicon Photonic Chip.
Chen, Bigeng; Bruck, Roman; Traviss, Daniel; Khokhar, Ali Z; Reynolds, Scott; Thomson, David J; Mashanovich, Goran Z; Reed, Graham T; Muskens, Otto L
2018-01-10
Hybrid integration of nanoplasmonic devices with silicon photonic circuits holds promise for a range of applications in on-chip sensing, field-enhanced and nonlinear spectroscopy, and integrated nanophotonic switches. Here, we demonstrate a new regime of photon-plasmon coupling by combining a silicon photonic resonator with plasmonic nanoantennas. Using principles from coherent perfect absorption, we make use of standing-wave light fields to maximize the photon-plasmon interaction strength. Precise placement of the broadband antennas with respect to the narrowband photonic racetrack modes results in controlled hybridization of only a subset of these modes. By combining antennas into groups of radiating dipoles with opposite phase, far-field scattering is effectively suppressed. We achieve ultrafast tuning of photon-plasmon hybridization including reconfigurable routing of the standing-wave input between two output ports. Hybrid photonic-plasmonic resonators provide conceptually new approaches for on-chip integrated nanophotonic devices.
Yang, Dewei; Jing, Huijuan; Wang, Zhaowu; Li, Jiaheng; Hu, Mingxiang; Lv, Ruitao; Zhang, Rui; Chen, Deliang
2018-05-19
Activated carbon (AC) based supercapacitors exhibit intrinsic advantages in energy storage. Traditional two-step synthesis (carbonization and activation) of AC faces difficulties in precisely regulating its pore-size distribution and thoroughly removing residual impurities like silicon oxide. This paper reports a novel coupled ultrasonication-milling (CUM) process for the preparation of hierarchically porous carbon (HPC) using corn cobs as the carbon resource. The as-obtained HPC is of a large surface area (2288 m 2 g -1 ) with a high mesopore ratio of ∼44.6%. When tested in a three-electrode system, the HPC exhibits a high specific capacitance of 465 F g -1 at 0.5 Ag -1 , 2.7 times higher than that (170 F g -1 ) of the commercial AC (YP-50F). In the two-electrode test system, the HPC device exhibits a specific capacitance of 135 F g -1 at 1 A g -1 , twice higher than that (68 F g -1 ) of YP-50F. The above excellent energy-storage properties are resulted from the CUM process which efficiently removes the impurities and modulates the mesopore/micropore structures of the AC samples derived from the agricultural resides of corn cobs. The CUM process is an efficient method to prepare high-performance biomass-derived AC materials. Copyright © 2018 Elsevier Inc. All rights reserved.
High Pressure Water Stripping Using Multi-Orifice Nozzles
NASA Technical Reports Server (NTRS)
Hoppe, David
1999-01-01
The use of multi-orifice rotary nozzles greatly increases the speed and stripping effectiveness of high pressure water blasting systems, but also greatly increases the complexity of selecting and optimizing the operating parameters. The rotational speed of the nozzle must be coupled with its transverse velocity as it passes across the surface of the substrate being stripped. The radial and angular positions of each orifice must be included in the analysis of the nozzle configuration. Orifices at the outer edge of the nozzle head move at a faster rate than the orifices located near the center. The energy transmitted to the surface from the impact force of the water stream from an outer orifice is therefore spread over a larger area than energy from an inner orifice. Utilizing a larger diameter orifice in the outer radial positions increases the total energy transmitted from the outer orifice to compensate for the wider distribution of energy. The total flow rate from the combination of all orifices must be monitored and should be kept below the pump capacity while choosing orifice to insert in each position. The energy distribution from the orifice pattern is further complicated since the rotary path of all the orifices in the nozzle head pass through the center section. All orifices contribute to the stripping in the center of the path while only the outer most orifice contributes to the stripping at the edge of the nozzle. Additional orifices contribute to the stripping from the outer edge toward the center section. With all these parameters to configure and each parameter change affecting the others, a computer model was developed to track and coordinate these parameters. The computer simulation graphically indicates the cumulative affect from each parameter selected. The result from the proper choices in parameters is a well designed, highly efficient stripping system. A poorly chosen set of parameters will cause the nozzle to strip aggressively in some areas
High Pressure Water Stripping Using Multi-Orifice Nozzles
NASA Technical Reports Server (NTRS)
Hoppe, David T.
1998-01-01
The use of multi-orifice rotary nozzles not only increases the speed and stripping effectiveness of high pressure water blasting systems, but also greatly increases the complexity of selecting and optimizing the operating parameters. The rotational speed of the nozzle must be coupled with the transverse velocity of the nozzle as it passes across the surface of the substrate being stripped. The radial and angular positions of each orifice must be included in the analysis of the nozzle configuration. Since orifices at the outer edge of the nozzle head move at a faster rate than the orifice located near the center, the energy impact force of the water stream from the outer orifice is spread over a larger area than the water streams from the inner orifice. Utilizing a larger diameter orifice in the outer radial positions increases the energy impact to compensate for its wider force distribution. The total flow rate from the combination of orifices must be monitored and kept below the pump capacity while choosing an orifice to insert in each position. The energy distribution from the orifice pattern is further complicated since the rotary path of all orifices in the nozzle head pass through the center section, contributing to the stripping in this area while only the outer most orifice contributes to the stripping in the shell area at the extreme outside edge of the nozzle. From t he outer most shell to the center section, more orifices contribute to the stripping in each progressively reduced diameter shell. With all these parameters to configure and each parameter change affecting the others, a computer model was developed to track and coordinate these parameters. The computer simulation responds by graphically indicating the cumulative affect from each parameter selected. The results from the proper choices in parameters is a well designed, highly efficient stripping system. A poorly chosen set of parameters will cause the nozzle to strip aggressively in some areas
Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J
2013-10-09
The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.
2013-01-01
The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics. PMID:24001174
Low-loss slot waveguides with silicon (111) surfaces realized using anisotropic wet etching
NASA Astrophysics Data System (ADS)
Debnath, Kapil; Khokhar, Ali; Boden, Stuart; Arimoto, Hideo; Oo, Swe; Chong, Harold; Reed, Graham; Saito, Shinichi
2016-11-01
We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI) platform. Waveguides oriented along the (11-2) direction on the Si (110) plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.
Mofidi, Zahra; Norouzi, Parviz; Seidi, Shahram; Ganjali, Mohammad Reza
2017-06-15
For the first time, on-line and ultra-sensitive determination of trace amount of diclofenac in whole blood sample was performed by coupling of electromembrane extraction (EME) and stripping fast Fourier transform continuous cyclic voltammetry (SFFTCCV). In SFFTCCV, the potential waveform was continuously applied on a carbon paste electrode and the electrode response was obtained by subtracting the background current and integrating the current in potential range of the analyte oxidation. A central composite design was used for the optimization of the parameters influencing the extraction efficiency. By applying a DC potential of 20 V during 28 min of extraction, diclofenac was migrated from the sample solution (pH 5), into a thin layer of 1-octanol immobilized in the pores of a porous flat sheet membrane and then into the acceptor solution (pH 7). The method presented a good linearity within the range of 5-1000 ng mL -1 with a determination coefficient of 0.993 in whole blood samples. Limits of detection (LOD) and quantification (LOQ) were found to be 1.0 ng mL -1 and 5.0 ng mL -1 respectively. Copyright © 2017 Elsevier B.V. All rights reserved.
ac response of thin superconductors in the flux-creep regime
NASA Astrophysics Data System (ADS)
Gurevich, A.; Brandt, E. H.
1997-05-01
We calculate both analytically and numerically the ac susceptibility χ(ω) and the nonlinear electromagnetic response of thin superconductor strips and disks of constant thickness in a perpendicular time-dependent magnetic field Ba(t)=B0cos ωt, taking account of the strong nonlinearity of the voltage-current characteristics below the irreversibility line. We consider integral equations of nonlinear nonlocal flux diffusion for a wide class of thermally activated creep models. It is shown that thin superconductors, despite being fully in the critical state, exhibit a universal Meissner-like electromagnetic response in the dissipative flux-creep regime. The expression for the linear ac susceptibility during flux creep appears to be similar to the susceptibility of Ohmic conductors, but with the relaxation time constant replaced by the time t elapsed after flux creep has started. This result is independent of any material parameter or temperature or dc field. For ωt>>:1, we obtain χ(ω)~-1+pln (qiωt)/(iωt), where p and q are constants. Above a critical ac amplitude B0=Bl, the local response of the electric field becomes nonlinear, and there are two distinctive nonlinear regimes at B0>Bl, where Bl~s(d/a)1/2Bp, Bp is a characteristic field of full flux penetration, s(T,B)=\\|dln j/dln t\\| is the dimensionless flux-creep rate and d and a are the sample thickness and width, respectively. For Bl
Buckling of a Flexible Strip Sliding on a Frictional Base
NASA Astrophysics Data System (ADS)
Huynen, Alexandre; Marck, Julien; Denoel, Vincent; Detournay, Emmanuel
2013-03-01
The main motivation for this contribution is the buckling of a drillstring sliding on the bottom of the horizontal section of borehole. The open questions that remain today are related to the determination of the onset of instability, and to the conditions under which different modes of constrained buckling occur. In this presentation, we are concerned by a two-dimensional version of this problem; namely, the sliding of a flexible strip being fed inside a conduit. The ribbon, which has a flexural rigidity EI and a weight per unit length w, is treated as an inextensible elastica of negligible thickness. The contact between the ribbon and the wall of the conduit is characterized by a friction coefficient μ. First, we report the result of a stability analysis that aims at determining the critical inserted length of the ribbon l* (μ) (scaled by the characteristic length λ =(EI / w) 1 / 3) at which there is separation between the strip and the conduit bottom, as well as the buckling mode. Next, the relationship between the feeding force F and the inserted length l after bifurcation is computed. Finally, the results of a ``kitchen table'' experiment involving a strip of silicon rubber being pushed on a plank are reported and compared with predictions.
The Belle II Silicon Vertex Detector
NASA Astrophysics Data System (ADS)
Friedl, M.; Ackermann, K.; Aihara, H.; Aziz, T.; Bergauer, T.; Bozek, A.; Campbell, A.; Dingfelder, J.; Drasal, Z.; Frankenberger, A.; Gadow, K.; Gfall, I.; Haba, J.; Hara, K.; Hara, T.; Higuchi, T.; Himori, S.; Irmler, C.; Ishikawa, A.; Joo, C.; Kah, D. H.; Kang, K. H.; Kato, E.; Kiesling, C.; Kodys, P.; Kohriki, T.; Koike, S.; Kvasnicka, P.; Marinas, C.; Mayekar, S. N.; Mibe, T.; Mohanty, G. B.; Moll, A.; Negishi, K.; Nakayama, H.; Natkaniec, Z.; Niebuhr, C.; Onuki, Y.; Ostrowicz, W.; Park, H.; Rao, K. K.; Ritter, M.; Rozanska, M.; Saito, T.; Sakai, K.; Sato, N.; Schmid, S.; Schnell, M.; Shimizu, N.; Steininger, H.; Tanaka, S.; Tanida, K.; Taylor, G.; Tsuboyama, T.; Ueno, K.; Uozumi, S.; Ushiroda, Y.; Valentan, M.; Yamamoto, H.
2013-12-01
The KEKB machine and the Belle experiment in Tsukuba (Japan) are now undergoing an upgrade, leading to an ultimate luminosity of 8×1035 cm-2 s-1 in order to measure rare decays in the B system with high statistics. The previous vertex detector cannot cope with this 40-fold increase of luminosity and thus needs to be replaced. Belle II will be equipped with a two-layer Pixel Detector surrounding the beam pipe, and four layers of double-sided silicon strip sensors at higher radii than the old detector. The Silicon Vertex Detector (SVD) will have a total sensitive area of 1.13 m2 and 223,744 channels-twice as many as its predecessor. All silicon sensors will be made from 150 mm wafers in order to maximize their size and thus to reduce the relative contribution of the support structure. The forward part has slanted sensors of trapezoidal shape to improve the measurement precision and to minimize the amount of material as seen by particles from the vertex. Fast-shaping front-end amplifiers will be used in conjunction with an online hit time reconstruction algorithm in order to reduce the occupancy to the level of a few percent at most. A novel “Origami” chip-on-sensor scheme is used to minimize both the distance between strips and amplifier (thus reducing the electronic noise) as well as the overall material budget. This report gives an overview on the status of the Belle II SVD and its components, including sensors, front-end detector ladders, mechanics, cooling and the readout electronics.
The lateral tarsal strip revisited. The enhanced tarsal strip.
Jordan, D R; Anderson, R L
1989-04-01
The lateral tarsal strip procedure was originally designed for the treatment of upper and lower eyelid laxity, or lateral canthal tendon laxity or malposition. Despite the excellent results with a standard tarsal strip procedure for those eyelids with laxity and excess skin, we have encountered a number of patients with lower eyelid or canthal malpositions or both who would benefit from a tarsal strip, but who do not have lax tissues (especially skin), and may in fact have a shortage of skin. These include cases of lower lid retraction or canthal malposition following trauma, blepharoplasty, or other operations, and patients with tendency toward or having cicatricial ectropion. Any anterior lamella removal in such patients would aggravate the lid malposition and weaken the lateral canthal tissues to be sutured. We suggest a modification of the tarsal strip (developed by one of us [R.L.A.]) to treat many such patients without requiring additional anterior lamella (skin graft) or more formidable procedures. We refer to this technique as the "enhanced tarsal strip" technique, and we use this technique more frequently than the original tarsal strip procedure.
Coupling of carbon and silicon geochemical cycles in rivers and lakes
Wang, Baoli; Liu, Cong-Qiang; Maberly, Stephen C.; Wang, Fushun; Hartmann, Jens
2016-01-01
Carbon (C) and silicon (Si) biogeochemical cycles are important factors in the regulation of atmospheric CO2 concentrations and hence climate change. Theoretically, these elements are linked by chemical weathering and organism stoichiometry, but this coupling has not been investigated in freshwaters. Here we compiled data from global rivers and lakes in the United States of America and the United Kingdom, in order to characterize the stoichiometry between the biogeochemical cycles of C and Si. In rivers this coupling is confirmed by a significant relationship between HCO3−/Na+ and DSi/Na+, and DSi:HCO3− ratio can reflect the mineral source of chemical weathering. In lakes, however, these characteristic ratios of chemical weathering are altered by algal activity. The lacustrine Si:C atomic ratio is negative feedback regulation by phytoplankton, which may result in this ratio in algal assemblages similar to that in water column. And this regulation suggests lacustrine photosynthetic C fixation in this equilibrium state is quantitative and depends on the DSi concentration. These findings provide new insights into the role of freshwaters in global C and Si biogeochemical cycles. PMID:27775007
Grippaudo, Cristina; Cancellieri, Daniela; Grecolini, Maria E; Deli, Roberto
2010-01-01
The aim of this study was to evaluate the morphological effects and the surface irregularities produced by different methods of mechanical stripping (abrasive strips and burs) and chemical stripping (37% orthophosphoric acid) and the surface changes following the finishing procedures (polishing strips) or the subsequent application of sealants, in order to establish the right stripping method that can guarantee the smoothest surface. We have also analysed the level of wear on the different abrasive strips employed, according to their structure. 160 proximal surfaces of 80 sound molar teeth extracted for orthodontic and periodontal reasons, were divided into: 1 control group with non-treated enamel proximal surfaces and 5 different groups according to the stripping method used, were observed with scanning electron microscopy (SEM). Each one of the 5 treated groups was also divided into 3 different subgroups according to the finishing procedures or the subsequent application of sealants. The finishing stage following the manual reduction proves to be fundamental in reducing the number and depth of grooves created by the stripping. After the air rotor stripping method, the use of sealants is advised in order to obtain a smoother surface. The analysis of the combinations of mechanical and chemical stripping showed unsatisfactory results. Concerning the wear of the strips, we have highlighted a different abrasion degree for the different types of strips analysed with SEM. The enamel damages are limited only if the finishing procedure is applied, independently of the type of abrasive strip employed. It would be advisable, though clinically seldom possible, the use of sealants after the air rotor stripping technique. Copyright © 2010 Società Italiana di Ortodonzia SIDO. Published by Elsevier Srl. All rights reserved.
Electronic and Optical Properties of Novel Phases of Silicon and Silicon-Based Derivatives
NASA Astrophysics Data System (ADS)
Ong, Chin Shen; Choi, Sangkook; Louie, Steven
2014-03-01
The vast majority of solar cells in the market today are made from crystalline silicon in the diamond-cubic phase. Nonetheless, diamond-cubic Si has an intrinsic disadvantage: it has an indirect band gap with a large energy difference between the direct gap and the indirect gap. In this work, we perform a careful study of the electronic and optical properties of a newly discovered cubic-Si20 phase of Si that is found to sport a direct band gap. In addition, other silicon-based derivatives have also been discovered and found to be thermodynamically metastable. We carry out ab initio GW and GW-BSE calculations for the quasiparticle excitations and optical spectra, respectively, of these new phases of silicon and silicon-based derivatives. This work was supported by NSF grant No. DMR10-1006184 and U.S. DOE under Contract No. DE-AC02-05CH11231. Computational resources have been provided by DOE at Lawrence Berkeley National Laboratory's NERSC facility and the NSF through XSEDE resources at NICS.
NASA Astrophysics Data System (ADS)
Tibermacine, T.; Merazga, A.; Ledra, M.; Ouhabab, N.
2015-09-01
The constant photocurrent method in the ac-mode (ac-CPM) is used to determine the defect density of states (DOS) in hydrogenated microcrystalline silicon (μc-Si:H) prepared by very high frequency plasma-enhanced chemical vapor deposition (VHF-PECVD). The absorption coefficient spectrum (ac-α(hv)), is measured under ac-CPM conditions at 60 Hz. The measured ac-α(hv) is converted by the CPM spectroscopy into a DOS distribution covering a portion in the lower energy range of occupied states. We have found that the density of valence band-tail states falls exponentially towards the gap with a typical band-tail width of 63 meV. Independently, computer simulations of the ac-CPM are developed using a DOS model that is consistent with the measured ac-α(hv) in the present work and a previously measured transient photocurrent (TPC) for the same material. The DOS distribution model suggested by the measurements in the lower and in the upper part of the energy-gap, as well as by the numerical modelling in the middle part of the energy-gap, coincide reasonably well with the real DOS distribution in hydrogenated microcrystalline silicon because the computed ac-α(hv) is found to agree satisfactorily with the measured ac-α(hv).
Optimized sensitivity of Silicon-on-Insulator (SOI) strip waveguide resonator sensor
TalebiFard, Sahba; Schmidt, Shon; Shi, Wei; Wu, WenXuan; Jaeger, Nicolas A. F.; Kwok, Ezra; Ratner, Daniel M.; Chrostowski, Lukas
2017-01-01
Evanescent field sensors have shown promise for biological sensing applications. In particular, Silicon-on-Insulator (SOI)-nano-photonic based resonator sensors have many advantages for lab-on-chip diagnostics, including high sensitivity for molecular detection and compatibility with CMOS foundries for high volume manufacturing. We have investigated the optimum design parameters within the fabrication constraints of Multi-Project Wafer (MPW) foundries that result in the highest sensitivity for a resonator sensor. We have demonstrated the optimum waveguide thickness needed to achieve the maximum bulk sensitivity with SOI-based resonator sensors to be 165 nm using the quasi-TM guided mode. The closest thickness offered by MPW foundry services is 150 nm. Therefore, resonators with 150 nm thick silicon waveguides were fabricated resulting in sensitivities as high as 270 nm/RIU, whereas a similar resonator sensor with a 220 nm thick waveguide demonstrated sensitivities of approximately 200 nm/RIU. PMID:28270963
Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F
2018-04-02
We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.
NASA Astrophysics Data System (ADS)
Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.
2018-04-01
We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.
Stripping of acetone from water with microfabricated and membrane gas-liquid contactors.
Constantinou, Achilleas; Ghiotto, Francesco; Lam, Koon Fung; Gavriilidis, Asterios
2014-01-07
Stripping of acetone from water utilizing nitrogen as a sweeping gas in co-current flow was conducted in a microfabricated glass/silicon gas-liquid contactor. The chip consisted of a microchannel divided into a gas and a liquid chamber by 10 μm diameter micropillars located next to one of the channel walls. The channel length was 35 mm, the channel width was 220 μm and the microchannel depth 100 μm. The micropillars were wetted by the water/acetone solution and formed a 15 μm liquid film between them and the nearest channel wall, leaving a 195 μm gap for gas flow. In addition, acetone stripping was performed in a microchannel membrane contactor, utilizing a hydrophobic PTFE membrane placed between two microstructured acrylic plates. Microchannels for gas and liquid flows were machined in the plates and had a depth of 850 μm and 200 μm respectively. In both contactors the gas/liquid interface was stabilized: in the glass/silicon contactor by the hydrophilic micropillars, while in the PTFE/acrylic one by the hydrophobic membrane. For both contactors separation efficiency was found to increase by increasing the gas/liquid flow rate ratio, but was not affected when increasing the inlet acetone concentration. Separation was more efficient in the microfabricated contactor due to the very thin liquid layer employed.
Apparatus and method for the horizontal, crucible-free growth of silicon sheet crystals
Ciszek, T.F.
1984-09-12
Apparatus is provided for continuously forming a silicon crystal sheet from a silicon rod in a non-crucible environment. The rod is rotated and fed toward an RF coil in an inert atmosphere so that the upper end of the rod becomes molten and the silicon sheet crystal is pulled therefrom substantially horizontally in a continuous strip. A shorting ring may be provided around the rod to limit the heating to the upper end only. Argon gas can be used to create the inert atmosphere within a suitable closed chamber. By use of this apparatus and method, a substantially defect-free silicon crystal sheet is formed which can be used for micro-circuitry chips or solar cells.
Apparatus and method for the horizontal, crucible-free growth of silicon sheet crystals
Ciszek, Theodore F.
1987-01-01
Apparatus for continuously forming a silicon crystal sheet from a silicon rod in a noncrucible environment. The rod is rotated and fed toward an RF coil in an inert atmosphere so that the upper end of the rod becomes molten and the silicon sheet crystal is pulled therefrom substantially horizontally in a continuous strip. A shorting ring may be provided around the rod to limit the heating to the upper end only. Argon gas can be used to create the inert atmosphere within a suitable closed chamber. By use of this apparatus and method, a substantially defect-free silicon crystal sheet is formed that can be used for microcircuitry chips or solar cells.
Generalized thick strip modelling for vortex-induced vibration of long flexible cylinders
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bao, Y., E-mail: ybao@sjtu.edu.cn; Department of Aeronautics, Imperial College London, South Kensington Campus, London; Palacios, R., E-mail: r.palacios@imperial.ac.uk
2016-09-15
We propose a generalized strip modelling method that is computationally efficient for the VIV prediction of long flexible cylinders in three-dimensional incompressible flow. In order to overcome the shortcomings of conventional strip-theory-based 2D models, the fluid domain is divided into “thick” strips, which are sufficiently thick to locally resolve the small scale turbulence effects and three dimensionality of the flow around the cylinder. An attractive feature of the model is that we independently construct a three-dimensional scale resolving model for individual strips, which have local spanwise scale along the cylinder's axial direction and are only coupled through the structural modelmore » of the cylinder. Therefore, this approach is able to cover the full spectrum for fully resolved 3D modelling to 2D strip theory. The connection between these strips is achieved through the calculation of a tensioned beam equation, which is used to represent the dynamics of the flexible body. In the limit, however, a single “thick” strip would fill the full 3D domain. A parallel Fourier spectral/hp element method is employed to solve the 3D flow dynamics in the strip-domain, and then the VIV response prediction is achieved through the strip–structure interactions. Numerical tests on both laminar and turbulent flows as well as the comparison against the fully resolved DNS are presented to demonstrate the applicability of this approach.« less
Park, Jin Seo; Kim, Dae Hyun; Chung, Min Suk
2011-01-01
Comics are powerful visual messages that convey immediate visceral meaning in ways that conventional texts often cannot. This article's authors created comic strips to teach anatomy more interestingly and effectively. Four-frame comic strips were conceptualized from a set of anatomy-related humorous stories gathered from the authors' collective imagination. The comics were drawn on paper and then recreated with digital graphics software. More than 500 comic strips have been drawn and labeled in Korean language, and some of them have been translated into English. All comic strips can be viewed on the Department of Anatomy homepage at the Ajou University School of Medicine, Suwon, Republic of Korea. The comic strips were written and drawn by experienced anatomists, and responses from viewers have generally been favorable. These anatomy comic strips, designed to help students learn the complexities of anatomy in a straightforward and humorous way, are expected to be improved further by the authors and other interested anatomists. Copyright © 2011 American Association of Anatomists.
Determination of Silicon in Hydrazine
NASA Technical Reports Server (NTRS)
McClure, Mark B.; Mast, Dion; Greene, Ben; Maes, Miguel J.
2006-01-01
Inductively coupled plasma-mass spectrometry (ICP-MS) is a highly sensitive technique sometimes used for the trace determination of silicon at a mass-to-charge (m/z) ratio of 28, the most abundant natural isotope of silicon. Unfortunately, ICP-MS is unable to differentiate between other sources of m/z 28 and false positive results for silicon will result when other sources of m/z 28 are present. Nitrogen was a major source of m/z 28 and contributes to the m/z 28 signal when hydrazine sample or nitric acid preservative is introduced into the plasma. Accordingly, this work was performed to develop a sample preparation step coupled with an ICP-MS analysis that minimized non-silicon sources of m/z 28. In the preparatory step of this method, the hydrazine sample was first decomposed predominately to nitrogen gas and water with copper-catalyzed hydrogen peroxide. In the analysis step, ICP-MS was used without nitric acid preservative in samples or standards. Glass, a potential source of silicon contamination, was also avoided where possible. The method was sensitive, accurate, and reliable for the determination of silicon in monopropellant grade hydrazine (MPH) in AF-E-332 elastomer leaching tests. Results for silicon in MPH were comparable to those reported in the literature for other studies.
The ac and dc performance of polymeric insulating materials under accelerated aging in a fog chamber
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gorur, R.S.; Cherney, E.A.; Hackam, R.
1988-10-01
The paper presents the results of the dc performance of polymeric insulating materials in a fog chamber. The materials evaluated in fog produced from low (250 ..mu..S/cm) and high (1000 ..mu..S/cm) conductivity water include cylindrical rod samples of high temperature vulcanized (HTV) silicone rubber and ethylene propylene diene monomer (EPDM) rubber containing various amounts of either alumina trihydrate (ATH) or silica fillers, or both. Comparison is made of material performance obtained with ac which was reported in an earlier study. In both low and high conductivity fog, the time to failure with ac and +dc was very similar, but amore » reduction by a factor of about four was observed in the time to failure with -dc. For both ac and dc, silicone rubber performed better than EPDM samples in low conductivity fog, while the order of performance was reversed in high conductivity fog. A theoretical model to determine the effect of dry band discharges on material is presented. Good agreement of the predicted behavior of materials with the experimental findings is shown.« less
Domain wall dynamics along curved strips under current pulses: The influence of Joule heating
NASA Astrophysics Data System (ADS)
Raposo, Victor; Moretti, Simone; Hernandez, Maria Auxiliadora; Martinez, Eduardo
2016-01-01
The current-induced domain wall dynamics along curved ferromagnetic strips is studied by coupling the magnetization dynamics to the heat transport. Permalloy strips with uniform and non-uniform cross section are evaluated, taking into account the influence of the electrical contacts used to inject the current pulses and the substrate on top of which the ferromagnetic strip is sited. Micromagnetic simulations indicate that the geometry and the non-ferromagnetic materials in the system play a significant role in the current-induced domain wall dynamics. Due to the natural pinning, domain walls are hardly affected by the spin-transfer torques when placed in uniform cross section strips under current pulses with reduced magnitude. On the contrary, the current-induced domain wall displacement is significantly different in strips with non-uniform cross section, where thermal gradients emerge as due to the Joule heating. It is found that these thermal gradients can assist or act against the pure spin-transfer torques, in agreement with the recent experimental observations.
Investigation of HV/HR-CMOS technology for the ATLAS Phase-II Strip Tracker Upgrade
NASA Astrophysics Data System (ADS)
Fadeyev, V.; Galloway, Z.; Grabas, H.; Grillo, A. A.; Liang, Z.; Martinez-Mckinney, F.; Seiden, A.; Volk, J.; Affolder, A.; Buckland, M.; Meng, L.; Arndt, K.; Bortoletto, D.; Huffman, T.; John, J.; McMahon, S.; Nickerson, R.; Phillips, P.; Plackett, R.; Shipsey, I.; Vigani, L.; Bates, R.; Blue, A.; Buttar, C.; Kanisauskas, K.; Maneuski, D.; Benoit, M.; Di Bello, F.; Caragiulo, P.; Dragone, A.; Grenier, P.; Kenney, C.; Rubbo, F.; Segal, J.; Su, D.; Tamma, C.; Das, D.; Dopke, J.; Turchetta, R.; Wilson, F.; Worm, S.; Ehrler, F.; Peric, I.; Gregor, I. M.; Stanitzki, M.; Hoeferkamp, M.; Seidel, S.; Hommels, L. B. A.; Kramberger, G.; Mandić, I.; Mikuž, M.; Muenstermann, D.; Wang, R.; Zhang, J.; Warren, M.; Song, W.; Xiu, Q.; Zhu, H.
2016-09-01
ATLAS has formed strip CMOS project to study the use of CMOS MAPS devices as silicon strip sensors for the Phase-II Strip Tracker Upgrade. This choice of sensors promises several advantages over the conventional baseline design, such as better resolution, less material in the tracking volume, and faster construction speed. At the same time, many design features of the sensors are driven by the requirement of minimizing the impact on the rest of the detector. Hence the target devices feature long pixels which are grouped to form a virtual strip with binary-encoded z position. The key performance aspects are radiation hardness compatibility with HL-LHC environment, as well as extraction of the full hit position with full-reticle readout architecture. To date, several test chips have been submitted using two different CMOS technologies. The AMS 350 nm is a high voltage CMOS process (HV-CMOS), that features the sensor bias of up to 120 V. The TowerJazz 180 nm high resistivity CMOS process (HR-CMOS) uses a high resistivity epitaxial layer to provide the depletion region on top of the substrate. We have evaluated passive pixel performance, and charge collection projections. The results strongly support the radiation tolerance of these devices to radiation dose of the HL-LHC in the strip tracker region. We also describe design features for the next chip submission that are motivated by our technology evaluation.
Metallurgical reactions in two industrially strip-cast aluminum-manganese alloys
NASA Astrophysics Data System (ADS)
Hansen, V.; Andersson, B.; Tibballs, J. E.; Gjønnes, J.
1995-08-01
Precipitation, phase transformation, subgrain growth, and recrystallization that occur during heat treatment of two strip-cast, cold-rolled, high manganese aluminum alloys have been studied mainly by transmission electron microscopy (TEM). The alloys differ in silicon content. The isothermal heat treatments have been performed in a salt bath at temperatures between 330 °C and 530 °C for times up to 1000 hours. Size distributions for each type of secondary particle have been determined. After short annealing times, small quasicrystals precipitated and subsequently transformed to α phase. The densities of these precipitates controlled dislocation movement and regulated subgrain sizes. Prolonged heating resulted in peritectoid reactions to Al6Mn or Al12Mn. Recrystallization, which is associated with the formation of Al12Mn, is advanced by increasing the silicon content; the nucleation and growth of Al12Mn occurs only at the expense of other phases that stabilize the subgrain network.
MITLL Silicon Integrated Photonics Process: Design Guide
2015-07-31
Silicon Integrated Photonics Process Comprehensive Design Guide 16 Deep Etch for Fiber Coupling (DEEP_ETCH...facets for fiber coupling. Standard design layers for each process are defined in Section 3, but other options can be made available. Notes on...a silicon thinning process that can create very low loss waveguides (and which better suppresses back scatter and, therefore, resonance splitting in
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yap, K.P.; Lamontagne, B.; Delage, A.
2006-05-15
We present a technique to lithographically define and fabricate all required optical facets on a silicon-on-insulator photonic integrated circuit by an inductively coupled plasma etch process. This technique offers 1 {mu}m positioning accuracy of the facets at any location within the chip and eliminates the need of polishing. Facet fabrication consists of two separate steps to ensure sidewall verticality and minimize attack on the end surfaces of the waveguides. Protection of the waveguides by a thermally evaporated aluminum layer before the 40-70 {mu}m deep optical facet etching has been proven essential in assuring the facet smoothness and integrity. Both scanningmore » electron microscopy analysis and optical measurement results show that the quality of the facets prepared by this technique is comparable to the conventional facets prepared by polishing.« less
CLOSED-LOOP STRIPPING ANALYSIS (CLSA) OF ...
Synthetic musk compounds have been found in surface water, fish tissues, and human breast milk. Current techniques for separating these compounds from fish tissues require tedious sample clean-upprocedures A simple method for the deterrnination of these compounds in fish tissues has been developed. Closed-loop stripping of saponified fish tissues in a I -L Wheaton purge-and-trap vessel is used to strip compounds with high vapor pressures such as synthetic musks from the matrix onto a solid sorbent (Abselut Nexus). This technique is useful for screening biological tissues that contain lipids for musk compounds. Analytes are desorbed from the sorbent trap sequentially with polar and nonpolar solvents, concentrated, and directly analyzed by high resolution gas chromatography coupled to a mass spectrometer operating in the selected ion monitoring mode. In this paper, we analyzed two homogenized samples of whole fish tissues with spiked synthetic musk compounds using closed-loop stripping analysis (CLSA) and pressurized liquid extraction (PLE). The analytes were not recovered quantitatively but the extraction yield was sufficiently reproducible for at least semi-quantitative purposes (screening). The method was less expensive to implement and required significantly less sample preparation than the PLE technique. The research focused on in the subtasks is the development and application of state-of the-art technologies to meet the needs of the public, Office of Water,
Miles, Robin R [Danville, CA; Benett, William J [Livermore, CA; Coleman, Matthew A [Oakland, CA; Pearson, Francesca S [Livermore, CA; Nasarabadi, Shanavaz L [Livermore, CA
2011-03-08
A lateral flow strip assay apparatus comprising a housing; a lateral flow strip in the housing, the lateral flow strip having a receiving portion; a sample collection unit; and a reagent reservoir. Saliva and/or buccal cells are collected from an individual using the sample collection unit. The sample collection unit is immersed in the reagent reservoir. The tip of the lateral flow strip is immersed in the reservoir and the reagent/sample mixture wicks up into the lateral flow strip to perform the assay.
Silicon Technologies Adjust to RF Applications
NASA Technical Reports Server (NTRS)
Reinecke Taub, Susan; Alterovitz, Samuel A.
1994-01-01
Silicon (Si), although not traditionally the material of choice for RF and microwave applications, has become a serious challenger to other semiconductor technologies for high-frequency applications. Fine-line electron- beam and photolithographic techniques are now capable of fabricating silicon gate sizes as small as 0.1 micron while commonly-available high-resistivity silicon wafers support low-loss microwave transmission lines. These advances, coupled with the recent development of silicon-germanium (SiGe), arm silicon integrated circuits (ICs) with the speed required for increasingly higher-frequency applications.
System for transmitting low frequency analog signals over AC power lines
Baker, Steven P.; Durall, Robert L.; Haynes, Howard D.
1989-01-01
A system for transmitting low frequency analog signals over AC power lines using FM modulation. A low frequency analog signal to be transmitted is first applied to a voltage-to-frequency converter where it is converted to a signal whose frequency varies in proportion to the analog signal amplitude. This signal is then used to modulate the carrier frequency of an FM transmitter coupled to an AC power line. The modulation signal frequency range in selected to be within the response band of the FM transmitter. The FM modulated carrier signal is received by an FM receiver coupled to the AC power line, demodulated and the demodulated signal frequency is converted by a frequency-to-voltage converter back to the form of the original low frequency analog input signal.
System for transmitting low frequency analog signals over AC power lines
Baker, Steven P.; Durall, Robert L.; Haynes, Howard D.
1989-09-05
A system for transmitting low frequency analog signals over AC power lines using FM modulation. A low frequency analog signal to be transmitted is first applied to a voltage-to-frequency converter where it is converted to a signal whose frequency varies in proportion to the analog signal amplitude. This signal is then used to modulate the carrier frequency of an FM transmitter coupled to an AC power line. The modulation signal frequency range in selected to be within the response band of the FM transmitter. The FM modulated carrier signal is received by an FM receiver coupled to the AC power line, demodulated and the demodulated signal frequency is converted by a frequency-to-voltage converter back to the form of the original low frequency analog input signal.
Enhanced densification, strength and molecular mechanisms in shock compressed porous silicon
NASA Astrophysics Data System (ADS)
Lane, J. Matthew D.; Vogler, Tracy J.
2015-06-01
In most porous materials, void collapse during shock compression couples mechanical energy to thermal energy. Increased temperature drives up pressures and lowers densities in the final Hugoniot states as compared to full-density samples. Some materials, however, exhibit an anomalous enhanced densification in their Hugoniot states when porosity is introduced. We have recently shown that silicon is such a material, and demonstrated a molecular mechanism for the effect using molecular simulation. We will review results from large-scale non-equilibrium molecular dynamics (NEMD) and Hugoniotstat simulations of shock compressed porous silicon, highlighting the mechanism by which porosity produces local shear which nucleate partial phase transition and localized melting at shock pressures below typical thresholds in these materials. Further, we will characterize the stress states and strength of the material as a function of porosity from 5 to 50 percent and with various porosity microstructures. Sandia National Laboratories is a multi program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.
Release of low molecular weight silicones and platinum from silicone breast implants.
Lykissa, E D; Kala, S V; Hurley, J B; Lebovitz, R M
1997-12-01
We have conducted a series of studies addressing the chemical composition of silicone gels from breast implants as well as the diffusion of low molecular weight silicones (LM-silicones) and heavy metals from intact implants into various surrounding media, namely, lipid-rich medium (soy oil), aqueous tissue culture medium (modified Dulbecco's medium, DMEM), or an emulsion consisting of DMEM plus 10% soy oil. LM-silicones in both implants and surrounding media were detected and quantitated using gas chromatography (GC) coupled with atomic emission (GC-AED) as well as mass spectrometric (GC/MS) detectors, which can detect silicones in the nanogram range. Platinum, a catalyst used in the preparation of silicone gels, was detected and quantitated using inductive argon-coupled plasma/mass spectrometry (ICP-MS), which can detect platinum in the parts per trillion range. Our results indicate that GC-detectable low molecular weight silicones contribute approximately 1-2% to the total gel mass and consist predominantly of cyclic and linear poly-(dimethylsiloxanes) ranging from 3 to 20 siloxane [(CH3)2-Si-O] units (molecular weight 200-1500). Platinum can be detected in implant gels at levels of approximately 700 micrograms/kg by ICP-MS. The major component of implant gels appears to be high molecular weight silicone polymers (HM-silicones) too large to be detected by GC. However, these HM-silicones can be converted almost quantitatively (80% by mass) to LM-silicones by heating implant gels at 150-180 degrees C for several hours. We also studied the rates at which LM-silicones and platinum leak through the intact implant outer shell into the surrounding media under a variety of conditions. Leakage of silicones was greatest when the surrounding medium was lipid-rich, and up to 10 mg/day LM-silicones was observed to diffuse into a lipid-rich medium per 250 g of implant at 37 degrees C. This rate of leakage was maintained over a 7-day experimental period. Similarly, platinum was
Statistical exchange-coupling errors and the practicality of scalable silicon donor qubits
NASA Astrophysics Data System (ADS)
Song, Yang; Das Sarma, S.
2016-12-01
Recent experimental efforts have led to considerable interest in donor-based localized electron spins in Si as viable qubits for a scalable silicon quantum computer. With the use of isotopically purified 28Si and the realization of extremely long spin coherence time in single-donor electrons, the recent experimental focus is on two-coupled donors with the eventual goal of a scaled-up quantum circuit. Motivated by this development, we simulate the statistical distribution of the exchange coupling J between a pair of donors under realistic donor placement straggles, and quantify the errors relative to the intended J value. With J values in a broad range of donor-pair separation ( 5 <|R |<60 nm), we work out various cases systematically, for a target donor separation R0 along the [001], [110] and [111] Si crystallographic directions, with |R0|=10 ,20 or 30 nm and standard deviation σR=1 ,2 ,5 or 10 nm. Our extensive theoretical results demonstrate the great challenge for a prescribed J gate even with just a donor pair, a first step for any scalable Si-donor-based quantum computer.
Analysis/design of strip reinforced random composites (strip hybrids)
NASA Technical Reports Server (NTRS)
Chamis, C. C.; Sinclair, J. H.
1978-01-01
Advanced analysis methods and composite mechanics were applied to a strip-reinforced random composite square panel with fixed ends to illustrate the use of these methods for the a priori assessment of the composite panel when subjected to complex loading conditions. The panel was assumed to be of E-glass random composite. The strips were assumed to be of three advanced unidirectional composites to cover a range of low, intermediate, and high modulus stiffness. The panels were assumed to be subjected to complex loadings to assess their adequacy as load-carrying members in auto body, aircraft engine nacelle and windmill blade applications. The results show that strip hybrid panels can be several times more structurally efficient than the random composite base materials. Some of the results are presented in graphical form and procedures are described for use of these graphs as guides for preliminary design of strip hybrids.
Roebel assembled coated conductor cables (RACC): Ac-Losses and current carrying potential
NASA Astrophysics Data System (ADS)
Frank, A.; Heller, R.; Goldacker, W.; Kling, A.; Schmidt, C.
2008-02-01
Low ac-loss HTS cables for transport currents well above 1 kA are required for application in transformers and generators and are taken into consideration for future generations of fusion reactor coils. Coated conductors (CC) are suitable candidates for high field application at an operation temperature in the range 50-77 K. Ac-field applications require cables with low ac-losses and hence twisting of the individual strands. We solved this problem using the Roebel technique. Short lengths of Roebel bar cables were prepared from industrial DyBCO and YBCO-CC. Meander shaped tapes of 4 or 5 mm width with twist pitches of 123 or 127 mm were cut from the 10 or 12 mm wide CC tapes using a specially designed tool. Eleven or twelve of these strands were assembled to a cable. The electrical and mechanical connection of the tapes was achieved using a silver powder filled conductive epoxy resin. Ac-losses of a short sample in an external ac-field were measured as a function of frequency and field amplitude as well as the coupling current decay time constant. We discuss the results in terms of available theories and compare measured time constants in transverse field with measured coupling losses. Finally the potential of this cable type for ac-use is discussed with respect to ac-losses and current carrying capability.
Lee, Dong-Jin; Yim, Hae-Dong; Lee, Seung-Gol; O, Beom-Hoan
2011-10-10
We propose a tiny surface plasmon resonance (SPR) sensor integrated on a silicon waveguide based on vertical coupling into a finite thickness metal-insulator-metal (f-MIM) plasmonic waveguide structure acting as a Fabry-Perot resonator. The resonant characteristics of vertically coupled f-MIM plasmonic waveguides are theoretically investigated and optimized. Numerical results show that the SPR sensor with a footprint of ~0.0375 μm2 and a sensitivity of ~635 nm/RIU can be designed at a 1.55 μm transmission wavelength.
NASA Technical Reports Server (NTRS)
Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu
1992-01-01
Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.
Ammonia removal in food waste anaerobic digestion using a side-stream stripping process.
Serna-Maza, A; Heaven, S; Banks, C J
2014-01-01
Three 35-L anaerobic digesters fed on source segregated food waste were coupled to side-stream ammonia stripping columns and operated semi-continuously over 300 days, with results in terms of performance and stability compared to those of a control digester without stripping. Biogas was used as the stripping medium, and the columns were operated under different conditions of temperature (55, 70, 85 °C), pH (unadjusted and pH 10), and RT (2-5 days). To reduce digester TAN concentrations to a useful level a high temperature (≥70 °C) and a pH of 10 were needed; under these conditions 48% of the TAN was removed over a 138-day period without any detrimental effects on digester performance. Other effects of the stripping process were an overall reduction in digestate organic nitrogen-containing fraction compared to the control and a recovery in the acetoclastic pathway when TAN concentration was 1770±20 mg kg(-1). Copyright © 2013 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Wegrzecka, Iwona; Panas, Andrzej; Bar, Jan; Budzyński, Tadeusz; Grabiec, Piotr; Kozłowski, Roman; Sarnecki, Jerzy; Słysz, Wojciech; Szmigiel, Dariusz; Wegrzecki, Maciej; Zaborowski, Michał
2013-07-01
The paper discusses the technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE). The developed technology enables the fabrication of both planar and epiplanar p+-ν-n+ detector structures with an active area of up to 50 cm2. The starting material for epiplanar structures are silicon wafers with a high-resistivity n-type epitaxial layer ( ν layer - ρ < 3 kΩcm) deposited on a highly doped n+-type substrate (ρ< 0,02Ωcm) developed and fabricated at the Institute of Electronic Materials Technology. Active layer thickness of the epiplanar detectors (νlayer) may range from 10 μm to 150 μm. Imported silicon with min. 5 kΩcm resistivity is used to fabricate planar detectors. Active layer thickness of the planar detectors (ν) layer) may range from 200 μm to 1 mm. This technology enables the fabrication of both discrete and multi-junction detectors (monolithic detector arrays), such as single-sided strip detectors (epiplanar and planar) and double-sided strip detectors (planar). Examples of process diagrams for fabrication of the epiplanar and planar detectors are presented in the paper, and selected technological processes are discussed.
Ram Pressure Stripping and Morphological Transformation in the Coma Cluster
NASA Astrophysics Data System (ADS)
Gregg, Michael; West, Michael
2017-01-01
The two largest spiral galaxies in the Coma cluster, NGC4911 and NGC4921, exhibit signs of being vigorously ram-pressure stripped by the hot intracluster medium. HST ACS and WFC3 images have revealed galactic scale shock fronts, giant "Pillars of Creation", rivulets of dust, and spatially coherent star formation in these grand design spirals. All evidence points to these galaxies being stressed by a global external source which can only be the hot intracluster medium (ICM). Inspired by these examples, we have obtained HST WFC3 imaging of five additional large spirals to search for and investigate the effects of ram pressure stripping across the wider Coma cluster. The results are equally spectacular as the first two examples. The geometry of the interactions in some cases allows us to estimate the various time scales involved, including gas flows out of the disk leading to creation of the ICM, and the attendant triggered star formation in the galaxy disks. The global star formation patterns and wholesale tidal stripping of matter yield insights into the spatial and temporal ISM-ICM interactions driving the evolution of galaxies in clusters and ultimately transforming their morphologies from spiral to S0. These processes, much more common in the early Universe, led to the wholesale morphological transformation of Hubble types during the assembly of rich clusters, when the intergalactic populations and hot ICM were first being created and laid down from such stripping and destruction of their member galaxies.We also report on two instrumental aspects of WFC3: 1) using the filter pair F350LP and F600LP to create an extremely broad pseudo Blue-Red color to achieve the greatest observing efficiency with HST, and 2) a WFC3 CCD effect which leads to apparent quantization of background counts, making automatic sky determination challenging when using drizzlepac routines.
Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon
NASA Astrophysics Data System (ADS)
Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca
2018-06-01
Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.
An All Silicon Feedhorn-Coupled Focal Plane for Cosmic Microwave Background Polarimetry
NASA Technical Reports Server (NTRS)
Hubmayr, J.; Appel, J. W.; Austermann, J. E.; Beall, J. A.; Becker, D.; Benson, B. A.; Bleem, L. E.; Carlstrom, J. E.; Chang, C. L.; Cho, H. M.;
2011-01-01
Upcoming experiments aim to produce high fidelity polarization maps of the cosmic microwave background. To achieve the required sensitivity, we are developing monolithic, feedhorn-coupled transition edge sensor polarimeter arrays operating at 150 GHz. We describe this focal plane architecture and the current status of this technology, focusing on single-pixel polarimeters being deployed on the Atacama B-mode Search (ABS) and an 84-pixel demonstration feedhorn array backed by four 10-pixel polarimeter arrays. The feedhorn array exhibits symmetric beams, cross-polar response less than -23 dB and excellent uniformity across the array. Monolithic polarimeter arrays, including arrays of silicon feedhorns, will be used in the Atacama Cosmology Telescope Polarimeter (ACTPol) and the South Pole Telescope Polarimeter (SPTpol) and have been proposed for upcoming balloon-borne instruments.
Probing the low-frequency vortex dynamics in a nanostructured superconducting strip
NASA Astrophysics Data System (ADS)
Silva, C. C. de Souza; Raes, B.; Brisbois, J.; Cabral, L. R. E.; Silhanek, A. V.; Van de Vondel, J.; Moshchalkov, V. V.
2016-07-01
We investigate by scanning susceptibility microscopy the response of a thin Pb strip, with a square array of submicron antidots, to a low-frequency ac magnetic field applied perpendicularly to the film plane. By mapping the local permeability of the sample within the field range where vortices trapped by the antidots and interstitial vortices coexist, we observed two distinct dynamical regimes occurring at different temperatures. At a temperature just below the superconducting transition, T /Tc=0.96 , the sample response is essentially dominated by the motion of highly mobile interstitial vortices. However, at a slightly lower temperature, T /Tc=0.93 , the interstitial vortices freeze up leading to a strong reduction of the ac screening length. We propose a simple model for the vortex response in this system which fits well to the experimental data. Our analysis suggests that the observed switching to the high mobility regime stems from a resonant effect, where the period of the ac excitation is just large enough to allow interstitial vortices to thermally hop through the weak pinning landscape produced by random material defects. This argument is further supported by the observation of a pronounced enhancement of the out-of-phase response at the crossover between both dynamical regimes.
Weakly modulated silicon-dioxide-cladding gratings for silicon waveguide Fabry-Pérot cavities.
Grote, Richard R; Driscoll, Jeffrey B; Biris, Claudiu G; Panoiu, Nicolae C; Osgood, Richard M
2011-12-19
We show by theory and experiment that silicon-dioxide-cladding gratings for Fabry-Pérot cavities on silicon-on-insulator channel ("wire") waveguides provide a low-refractive-index perturbation, which is required for several important integrated photonics components. The underlying refractive index perturbation of these gratings is significantly weaker than that of analogous silicon gratings, leading to finer control of the coupling coefficient κ. Our Fabry-Pérot cavities are designed using the transfer-matrix method (TMM) in conjunction with the finite element method (FEM) for calculating the effective index of each waveguide section. Device parameters such as coupling coefficient, κ, Bragg mirror stop band, Bragg mirror reflectivity, and quality factor Q are examined via TMM modeling. Devices are fabricated with representative values of distributed Bragg reflector lengths, cavity lengths, and propagation losses. The measured transmission spectra show excellent agreement with the FEM/TMM calculations.
Monitoring the CMS strip tracker readout system
NASA Astrophysics Data System (ADS)
Mersi, S.; Bainbridge, R.; Baulieu, G.; Bel, S.; Cole, J.; Cripps, N.; Delaere, C.; Drouhin, F.; Fulcher, J.; Giassi, A.; Gross, L.; Hahn, K.; Mirabito, L.; Nikolic, M.; Tkaczyk, S.; Wingham, M.
2008-07-01
The CMS Silicon Strip Tracker at the LHC comprises a sensitive area of approximately 200 m2 and 10 million readout channels. Its data acquisition system is based around a custom analogue front-end chip. Both the control and the readout of the front-end electronics are performed by off-detector VME boards in the counting room, which digitise the raw event data and perform zero-suppression and formatting. The data acquisition system uses the CMS online software framework to configure, control and monitor the hardware components and steer the data acquisition. The first data analysis is performed online within the official CMS reconstruction framework, which provides many services, such as distributed analysis, access to geometry and conditions data, and a Data Quality Monitoring tool based on the online physics reconstruction. The data acquisition monitoring of the Strip Tracker uses both the data acquisition and the reconstruction software frameworks in order to provide real-time feedback to shifters on the operational state of the detector, archiving for later analysis and possibly trigger automatic recovery actions in case of errors. Here we review the proposed architecture of the monitoring system and we describe its software components, which are already in place, the various monitoring streams available, and our experiences of operating and monitoring a large-scale system.
NASA Astrophysics Data System (ADS)
Lovrić, Milivoj
Electrochemical stripping means the oxidative or reductive removal of atoms, ions, or compounds from an electrode surface (or from the electrode body, as in the case of liquid mercury electrodes with dissolved metals) [1-5]. In general, these atoms, ions, or compounds have been preliminarily immobilized on the surface of an inert electrode (or within it) as the result of a preconcentration step, while the products of the electrochemical stripping will dissolve in the electrolytic solution. Often the product of the electrochemical stripping is identical to the analyte before the preconcentration. However, there are exemptions to these rules. Electroanalytical stripping methods comprise two steps: first, the accumulation of a dissolved analyte onto, or in, the working electrode, and, second, the subsequent stripping of the accumulated substance by a voltammetric [3, 5], potentiometric [6, 7], or coulometric [8] technique. In stripping voltammetry, the condition is that there are two independent linear relationships: the first one between the activity of accumulated substance and the concentration of analyte in the sample, and the second between the maximum stripping current and the accumulated substance activity. Hence, a cumulative linear relationship between the maximum response and the analyte concentration exists. However, the electrode capacity for the analyte accumulation is limited and the condition of linearity is satisfied only well below the electrode saturation. For this reason, stripping voltammetry is used mainly in trace analysis. The limit of detection depends on the factor of proportionality between the activity of the accumulated substance and the bulk concentration of the analyte. This factor is a constant in the case of a chemical accumulation, but for electrochemical accumulation it depends on the electrode potential. The factor of proportionality between the maximum stripping current and the analyte concentration is rarely known exactly. In fact
A system for tranmitting low frequency analog signals over ac power lines
Baker, S.P.; Durall, R.L.; Haynes, H.D.
1987-07-30
A system for transmitting low frequency analog signals over ac power lines using FM modulation. A low frequency analog signal to be transmitted is first applied to a voltage-to-frequency converter where it is converted to a signal whose frequency varies in proportion to the analog signal amplitude. This signal is then used to modulate the carrier frequency of an FM transmitter coupled to an ac power line. The modulation signal frequency range is selected to be within the response band of the FM transmitter. The FM modulated carrier signal is received by an FM receiver coupled to the ac power line, demodulated and the demodulated signal frequency is converted by a frequency-to-voltage converter back to the form of the original low frequency analog input signal. 4 figs.
NASA Astrophysics Data System (ADS)
Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.
2013-05-01
Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.
Flexural strength of proof-tested and neutron-irradiated silicon carbide
NASA Astrophysics Data System (ADS)
Price, R. J.; Hopkins, G. R.
1982-08-01
Proof testing before service is a valuable method for ensuring the reliability of ceramic structures. Silicon carbide has been proposed as a very low activation first-wall and blanket structural material for fusion devices, where it would experience a high flux of fast neutrons. Strips of three types of silicon carbide were loaded in four-point bending to a stress sufficient to break about a third of the specimens. Groups of 16 survivors were irradiated to 2 × 10 26n/ m2 ( E>0.05 MeV) at 740°C and bend tested to failure. The strength distribution of chemically vapor-deposited silicon carbide (Texas Instruments) was virtually unchanged by irradiation. The mean strength of sintered silicon carbide (Carborundum Alpha) was reduced 34% by irradiation, while the Weibull modulus and the truncated strength distribution characteristic of proof-tested material were retained. Irradiation reduced the mean strength of reaction-bonded silicon carbide (Norton NC-430) by 58%, and the spread in strength values was increased. We conclude that for the chemically vapor-deposited and the sintered silicon carbide the benefits of proof testing to eliminate low strength material are retained after high neutron exposures.
Waveguide silicon nitride grating coupler
NASA Astrophysics Data System (ADS)
Litvik, Jan; Dolnak, Ivan; Dado, Milan
2016-12-01
Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.
Position sensitive and energy dispersive x-ray detector based on silicon strip detector technology
NASA Astrophysics Data System (ADS)
Wiącek, P.; Dąbrowski, W.; Fink, J.; Fiutowski, T.; Krane, H.-G.; Loyer, F.; Schwamberger, A.; Świentek, K.; Venanzi, C.
2015-04-01
A new position sensitive detector with a global energy resolution for the entire detector of about 380 eV FWHM for 8.04 keV line at ambient temperature is presented. The measured global energy resolution is defined by the energy spectra summed over all strips of the detector, and thus it includes electronic noise of the front-end electronics, charge sharing effects, matching of parameters across the channels and other system noise sources. The target energy resolution has been achieved by segmentation of the strips to reduce their capacitance and by careful optimization of the front-end electronics. The key design aspects and parameters of the detector are discussed briefly in the paper. Excellent noise and matching performance of the readout ASIC and negligible system noise allow us to operate the detector with a discrimination threshold as low as 1 keV and to measure fluorescence radiation lines of light elements, down to Al Kα of 1.49 keV, simultaneously with measurements of the diffraction patterns. The measurement results that demonstrate the spectrometric and count rate performance of the developed detector are presented and discussed in the paper.
NASA Technical Reports Server (NTRS)
1981-01-01
Liquid diffusion masks and liquid applied dopants to replace the CVD Silox masking and gaseous diffusion operations specified for forming junctions in the Westinghouse baseline process sequence for producing solar cells from dendritic web silicon were investigated. The baseline diffusion masking and drive processes were compared with those involving direct liquid applications to the dendritic web silicon strips. Attempts were made to control the number of variables by subjecting dendritic web strips cut from a single web crystal to both types of operations. Data generated reinforced earlier conclusions that efficiency levels at least as high as those achieved with the baseline back junction formation process can be achieved using liquid diffusion masks and liquid dopants. The deliveries of dendritic web sheet material and solar cells specified by the current contract were made as scheduled.
High-alignment-accuracy transfer printing of passive silicon waveguide structures.
Ye, Nan; Muliuk, Grigorij; Trindade, Antonio Jose; Bower, Chris; Zhang, Jing; Uvin, Sarah; Van Thourhout, Dries; Roelkens, Gunther
2018-01-22
We demonstrate the transfer printing of passive silicon devices on a silicon-on-insulator target waveguide wafer. Adiabatic taper structures and directional coupler structures were designed for 1310 nm and 1600 nm wavelength coupling tolerant for ± 1 µm misalignment. The release of silicon devices from the silicon substrate was realized by underetching the buried oxide layer while protecting the back-end stack. Devices were successfully picked by a PDMS stamp, by breaking the tethers that kept the silicon coupons in place on the source substrate, and printed with high alignment accuracy on a silicon photonic target wafer. Coupling losses of -1.5 +/- 0.5 dB for the adiabatic taper at 1310 nm wavelength and -0.5 +/- 0.5 dB for the directional coupler at 1600 nm wavelength are obtained.
Coherent coupling between a quantum dot and a donor in silicon
Harvey-Collard, Patrick; Jacobson, N. Tobias; Rudolph, Martin; ...
2017-10-18
Individual donors in silicon chips are used as quantum bits with extremely low error rates. However, physical realizations have been limited to one donor because their atomic size causes fabrication challenges. Quantum dot qubits, in contrast, are highly adjustable using electrical gate voltages. This adjustability could be leveraged to deterministically couple donors to quantum dots in arrays of qubits. In this work, we demonstrate the coherent interaction of a 31P donor electron with the electron of a metal-oxide-semiconductor quantum dot. We form a logical qubit encoded in the spin singlet and triplet states of the two-electron system. We show thatmore » the donor nuclear spin drives coherent rotations between the electronic qubit states through the contact hyperfine interaction. This provides every key element for compact two-electron spin qubits requiring only a single dot and no additional magnetic field gradients, as well as a means to interact with the nuclear spin qubit.« less
NASA Astrophysics Data System (ADS)
Affolder, Anthony; Allport, Phil; Casse, Gianluigi
2010-11-01
The planned luminosity upgrade of the Large Hadron Collider at CERN (Super-LHC) will provide a challenging environment for the tracking and vertexing detector systems. Planar, segmented silicon detectors are one of the few radiation tolerant technologies under consideration for use for the Super-LHC tracking detectors in either pixel or strip geometries. In this paper, charge collection measurements are made with planar silicon sensors with 2 different substrate materials (float zone and magnetic Czochralski) and 3 different diode configurations (p+ strip in n-bulk, n+ strip in n-bulk, and n+ strip in p-bulk). For the first time, a comparison of the charge collection of these devices will be made after irradiation up to 6 ×1014 neq cm-2 with 280 MeV charged pions, and up to 2.2 ×1016 neq cm-2 with 26 MeV protons. This study covers the expected range of final fluences for the different layers of pixel and microstrip sensors of the ATLAS and CMS experiments at the Super-LHC. These measurements have been carried out using analogue, high-speed (40 MHz) electronics and a Strontium-90 beta source.
All silicon waveguide spherical microcavity coupler device.
Xifré-Pérez, E; Domenech, J D; Fenollosa, R; Muñoz, P; Capmany, J; Meseguer, F
2011-02-14
A coupler based on silicon spherical microcavities coupled to silicon waveguides for telecom wavelengths is presented. The light scattered by the microcavity is detected and analyzed as a function of the wavelength. The transmittance signal through the waveguide is strongly attenuated (up to 25 dB) at wavelengths corresponding to the Mie resonances of the microcavity. The coupling between the microcavity and the waveguide is experimentally demonstrated and theoretically modeled with the help of FDTD calculations.
NASA Astrophysics Data System (ADS)
Malavallon, Olivier
1995-04-01
Laser beam stripping can be achieved using several active materials: YAG, CO2 Tea, or Excimer. The YAG laser appears to be the most efficient laser assessed in this report. However, the results obtained for productivity, quality, and type of stripping were very poor. Also, for stripping and on account of its specifications, the laser beam can only be used in an automated manner. In spite of these results, it seems that certain companies in Europe have recently developed technical solutions allowing better results to be obtained.
Conductor-gap-silicon plasmonic waveguides and passive components at subwavelength scale.
Wu, Marcelo; Han, Zhanghua; Van, Vien
2010-05-24
Subwavelength conductor-gap-silicon plasmonic waveguides along with compact S-bends and Y-splitters were theoretically investigated and experimentally demonstrated on a silicon-on-insulator platform. A thin SiO2 gap between the conductor layer and silicon core provides subwavelength confinement of light while a long propagation length of 40 microm was achieved. Coupling of light between the plasmonic and conventional silicon photonic waveguides was also demonstrated with a high efficiency of 80%. The compact sizes, low loss operation, efficient input/output coupling, combined with a CMOS-compatible fabrication process, make these conductor-gap-silicon plasmonic devices a promising platform for realizing densely-integrated plasmonic circuits.
Bismuth-based electrochemical stripping analysis
Wang, Joseph
2004-01-27
Method and apparatus for trace metal detection and analysis using bismuth-coated electrodes and electrochemical stripping analysis. Both anodic stripping voltammetry and adsorptive stripping analysis may be employed.
Huo, Ya-Yun; Li, Gui-Fen; Qiu, Yan-Hong; Li, Wei-Min; Zhang, Yong-Jiang
2017-11-23
Prunus necrotic ringspot virus (PNRSV) is one of the most devastating viruses to Prunus spp. In this study, we developed a diagnostic system RT-CPA-NATSC, wherein reverse transcription-cross-priming amplification (RT-CPA) is coupled with nucleic acid test strip cassette (NATSC), a vertical flow (VF) visualization, for PNRSV detection. The RT-CPA-NATSC assay targets the encoding gene of the PNRSV coat protein with a limit of detection of 72 copies per reaction and no cross-reaction with the known Prunus pathogenic viruses and viroids, demonstrating high sensitivity and specificity. The reaction is performed on 60 °C and can be completed less than 90 min with the prepared template RNA. Field sample test confirmed the reliability of RT-CPA-NATSC, indicating the potential application of this simple and rapid detection method in routine test of PNRSV.
AC instrumentation amplifier for bioimpedance measurements.
Pallás-Areny, R; Webster, J G
1993-08-01
We analyze the input impedance and CMRR requirements for an amplifier for bioimpedance measurements when considering the capacitive components of the electrode-skin contact impedance. We describe an ac-coupled instrumentation amplifier (IA) that, in addition to fulfilling those requirements, both provides interference and noise reduction, and yields a zero phase shift over a wide frequency band without using broadband op amps.
Methods, systems and apparatus for controlling operation of two alternating current (AC) machines
Gallegos-Lopez, Gabriel [Torrance, CA; Nagashima, James M [Cerritos, CA; Perisic, Milun [Torrance, CA; Hiti, Silva [Redondo Beach, CA
2012-02-14
A system is provided for controlling two AC machines. The system comprises a DC input voltage source that provides a DC input voltage, a voltage boost command control module (VBCCM), a five-phase PWM inverter module coupled to the two AC machines, and a boost converter coupled to the inverter module and the DC input voltage source. The boost converter is designed to supply a new DC input voltage to the inverter module having a value that is greater than or equal to a value of the DC input voltage. The VBCCM generates a boost command signal (BCS) based on modulation indexes from the two AC machines. The BCS controls the boost converter such that the boost converter generates the new DC input voltage in response to the BCS. When the two AC machines require additional voltage that exceeds the DC input voltage required to meet a combined target mechanical power required by the two AC machines, the BCS controls the boost converter to drive the new DC input voltage generated by the boost converter to a value greater than the DC input voltage.
Lithographically defined few-electron silicon quantum dots based on a silicon-on-insulator substrate
DOE Office of Scientific and Technical Information (OSTI.GOV)
Horibe, Kosuke; Oda, Shunri; Kodera, Tetsuo, E-mail: kodera.t.ac@m.titech.ac.jp
2015-02-23
Silicon quantum dot (QD) devices with a proximal single-electron transistor (SET) charge sensor have been fabricated in a metal-oxide-semiconductor structure based on a silicon-on-insulator substrate. The charge state of the QDs was clearly read out using the charge sensor via the SET current. The lithographically defined small QDs enabled clear observation of the few-electron regime of a single QD and a double QD by charge sensing. Tunnel coupling on tunnel barriers of the QDs can be controlled by tuning the top-gate voltages, which can be used for manipulation of the spin quantum bit via exchange interaction between tunnel-coupled QDs. Themore » lithographically defined silicon QD device reported here is technologically simple and does not require electrical gates to create QD confinement potentials, which is advantageous for the integration of complicated constructs such as multiple QD structures with SET charge sensors for the purpose of spin-based quantum computing.« less
Evidence for functional pre-coupled complexes of receptor heteromers and adenylyl cyclase.
Navarro, Gemma; Cordomí, Arnau; Casadó-Anguera, Verónica; Moreno, Estefanía; Cai, Ning-Sheng; Cortés, Antoni; Canela, Enric I; Dessauer, Carmen W; Casadó, Vicent; Pardo, Leonardo; Lluís, Carme; Ferré, Sergi
2018-03-28
G protein-coupled receptors (GPCRs), G proteins and adenylyl cyclase (AC) comprise one of the most studied transmembrane cell signaling pathways. However, it is unknown whether the ligand-dependent interactions between these signaling molecules are based on random collisions or the rearrangement of pre-coupled elements in a macromolecular complex. Furthermore, it remains controversial whether a GPCR homodimer coupled to a single heterotrimeric G protein constitutes a common functional unit. Using a peptide-based approach, we here report evidence for the existence of functional pre-coupled complexes of heteromers of adenosine A 2A receptor and dopamine D 2 receptor homodimers coupled to their cognate Gs and Gi proteins and to subtype 5 AC. We also demonstrate that this macromolecular complex provides the necessary frame for the canonical Gs-Gi interactions at the AC level, sustaining the ability of a Gi-coupled GPCR to counteract AC activation mediated by a Gs-coupled GPCR.
Spectral CT of the extremities with a silicon strip photon counting detector
NASA Astrophysics Data System (ADS)
Sisniega, A.; Zbijewski, W.; Stayman, J. W.; Xu, J.; Taguchi, K.; Siewerdsen, J. H.
2015-03-01
Purpose: Photon counting x-ray detectors (PCXDs) are an important emerging technology for spectral imaging and material differentiation with numerous potential applications in diagnostic imaging. We report development of a Si-strip PCXD system originally developed for mammography with potential application to spectral CT of musculoskeletal extremities, including challenges associated with sparse sampling, spectral calibration, and optimization for higher energy x-ray beams. Methods: A bench-top CT system was developed incorporating a Si-strip PCXD, fixed anode x-ray source, and rotational and translational motions to execute complex acquisition trajectories. Trajectories involving rotation and translation combined with iterative reconstruction were investigated, including single and multiple axial scans and longitudinal helical scans. The system was calibrated to provide accurate spectral separation in dual-energy three-material decomposition of soft-tissue, bone, and iodine. Image quality and decomposition accuracy were assessed in experiments using a phantom with pairs of bone and iodine inserts (3, 5, 15 and 20 mm) and an anthropomorphic wrist. Results: The designed trajectories improved the sampling distribution from 56% minimum sampling of voxels to 75%. Use of iterative reconstruction (viz., penalized likelihood with edge preserving regularization) in combination with such trajectories resulted in a very low level of artifacts in images of the wrist. For large bone or iodine inserts (>5 mm diameter), the error in the estimated material concentration was <16% for (50 mg/mL) bone and <8% for (5 mg/mL) iodine with strong regularization. For smaller inserts, errors of 20-40% were observed and motivate improved methods for spectral calibration and optimization of the edge-preserving regularizer. Conclusion: Use of PCXDs for three-material decomposition in joint imaging proved feasible through a combination of rotation-translation acquisition trajectories and
Lateral separation of colloids or cells by dielectrophoresis augmented by AC electroosmosis.
Zhou, Hao; White, Lee R; Tilton, Robert D
2005-05-01
Colloidal particles and biological cells are patterned and separated laterally adjacent to a micropatterned electrode array by applying AC electric fields that are principally oriented normally to the electrode array. This is demonstrated for yeast cells, red blood cells, and colloidal polystyrene particles of different sizes and zeta-potentials. The separation mechanism is observed experimentally to depend on the applied field frequency and voltage. At high frequencies, particles position themselves in a manner that is consistent with dielectrophoresis, while at low frequencies, the positioning is explained in terms of a strong coupling between gravity, the vertical component of the dielectrophoretic force, and the Stokes drag on particles induced by AC electroosmotic flow. Compared to high frequency dielectrophoretic separations, the low frequency separations are faster and require lower applied voltages. Furthermore, the AC electroosmosis coupling with dielectrophoresis may enable cell separations that are not feasible based on dielectrophoresis alone.
A strained silicon cold electron bolometer using Schottky contacts
NASA Astrophysics Data System (ADS)
Brien, T. L. R.; Ade, P. A. R.; Barry, P. S.; Dunscombe, C.; Leadley, D. R.; Morozov, D. V.; Myronov, M.; Parker, E. H. C.; Prest, M. J.; Prunnila, M.; Sudiwala, R. V.; Whall, T. E.; Mauskopf, P. D.
2014-07-01
We describe optical characterisation of a strained silicon cold electron bolometer (CEB), operating on a 350 mK stage, designed for absorption of millimetre-wave radiation. The silicon cold electron bolometer utilises Schottky contacts between a superconductor and an n++ doped silicon island to detect changes in the temperature of the charge carriers in the silicon, due to variations in absorbed radiation. By using strained silicon as the absorber, we decrease the electron-phonon coupling in the device and increase the responsivity to incoming power. The strained silicon absorber is coupled to a planar aluminium twin-slot antenna designed to couple to 160 GHz and that serves as the superconducting contacts. From the measured optical responsivity and spectral response, we calculate a maximum optical efficiency of 50% for radiation coupled into the device by the planar antenna and an overall noise equivalent power, referred to absorbed optical power, of 1.1×10-16 W Hz-1/2 when the detector is observing a 300 K source through a 4 K throughput limiting aperture. Even though this optical system is not optimized, we measure a system noise equivalent temperature difference of 6 mK Hz-1/2. We measure the noise of the device using a cross-correlation of time stream data, measured simultaneously with two junction field-effect transistor amplifiers, with a base correlated noise level of 300 pV Hz-1/2 and find that the total noise is consistent with a combination of photon noise, current shot noise, and electron-phonon thermal noise.
Enhanced Raman scattering in porous silicon grating.
Wang, Jiajia; Jia, Zhenhong; Lv, Changwu
2018-03-19
The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.
Marts, Donna J.; Barker, Stacey G.; McQueen, Miles A.
1996-01-01
A portable barrier strip having retractable tire-puncture means for puncturing a vehicle tire. The tire-puncture means, such as spikes, have an armed position for puncturing a tire and a retracted position for not puncturing a tire. The strip comprises a plurality of barrier blocks having the tire-puncture means removably disposed in a shaft that is rotatably disposed in each barrier block. The shaft removably and pivotally interconnects the plurality of barrier blocks. Actuation cables cause the shaft to rotate the tire-puncture means to the armed position for puncturing a vehicle tire and to the retracted position for not puncturing the tire. Each tire-puncture means is received in a hollow-bed portion of its respective barrier block when in the retracted position. The barrier strip rests stable in its deployed position and substantially motionless as a tire rolls thereon and over. The strip is rolled up for retrieval, portability, and storage purposes, and extended and unrolled in its deployed position for use.
Development of a process for high capacity-arc heater production of silicon
NASA Technical Reports Server (NTRS)
Reed, W. H.; Meyer, T. N.; Fey, M. G.; Harvey, F. J.; Arcella, F. G.
1978-01-01
The realization of low cost, electric power from large-area silicon, photovoltaic arrays will depend on the development of new methods for large capacity production of solar grade (SG) silicon with a cost of less than $10 per kilogram by 1986 (established Department of Energy goal). The objective of the program is to develop a method to produce SG silicon in large quantities based on the high temperature-sodium reduction of silicon tetrachloride (SiCl4) to yield molten silicon and the coproduct salt vapor (NaCl). Commercial ac electric arc heaters will be utilized to provide a hyper-heated mixture of argon and hydrogen which will furnish the required process energy. The reactor is designed for a nominal silicon flow rate of 45 kg/hr. Analyses and designs have been conducted to evaluate the process and complete the initial design of the experimental verification unit.
Low-cost carbon-silicon nanocomposite anodes for lithium ion batteries.
Badi, Nacer; Erra, Abhinay Reddy; Hernandez, Francisco C Robles; Okonkwo, Anderson O; Hobosyan, Mkhitar; Martirosyan, Karen S
2014-01-01
The specific energy of the existing lithium ion battery cells is limited because intercalation electrodes made of activated carbon (AC) materials have limited lithium ion storage capacities. Carbon nanotubes, graphene, and carbon nanofibers are the most sought alternatives to replace AC materials but their synthesis cost makes them highly prohibitive. Silicon has recently emerged as a strong candidate to replace existing graphite anodes due to its inherently large specific capacity and low working potential. However, pure silicon electrodes have shown poor mechanical integrity due to the dramatic expansion of the material during battery operation. This results in high irreversible capacity and short cycle life. We report on the synthesis and use of carbon and hybrid carbon-silicon nanostructures made by a simplified thermo-mechanical milling process to produce low-cost high-energy lithium ion battery anodes. Our work is based on an abundant, cost-effective, and easy-to-launch source of carbon soot having amorphous nature in combination with scrap silicon with crystalline nature. The carbon soot is transformed in situ into graphene and graphitic carbon during mechanical milling leading to superior elastic properties. Micro-Raman mapping shows a well-dispersed microstructure for both carbon and silicon. The fabricated composites are used for battery anodes, and the results are compared with commercial anodes from MTI Corporation. The anodes are integrated in batteries and tested; the results are compared to those seen in commercial batteries. For quick laboratory assessment, all electrochemical cells were fabricated under available environment conditions and they were tested at room temperature. Initial electrochemical analysis results on specific capacity, efficiency, and cyclability in comparison to currently available AC counterpart are promising to advance cost-effective commercial lithium ion battery technology. The electrochemical performance observed for
Low-cost carbon-silicon nanocomposite anodes for lithium ion batteries
2014-01-01
The specific energy of the existing lithium ion battery cells is limited because intercalation electrodes made of activated carbon (AC) materials have limited lithium ion storage capacities. Carbon nanotubes, graphene, and carbon nanofibers are the most sought alternatives to replace AC materials but their synthesis cost makes them highly prohibitive. Silicon has recently emerged as a strong candidate to replace existing graphite anodes due to its inherently large specific capacity and low working potential. However, pure silicon electrodes have shown poor mechanical integrity due to the dramatic expansion of the material during battery operation. This results in high irreversible capacity and short cycle life. We report on the synthesis and use of carbon and hybrid carbon-silicon nanostructures made by a simplified thermo-mechanical milling process to produce low-cost high-energy lithium ion battery anodes. Our work is based on an abundant, cost-effective, and easy-to-launch source of carbon soot having amorphous nature in combination with scrap silicon with crystalline nature. The carbon soot is transformed in situ into graphene and graphitic carbon during mechanical milling leading to superior elastic properties. Micro-Raman mapping shows a well-dispersed microstructure for both carbon and silicon. The fabricated composites are used for battery anodes, and the results are compared with commercial anodes from MTI Corporation. The anodes are integrated in batteries and tested; the results are compared to those seen in commercial batteries. For quick laboratory assessment, all electrochemical cells were fabricated under available environment conditions and they were tested at room temperature. Initial electrochemical analysis results on specific capacity, efficiency, and cyclability in comparison to currently available AC counterpart are promising to advance cost-effective commercial lithium ion battery technology. The electrochemical performance observed for
Xu, Yin; Xiao, Jinbiao
2016-01-20
A compact and integrated TM-rotated/TE-through polarization beam splitter for silicon-based slot waveguides is proposed and characterized. For the input TM mode, it is first transferred into the cross strip waveguide using a tapered directional coupler (DC), and then efficiently rotated to the corresponding TE mode using an L-shaped bending polarization rotator (PR). Finally, the TE mode for slot waveguide at the output end is obtained with the help of a strip-to-slot mode converter. By contrast, for the input TE mode, it almost passes through the slot waveguide directly and outputs at the bar end with nearly neglected coupling due to a large mode mismatch. Moreover, an additional S-bend connecting the tapered DC and bending PR is used to enhance the performance. Results show that a total device length of 19.6 μm is achieved, where the crosstalk (CT) and polarization conversion loss are, respectively -26.09 and 0.54 dB, for the TM mode, and the CT and insertion loss are, respectively, -22.21 and 0.41 dB, for the TE mode, both at 1.55 μm. The optical bandwidth is approximately 50 nm with a CT<-20 dB. In addition, fabrication tolerances and field evolution are also presented.
A hybrid electromechanical solid state switch for ac power control
NASA Technical Reports Server (NTRS)
1972-01-01
Bidirectional thyristor coupled to a series of actuator driven electromechanical contacts generates hybrid electromechanical solid state switch for ac power control. Device is useful in power control applications where zero crossover switching is required.
Erosion behavior of CVD 3C silicon carbide in inductively coupled plasmas
NASA Astrophysics Data System (ADS)
Brooks, Mitchell R.
2010-11-01
An electrostatic, capacitively coupled Planar Ion Flux (PIF) probe has been developed as a sensor for use in high volume reactive ion etch (RIE) chambers. An important factor in the design is the material used for the probe collection area that is exposed to the plasma. For use in inductively coupled plasma chambers, bulk-deposited, 3C silicon carbide (SiC) was chosen. The primary objective of this work was to characterize the erosion behavior of the probe tip throughout repeated cycling for 100 RF hours (RFH). Surface morphology, roughness, and composition were documented at the beginning and end of cycling. In addition, the mass of the probe tip was documented three times throughout the experiment. This was used to calculate the wear rate which averaged ~100 mug/RFH. Although physical and chemical mechanisms were evident, it appears that preferential sputtering at pre-existing surface defects had the greatest influence on the erosion behavior. Additionally, an investigation into the sudden abnormal electrical behavior of the probe yielded the conclusion that the added capacitance of a deposited film reduces the number of data points in the ion saturation region used to fit the experimental data. This results in excessive values for extracted plasma parameters, most notably the electron temperature. However, this is only a temporary condition if the film can be removed.
Bicycle-Friendly Shoulder Rumble Strips
DOT National Transportation Integrated Search
2000-03-01
Shoulder rumble strips have proven to be an effective way to reduce run-off-the-road (ROR) crashes on urban and rural freeways. As the use of shoulder rumble strips is being extended to non-freeway facilities, bicyclists will encounter rumble strips ...
ERIC Educational Resources Information Center
Park, Jin Seo; Kim, Dae Hyun; Chung, Min Suk
2011-01-01
Comics are powerful visual messages that convey immediate visceral meaning in ways that conventional texts often cannot. This article's authors created comic strips to teach anatomy more interestingly and effectively. Four-frame comic strips were conceptualized from a set of anatomy-related humorous stories gathered from the authors' collective…
ERIC Educational Resources Information Center
Kim, Dae Hyun; Jang, Hae Gwon; Shin, Dong Sun; Kim, Sun-Ja; Yoo, Chang Young; Chung, Min Suk
2012-01-01
Science comic strips entitled Dr. Scifun were planned to promote science jobs and studies among professionals (scientists, graduate and undergraduate students) and children. To this end, the authors collected intriguing science stories as the basis of scenarios, and drew four-cut comic strips, first on paper and subsequently as computer files.…
First results of the silicon telescope using an 'artificial retina' for fast track finding
DOE Office of Scientific and Technical Information (OSTI.GOV)
Neri, N.; Abba, A.; Caponio, F.
We present the first results of the prototype of a silicon tracker with trigger capabilities based on a novel approach for fast track finding. The working principle of the 'artificial retina' is inspired by the processing of visual images by the brain and it is based on extensive parallelization of data distribution and pattern recognition. The algorithm has been implemented in commercial FPGAs in three main logic modules: a switch for the routing of the detector hits, a pool of engines for the digital processing of the hits, and a block for the calculation of the track parameters. The architecturemore » is fully pipelined and allows the reconstruction of real-time tracks with a latency less then 100 clock cycles, corresponding to 0.25 microsecond at 400 MHz clock. The silicon telescope consists of 8 layers of single-sided silicon strip detectors with 512 strips each. The detector size is about 10 cm x 10 cm and the strip pitch is 183 μm. The detectors are read out by the Beetle chip, a custom ASICs developed for LHCb, which provides the measurement of the hit position and pulse height of 128 channels. The 'artificial retina' algorithm has been implemented on custom data acquisition boards based on FPGAs Xilinx Kintex 7 lx160. The parameters of the tracks detected are finally transferred to host PC via USB 3.0. The boards manage the read-out ASICs and the sampling of the analog channels. The read-out is performed at 40 MHz on 4 channels for each ASIC that corresponds to a decoding of the telescope information at 1.1 MHz. We report on the first results of the fast tracking device and compare with simulations. (authors)« less
Flexible integration of free-standing nanowires into silicon photonics.
Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin
2017-06-14
Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.
Marts, D.J.; Barker, S.G.; McQueen, M.A.
1996-04-16
A portable barrier strip is described having retractable tire-puncture means for puncturing a vehicle tire. The tire-puncture means, such as spikes, have an armed position for puncturing a tire and a retracted position for not puncturing a tire. The strip comprises a plurality of barrier blocks having the tire-puncture means removably disposed in a shaft that is rotatably disposed in each barrier block. The shaft removably and pivotally interconnects the plurality of barrier blocks. Actuation cables cause the shaft to rotate the tire-puncture means to the armed position for puncturing a vehicle tire and to the retracted position for not puncturing the tire. Each tire-puncture means is received in a hollow-bed portion of its respective barrier block when in the retracted position. The barrier strip rests in its deployed position and substantially motionless as a tire rolls thereon and over. The strip is rolled up for retrieval, portability, and storage purposes, and extended and unrolled in its deployed position for use. 13 figs.
Strip casting apparatus and method
Williams, Robert S.; Baker, Donald F.
1988-01-01
Strip casting apparatus including a molten-metal-holding container and a nozzle to deposit molten metal onto a moving chill drum to directly cast continuous metallic strip. The nozzle body includes a slot bounded between a back and a front lip. The slot width exceeds about 20 times the gap distance between the nozzle and the chill drum surface. Preferably, the slot width exceeds 0.5 inch. This method of strip casting minimizes pressure drop, insuring better metal-to-chill-drum contact which promotes heat transfer and results in a better quality metallic strip.
Dielectric spectroscopy of solutions of amino silicone emulsion in distilled water
NASA Astrophysics Data System (ADS)
Shah, K. N.; Rana, V. A.; Trivedi, C. M.; Vankar, H. P.
2016-05-01
Complex permittivity spectra ɛ*(ω) = ɛ' - jɛ″ of solutions of amino silicone emulsion in distilled water in the frequency range 100 Hz to 2 MHz were obtained using precision LCR meter. Complex permittivity data is used to find out complex impedance z*(ω) and complex electric conductivity σ*(ω). All these spectra are used to gain information about various polarization processes taking place in the solutions of amino silicone emulsion in distilled water under the effect of ac electric field. The frequency and concentration dependent behavior of the solutions of amino silicone emulsion in distilled waterhave beenalso investigated. Density and refractive index of the samples are also measured and are reported.
Fabrication of optical ring resonators in silicon on insulator
NASA Astrophysics Data System (ADS)
Headley, William R.; Reed, Graham T.; Liu, Ansheng; Cohen, Oded; Hak, D.; Paniccia, Mario J.; Howe, Simon; Huille, Inga
2004-07-01
In an effort to determine low-cost alternatives for components currently used in DWDM, optical ring resonators are currently being investigated. The well-known microfabrication techniques of silicon, coupled with the low propagation loss of single crystal silicon, make SOI an attractive material. Laterally coupled racetrack resonators utilising rib waveguides have been fabricated and preliminary results are discussed. An extinction ratio of 15.9 dB and a finesse of 11 have been measured.
Barbeito García, Ana; Sampayo Montenegro, Ana
2015-10-01
Urinalysis using reactive strip is a commonly used in clinical practice. Although mainly indicated as first step test when a urine infection it suspected, it may also be a helpful tool in the management of a wide range of disorders. Standard urine test strips may comprise of up to 10 different chemical pads or reagents (leukocytes, nitrites, pH, glucose, proteins, ketones, bilirubin, urobilinogen, density and blood) that allow a qualitative and semiquantitative analysis of a urine sample. The test method consists of immersing the strip completely in a well-mixed sample of urine and left to stand for the time necessary for the reactions to occur (which is variable depending on the manufacturer). Finally the colors that appear are compared against a specific chromatic scale provided. Several factors may influence the results causing a significant number of false positives and negatives. Such limitations should always be taken into account when reading the test. Despite clinical features lead to the suspicion of an infection, urine test strips is a fast screening test that may reinforce the diagnosis. The combination of dysuria, frequency and emergency, hematuria, pain and sensibility in the pelvis reaches a positive predictive value to identified a urine infection of 90 %. When only dysuria and emergency or high frequency are present, the such probability diminishes to 70-80%, and, when dysuria is the only symptom, it drops to 25%. Despite urine test strips is a fast, easy and cheap method for the diagnosis and follow-up of several diseases, results are fairly heterogeneous and may be influenced by external factors. Therefore a cautious interpretation if advised. Sensibility and specificity of urine test strips is widely variable (S 46%-86% and E 17%-93%). Although the highest diagnostic values are obtained at primary care centers, where such tests are routinely used in a diverse population, the number of false positives is still high. This issue should be taken into
Nakayama, Hidekazu; Nakanishi, Jun; Shimizu, Takahiro; Yoshino, Yutaro; Iwai, Hideo; Kaneko, Shingo; Horiike, Yasuhiro; Yamaguchi, Kazuo
2010-03-01
Patterned immobilization of synthetic and biological ligands on material surfaces with controlled surface densities is important for various bioanalytical and cell biological purposes. This paper describes the synthesis, characterization, and application of a novel silane coupling agent bearing a photoremovable succinimidyl carbonate, which enables the photopatterning of various primary amines on glass and silicon surfaces. The silane coupling agent is 1-[5-methoxy-2-nitro-4-(3-trimethoxysilylpropyloxy)phenyl]ethyl N-succinimidyl carbonate. The distinct feature of this molecule is that it has a photocleavable 2-nitrobenzyl switch between a trimethoxysilyl group and a succinimidyl carbonate, each reactive to the hydroxy groups of inorganic oxides and primary amines. Based on this molecular design, the compound allows for the one-step introduction of succinimidyl carbonates onto the surface of glass and silicon, immobilization of primary amines, and region-selective and dose-dependent release of the amines by near-UV irradiation. Therefore, we were able to pattern amine ligands on the substrates in given surface densities and arbitrary geometries by controlling the doses and regions of photoirradiation. These features were verified by UV-vis spectroscopy, contact angle measurements, infrared (IR) spectroscopy, X-ray photoelectron spectroscopy (XPS), ellipsometry, and atomic force microscopy (AFM). The compound was applied to form a chemical density gradient of amino-biotin on a silicon substrate in a range of 0.87-0.12 chains/nm(2) by controlling photoirradiation under a standard fluorescence microscope. Furthermore, we also succeeded in forming a chemical density gradient at a lower surface density range (0.15-0.011 chains/nm(2)) on the substrate by diluting the feed amino-biotin with an inert control amine.
Characteristics of laminates with delamination control strips
NASA Technical Reports Server (NTRS)
Sun, C. T.; Goering, J. C.; Alper, J. M.; Gause, L. W.
1992-01-01
Tough resin is needed to resist delamination crack propagation. However, modulus often has to be compromised because it is difficult to retain both high modulus and toughness in a matrix material. A potential solution is to use a hybrid system in which tough resin strips are included within a conventional matrix composite. By adjusting the spacing of the tough resin strips, maximum delamination size can be controlled. Experimental results for impact damage and subsequent damage propagation in laminates containing tough resin strips are reported. Plain adhesive strips and fiber-reinforced tough resin composite strips were used in constructing the hybrid laminates. Test results indicated that size of delamination inflicted by impact was confined between the tough resin strips. As a result, significantly increased residual compressive strength was obtained. Impacted laminates containing tough resin strips were also fatigue tested. It was found that these strips reduced the growth of the impact damage area relative to the growth seen in coupons with no tough resin strips. Damage growth from an open hole under tension fatigue was evaluated using both tough resin strips and glass fiber reinforced tough resin strips. Unreinforced tough resin strips retarded delamination growth from the open hole, but did not stop matrix cracks growing in the fiber direction. Fiber reinforced tough resin strips did not contain axial delamination growth from the open hole. However, they did act as crack arresters, stopping the through-the-thickness tension crack originating from the hole.
2016-05-01
AFRL-RQ-WP-TR-2016-0108 SILICON CARBIDE (SiC) DEVICE AND MODULE RELIABILITY Performance of a Loop Heat Pipe Subjected to a Phase-Coupled... Heat Input to an Acceleration Field Kirk L. Yerkes (AFRL/RQQI) and James D. Scofield (AFRL/RQQE) Flight Systems Integration Branch (AFRL/RQQI...CARBIDE (SiC) DEVICE AND MODULE RELIABILITY Performance of a Loop Heat Pipe Subjected to a Phase-Coupled Heat Input to an Acceleration Field 5a
Strip cutting in northern hardwoods
Richard M. Godman
1992-01-01
Interest in clearcutting young northern hardwood stands in strips is running high, especially now that mechanical fellers and skidders have been developed to harvest these stands. Strip cutting has several advantages-no overstory to worry about when treating the site, no overstory to remove later, and the economic advantage of cutting the strip only once.
Amphiphilic silicone architectures via anaerobic thiol-ene chemistry.
Keddie, Daniel J; Grande, John B; Gonzaga, Ferdinand; Brook, Michael A; Dargaville, Tim R
2011-11-18
Despite broad application, few silicone-based surfactants of known structure or, therefore, surfactancy have been prepared because of an absence of selective routes and instability of silicones to acid and base. Herein the synthesis of a library of explicit silicone-poly(ethylene glycol) (PEG) materials is reported. Pure silicone fragments were generated by the B(C(6)F(5))(3)-catalyzed condensation of alkoxysilanes and vinyl-functionalized hydrosilanes. The resulting pure products were coupled to thiol-terminated PEG materials using photogenerated radicals under anaerobic conditions.
Coupled thermal-fluid-mechanics analysis of twin roll casting of A7075 aluminum alloy
NASA Astrophysics Data System (ADS)
Lee, Yun-Soo; Kim, Hyoung-Wook; Cho, Jae-Hyung; Chun, Se-Hwan
2017-09-01
Better understanding of temperature distribution and roll separation force during twin roll casting of aluminum alloys is critical to successfully fabricate good quality of aluminum strips. Therefore, the simulation techniques are widely applied to understand the twin roll casting process in a comprehensive way and to reduce the experimental time and cost of trial and error. However, most of the conventional approaches are considered thermally coupled flow, or thermally coupled mechanical behaviors. In this study, a fully coupled thermal-fluid-mechanical analysis of twin roll casting of A7075 aluminum strips was carried out using the finite element method. Temperature profile, liquid fraction and metal flow of aluminum strips with different thickness were predicted. Roll separation force and roll temperatures were experimentally obtained from a pilot-scale twin roll caster, and those results were compared with model predictions. Coupling the fluid of the liquid melt to the thermal and mechanical modeling reasonably predicted roll temperature distribution and roll separation force during twin roll casting.
Kiat-Amnuay, Sudarat; Gettleman, Lawrence; Goldsmith, L Jane
2004-09-01
Loss of retention of maxillofacial prostheses often makes the margin visible or the prosthesis dislodge. Using several medical adhesives in combination may improve retention. The purpose of this study was to investigate the effect of single- and multi-adhesive layering of 2 adhesives on the retention of maxillofacial silicone elastomer strips adhered to the skin of human forearms using a peel test. Power analysis from a previous study and a pilot trial specified at least 20 subjects. Eight Silastic Adhesive A/MDX4-4210 silicone rubber strips (N=240) were applied in a predetermined random order to the left and right ventral forearms of 30 IRB-approved human subjects. Skin-Prep Protective Dressing was applied. Secure 2 Medical Adhesive (SMA) and Epithane-3 (E3) adhesive were used alone or as SMA/E3 or E3/SMA sandwiches (from skin to prosthesis) to adhere strips. Strips were peeled 6 hours later in a universal testing machine at 10 cm/min and data reported in N/m. Paired t tests were used to evaluate left and right arm differences. A Friedman test for nonparametric correlated data with within-subject design was performed, determining differences between both adhesives singly and in combination (alpha=.05). Tests of left-right differences were insignificant ( P =0.43), so the data from both arms were combined. Many strips with E3 did not adhere before testing and were counted as 0 adhesion. Median peel strengths (and 25th and 75th percentiles) in N/m were: SMA = 76.1 (47.1-107), E3 = 6.75 (0.0-25.9), SMA/E3 = 107 (78.0-132), and E3/SMA= 19.6 (6.99-42.4). All 4 variables were significantly different ( P <.0005). The multi-adhesive combination of SMA/E3 had the highest adhesion, followed, in order, by SMA alone, E3/ SMA, and E3 alone. Both E3 groups left a difficult-to-remove residue on the skin. SMA/E3 left a halo-like residue on the skin at the periphery of the strips from the E3 leaking around the SMA. SMA remained adherent to the prosthetic material.
Strip casting apparatus and method
Williams, R.S.; Baker, D.F.
1988-09-20
Strip casting apparatus including a molten-metal-holding container and a nozzle to deposit molten metal onto a moving chill drum to directly cast continuous metallic strip. The nozzle body includes a slot bounded between a back and a front lip. The slot width exceeds about 20 times the gap distance between the nozzle and the chill drum surface. Preferably, the slot width exceeds 0.5 inch. This method of strip casting minimizes pressure drop, insuring better metal-to-chill-drum contact which promotes heat transfer and results in a better quality metallic strip. 6 figs.
NASA Astrophysics Data System (ADS)
Behzad, Somayeh
2015-09-01
The electronic and optical properties of bundled armchair and zigzag silicon carbide nanotubes (SiCNTs) are investigated by using density functional theory. The effects of inter-tube coupling on the electronic dispersions of SiCNT bundles are demonstrated. It was found that the band structure of (6, 0) SiCNT bundle shows metallic feature. The calculated dielectric functions of the armchair and zigzag bundles are similar to that of the isolated tubes, except for the appearance of broadened peaks, small shifts of peak positions about 0.1 eV and increasing of peak intensities. For (6, 0) SiCNT with smaller radius, by considering interband and interaband transitions, the band structure coupling causes an extra peak at low energies.
Thiolated silicone oil: Synthesis, gelling and mucoadhesive properties
Partenhauser, Alexandra; Laffleur, Flavia; Rohrer, Julia; Bernkop-Schnürch, Andreas
2015-01-01
The aim of this study was the development of novel thiolated silicone oils and their evaluation with regard to gelling and mucoadhesive properties. A thiol coupling of 220 ± 14 and 127 ± 33 μmol/g polymer for 3-mercaptopropionic acid (MPA)- and cysteine-coupled silicone oil was determined, respectively. The dynamic viscosity of MPA–silicone raised significantly (p < 0.000001) after oxidation with iodine to a maximum of 523-fold within 1 h. During tensile studies, MPA–silicone showed both the highest results for total work of adhesion (TWA) and maximum detachment force (MDF) with a 3.8- and 3.4-fold increase, respectively, compared to the control. As far as the residence time on small intestinal mucosa is concerned, both silicone conjugates were detectable in almost the same quantities for up to 8 h with 56.9 ± 3.3 and 47.8 ± 8.9% of the initially applied conjugated silicone oil. Thiolated silicone oils can be regarded superior in comparison to commonly used silicone oils due to a prolonged retention time in the small intestine as site of action. Gelling and mucoadhesive features are advantageous for antiflatulent as well as mucoprotective biomaterials. Thus, these novel thiomers seem promising for an upgrade of currently available products for the treatment of dyspepsia, reflux oesophagitis and even inflammatory bowel diseases such as ulcerative colitis or Crohn’s disease. PMID:25660565
Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides.
Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A; Olsson, Roy H; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T
2013-01-01
Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic-phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized-with over 1,000 times larger nonlinearity than reported in previous systems-yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip.
Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides
Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A.; Olsson, Roy H.; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T.
2013-01-01
Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic–phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized—with over 1,000 times larger nonlinearity than reported in previous systems—yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip. PMID:23739586
Linear ac Response of Thin Superconductors during Flux Creep
NASA Astrophysics Data System (ADS)
Brandt, Ernst Helmut; Gurevich, Alexander
1996-03-01
The linear magnetic susceptibility χ\\(ω\\) of superconducting strips and disks in a transverse magnetic field is calculated in the flux-creep regime. It is shown that χ\\(ω\\) = χ'-iχ'' for ω>>1/t is universal, independent of temperature, dc field, and material parameters, depending only on the sample shape, ac frequency ω/2π, and time t elapsed after creep has started. Qualitatively, χ\\(ω\\) can be obtained from the χ\\(ω\\) of metallic conductors by replacing the Ohmic relaxation time by t. At ωt>>1, which may apply down to rather low frequencies, the dissipative flux-creep state exhibits a nearly Meissner-like response with χ' = -1+0.40/ωt and χ'' = 0.25ln\\(29ωt\\)/ωt for disks.
Nuclear Lamin A/C Deficiency Induces Defects in Cell Mechanics, Polarization, and Migration
Lee, Jerry S. H.; Hale, Christopher M.; Panorchan, Porntula; Khatau, Shyam B.; George, Jerry P.; Tseng, Yiider; Stewart, Colin L.; Hodzic, Didier; Wirtz, Denis
2007-01-01
Lamin A/C is a major constituent of the nuclear lamina, a thin filamentous protein layer that lies beneath the nuclear envelope. Here we show that lamin A/C deficiency in mouse embryonic fibroblasts (Lmna−/− MEFs) diminishes the ability of these cells to polarize at the edge of a wound and significantly reduces cell migration speed into the wound. Moreover, lamin A/C deficiency induces significant separation of the microtubule organizing center (MTOC) from the nuclear envelope. Investigations using ballistic intracellular nanorheology reveal that lamin A/C deficiency also dramatically affects the micromechanical properties of the cytoplasm. Both the elasticity (stretchiness) and the viscosity (propensity of a material to flow) of the cytoplasm in Lmna−/− MEFs are significantly reduced. Disassembly of either the actin filament or microtubule networks in Lmna+/+ MEFs results in decrease of cytoplasmic elasticity and viscosity down to levels found in Lmna−/− MEFs. Together these results show that both the mechanical properties of the cytoskeleton and cytoskeleton-based processes, including cell motility, coupled MTOC and nucleus dynamics, and cell polarization, depend critically on the integrity of the nuclear lamina, which suggest the existence of a functional mechanical connection between the nucleus and the cytoskeleton. These results also suggest that cell polarization during cell migration requires tight mechanical coupling between MTOC and nucleus, which is mediated by lamin A/C. PMID:17631533
DAPHNE silicon photonics technological platform for research and development on WDM applications
NASA Astrophysics Data System (ADS)
Baudot, Charles; Fincato, Antonio; Fowler, Daivid; Perez-Galacho, Diego; Souhaité, Aurélie; Messaoudène, Sonia; Blanc, Romuald; Richard, Claire; Planchot, Jonathan; De-Buttet, Come; Orlando, Bastien; Gays, Fabien; Mezzomo, Cécilia; Bernard, Emilie; Marris-Morini, Delphine; Vivien, Laurent; Kopp, Christophe; Boeuf, Frédéric
2016-05-01
A new technological platform aimed at making prototypes and feasibility studies has been setup at STMicroelectronics using 300mm wafer foundry facilities. The technology, called DAPHNE (Datacom Advanced PHotonic Nanoscale Environment), is devoted at developing and evaluating new devices and sub-systems in particular for wavelength division multiplexing (WDM) applications and ring resonator based applications. Developed in the course of PLAT4MFP7 European project, DAPHNE is a flexible platform that fits perfectly R&D needs. The fabrication flow enables the processing of photonic integrated circuits using a silicon-on-insulator (SOI) of 300nm, partial etches of 150nm and 50nm and a total silicon etching. Consequently, two varieties of rib waveguides and one strip waveguide can be fabricated simultaneously with auto-alignment properties. The process variability on the 150nm partially etched silicon and the thin 50nm slab region are both less than 6 nm. Using a variety of different implantation configurations and a back-end of line of 5 metal layers, active devices are fabricated both in germanium and silicon. An available far back-end of line process consists of making 20 μm diameter copper posts on top of the electrical pads so that an electronic integrated circuit can be bonded on top the photonic die by 3D integration. Besides having those fabrication process options, DAPHNE is equipped with a library of standard cells for optical routing and multiplexing. Moreover, typical Mach-Zehnder modulators based on silicon pn junctions are also available for optical signal modulation. To achieve signal detection, germanium photodetectors also exist as standard cells. The measured single-mode propagation losses are 3.5 dB/cm for strip, 3.7 dB/cm for deep-rib (50nm slab) and 1.4 dB/cm for standard rib (150nm slab) waveguides. Transition tapers between different waveguide structures are as low as 0.006 dB.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lu, Fang; Wang, Kaihua; Lin, Yuehe
2005-10-10
A novel, sensitive immunochromatographic electrochemical biosensor (IEB) which combines an immunochromatographic strip technique with an electrochemical detection technique is demonstrated. The IEB takes advantages of the speed and low-cost of the conventional immunochromatographic test kits and high-sensitivity of stripping voltammetry. Bismuth ions (Bi3+) have been coupled with the antibody through the bifunctional chelating agent diethylenetriamine pentaacetic acid (DTPA). After immunoreactions, Bi3+ was released and quantified by anodic stripping voltammetry at a built-in single-use screen-printed electrode. As an example for the applications of such novel device, the detection of human chorionic gonadotronphin (HCG) in a specimen was performed. This biosensor providesmore » a more user-friendly, rapid, clinically accurate, and less expensive immunoassay for such analysis in specimens than currently available test kits.« less
3D hybrid integrated lasers for silicon photonics
NASA Astrophysics Data System (ADS)
Song, B.; Pinna, S.; Liu, Y.; Megalini, L.; Klamkin, J.
2018-02-01
A novel 3D hybrid integration platform combines group III-V materials and silicon photonics to yield high-performance lasers is presented. This platform is based on flip-chip bonding and vertical optical coupling integration. In this work, indium phosphide (InP) devices with monolithic vertical total internal reflection turning mirrors were bonded to active silicon photonic circuits containing vertical grating couplers. Greater than 2 mW of optical power was coupled into a silicon waveguide from an InP laser. The InP devices can also be bonded directly to the silicon substrate, providing an efficient path for heat dissipation owing to the higher thermal conductance of silicon compared to InP. Lasers realized with this technique demonstrated a thermal impedance as low as 6.2°C/W, allowing for high efficiency and operation at high temperature. InP reflective semiconductor optical amplifiers were also integrated with 3D hybrid integration to form integrated external cavity lasers. These lasers demonstrated a wavelength tuning range of 30 nm, relative intensity noise lower than -135 dB/Hz and laser linewidth of 1.5 MHz. This platform is promising for integration of InP lasers and photonic integrated circuits on silicon photonics.
Im, Hyungsoon; Lee, Si Hoon; Wittenberg, Nathan J.; Johnson, Timothy W.; Lindquist, Nathan C.; Nagpal, Prashant; Norris, David J.; Oh, Sang-Hyun
2011-01-01
Inexpensive, reproducible and high-throughput fabrication of nanometric apertures in metallic films can benefit many applications in plasmonics, sensing, spectroscopy, lithography and imaging. Here we use template stripping to pattern periodic nanohole arrays in optically thick, smooth Ag films with a silicon template made via nanoimprint lithography. Ag is a low-cost material with good optical properties, but it suffers from poor chemical stability and biocompatibility. However, a thin silica shell encapsulating our template-stripped Ag nanoholes facilitates biosensing applications by protecting the Ag from oxidation as well as providing a robust surface that can be readily modified with a variety of biomolecules using well-established silane chemistry. The thickness of the conformal silica shell can be precisely tuned by atomic layer deposition, and a 15-nm-thick silica shell can effectively prevent fluorophore quenching. The Ag nanohole arrays with silica shells can also be bonded to polydimethylsiloxane (PDMS) microfluidic channels for fluorescence imaging, formation of supported lipid bilayers, and real-time, label-free SPR sensing. Additionally, the smooth surfaces of the template-stripped Ag films enhance refractive index sensitivity compared with as-deposited, rough Ag films. Because nearly centimeter-sized nanohole arrays can be produced inexpensively without using any additional lithography, etching or lift-off, this method can facilitate widespread applications of metallic nanohole arrays for plasmonics and biosensing. PMID:21770414
Stripping and splicing polyimide-coated fibers
NASA Astrophysics Data System (ADS)
Duke, Douglas; Kanda, Yoshiharu; Tobita, Kenyo; Yamauchi, Ryozo
2011-05-01
Polyimide is often used as a coating material for optical fibers used in high temperature environments such as aerospace or oil and gas sensor applications. Unfortunately, polyimide coating is very difficult to strip by conventional mechanical stripping methods. The glass fiber is easily damaged if the stripping process is not extremely well controlled. Stripping the polyimide coating by heating with a flame or arc typically results in a significant reduction in fiber strength. Strength may be maintained by using hot acid stripping, however the use of the strong hot acid presents safety hazards and also requires controlled and safe waste disposal. Another issue with polyimide coating is variability of the coating diameter from various manufacturers or due to different polyimide coating processes. This not only complicates the polyimide stripping issue, but also presents problems with precise clamping and alignment during splicing, especially when it is necessary to splice with a short cleave length. In this paper, we present new polyimide coating stripping technology. The significant feature of this stripping technology is achievement of good strength while avoiding the use of hot acid or heating. We also developed a new specialty fiber fusion splicer that enables precise alignment and splicing regardless of the variability of polyimide coating diameter, even when clamping on the coating.
DOT National Transportation Integrated Search
1974-01-01
Rumble strips have been used for a number of years at locations where a physical stimulus was needed to alert a motorist to some hazard. However, little study has been done to determine the optimum spacings for the strips. Through testing existing Vi...
Characterization of thin irradiated epitaxial silicon sensors for the CMS phase II pixel upgrade
NASA Astrophysics Data System (ADS)
Centis Vignali, M.
2015-02-01
The high-luminosity upgrade of the Large Hadron Collider foreseen for 2023 resulted on the decision to replace the tracker system of the CMS experiment. The innermost layer of the new pixel detector will experience fluences in the order of phieq ≈ 1016 cm-2 and a dose of ≈ 5 MGy after an integrated luminosity of 3000 fb-1. Several materials and designs are under investigation in order to build a detector that can withstand such high fluences. Thin planar silicon sensors are good candidates to achieve this goal since the degradation of the signal produced by traversing particles is less severe than for thicker devices. A study has been carried out in order to characterize highly irradiated planar epitaxial silicon sensors with an active thickness of 100 μm. The investigation includes pad diodes and strip detectors irradiated up to a fluence of phieq = 1.3 × 1016 cm-2, and 3 × 1015 cm-2, respectively. The electrical properties of diodes have been characterized using laboratory measurements, while measurements have been carried out at the DESY II test beam facility to characterize the charge collection of the strip detectors. A beam telescope has been used to determine precisely the impact position of beam particles on the sensor. This allows the unbiased extraction of the charge deposited in the strip sensor and good identification of the noise. In this paper, the results obtained for p-bulk sensors are shown. The charge collection efficiency of the strip sensors is 90% at 1000 V after a fluence of phieq = 3 × 1015 cm-2. The irradiated diodes show charge multiplication effects. The impact of the threshold applied to a detector on its efficiency is also discussed.
NASA Astrophysics Data System (ADS)
Papa, A.; Kettle, P.-R.; Ripiccini, E.; Rutar, G.
2016-07-01
Several scintillating fibre prototypes (single- and double-layers) made of 250 μm multi-clad square fibres coupled to silicon photomultiplier have been studied using electrons, positrons and muons at different energies. Current measurements show promising results: already for a single fibre layer and minimum ionizing particles we obtain a detection efficiency ≥ 95 % (mean collected light/fibre ≈ 8 phe), a timing resolution of 550 ps/fibre and a foreseen spatial resolution < 100 μm, based on the achieved negligible optical cross-talk between fibres (< 1 %). We will also discuss the performances of a double-layer staggered prototype configuration, for which a full detection efficiency (≥ 99 %) has been measured together with a timing resolution of ≈ 400 ps for double hit events.
Release and skin distribution of silicone-related compound(s) from a silicone gel sheet in vitro.
Shigeki, S; Nobuoka, N; Murakami, T; Ikuta, Y
1999-01-01
The efficacy of topical silicone gel sheeting in prevention and/or reduction of keloids and hypertrophic scars is well recognized. In the present study, we reexamined the possible release of silicone-related compound(s) from a commercially available silicone gel sheet (Cica-Care, Smith and Nephew, Hull, England) in aqueous media in vitro. The silicone gel sheet was also applied on the excised skin surface to examine the possible distribution of silicone-related compounds into the skin in vitro. Silicone-related compounds were measured as silicon by an inductively coupled plasma-atomic emission spectrophotometer. When a piece of silicone gel sheet was placed in phosphate buffer solution (pH 3-9) at 37 degrees C for 7 days, the concentration of silicon in the medium increased with time, depending on the pH of the medium. This indicates that the released silicone-related compounds are water-soluble. When Cica-Care was applied on the surface of excised rat skin, human axilla skin and hypertrophic scars under hydrated conditions in vitro, silicon was detected in all skin samples. Greater distribution was observed in rat skin than in human axilla skin and hypertrophic scars. The release of silicone-related compounds from a silicone gel sheet (Cica-Care) and their distribution into the skin were demonstrated in vitro. Silicone-related compounds distributed into the skin may have pharmacological effects on the skin. Further investigation will be necessary to investigate in detail the action of silicone-related compounds on the proliferation of fibroblasts and excessive production of collagen.
The Dark Side of the Moebius Strip.
ERIC Educational Resources Information Center
Schwarz, Gideon E.
1990-01-01
Discussed are various models proposed for the Moebius strip. Included are a discussion of a smooth flat model and two smooth flat algebraic models, some results concerning the shortest Moebius strip, the Moebius strip of least elastic energy, and some observations on real-world Moebius strips. (KR)
AC losses and stability on large cable-in-conduit superconductors
NASA Astrophysics Data System (ADS)
Bruzzone, Pierluigi
1998-12-01
The cable-in-conduit superconductors are preferred for applications where the AC losses and stability are a major concern, e.g., fusion magnets and SMES. A review of coupling currents loss results for both NbTi and Nb 3Sn cable-in-conduit conductors (CICC) is presented and the AC loss relevant features are listed, with special emphasis for the role of the interstrand resistance and strand coating. The transient stability approach for CICCs is discussed and the analytical models are quoted as well as the relevant experimental database. The likely spectrum of transient disturbance in CICC is reviewed and the need to account for interstrand current sharing in the design is outlined. Eventually a practical criterion for the interstrand resistance is proposed to link the stability and AC loss design.
An ac initiation system is described which uses three ac transmission signals interlocked for safety by frequency, phase, and power discrimination...The ac initiation system is pre-armed by the application of two ac signals have the proper phases, and activates a load when an ac power signal of the proper frequency and power level is applied. (Author)
Roll Casting of Aluminum Alloy Clad Strip
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nakamura, R.; Tsuge, H.; Haga, T.
2011-01-17
Casting of aluminum alloy three layers of clad strip was tried using the two sets of twin roll casters, and effects of the casting parameters on the cladding conditions were investigated. One twin roll caster was mounted on the other twin roll caster. Base strip was 8079 aluminum alloy and overlay strips were 6022 aluminum alloy. Effects of roll-load of upper and lower casters and melt temperature of the lower caster were investigated. When the roll-load of the upper and lower caster was large enough, the overlay strip could be solidified and be connected. The overlay strip could be connectedmore » when the melt of the overlay strip cast by the lower caster was low enough. Sound three layers of clad strip could be cast by proper conditions.« less
Coupled electronic and atomic effects on defect evolution in silicon carbide under ion irradiation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Yanwen; Xue, Haizhou; Zarkadoula, Eva
Understanding energy dissipation processes in electronic/atomic subsystems and subsequent non-equilibrium defect evolution is a long-standing challenge in materials science. In the intermediate energy regime, energetic particles simultaneously deposit a significant amount of energy to both electronic and atomic subsystems of silicon carbide (SiC). Here we show that defect evolution in SiC closely depends on the electronic-to-nuclear energy loss ratio (S e/S n), nuclear stopping powers ( dE/dx nucl), electronic stopping powers ( dE/dx ele), and the temporal and spatial coupling of electronic and atomic subsystem for energy dissipation. The integrated experiments and simulations reveal that: (1) increasing S e/S nmore » slows damage accumulation; (2) the transient temperatures during the ionization-induced thermal spike increase with dE/dx ele, which causes efficient damage annealing along the ion trajectory; and (3) for more condensed displacement damage within the thermal spike, damage production is suppressed due to the coupled electronic and atomic dynamics. Ionization effects are expected to be more significant in materials with covalent/ionic bonding involving predominantly well-localized electrons. Here, insights into the complex electronic and atomic correlations may pave the way to better control and predict SiC response to extreme energy deposition« less
Song, Junfeng; Luo, Xianshu; Tu, Xiaoguang; Jia, Lianxi; Fang, Qing; Liow, Tsung-Yang; Yu, Mingbin; Lo, Guo-Qiang
2013-05-20
In this work, we demonstrate thermo-optical quasi-digital optical switch (q-DOS) using silicon microring resonator-coupled Mach-Zehnder interferometer. The optical transmission spectra show box-like response with 1-dB and 3-dB bandwidths of ~1.3 nm and ~1.6 nm, respectively. Such broadband flat-top optical response improves the tolerance to the light source wavelength fluctuation of ± 6 Å and temperature variation of ± 6 °C. Dynamic characterizations show the device with switching power of ~37 mW, switching time of ~7 μs, and on/off ratio of > 30 dB. For performance comparison, we also demonstrate a carrier injection-based electro-optical q-DOS by integrating lateral P-i-N junction with the microring resonator, which significantly reduces power consumption to ~12 mW and switching time to ~0.7 ns only.
Automated Laser Paint Stripping (ALPS) update
NASA Astrophysics Data System (ADS)
Lovoi, Paul
1993-03-01
To date, the DoD has played a major role in funding a number of paint stripping programs. Some technologies have proven less effective than contemplated. Others are still in the validation phase. Paint stripping is one of the hottest issues being addressed by the finishing industry since the Environmental Protection Agency (EPA) has mandated that chemical stripping using methylene chloride/phenolic type strippers be stopped. The DoD and commercial aircraft companies are hard-pressed to find an alternative. Automated laser paint stripping has been identified as a technique for removing coatings from aircraft surfaces. International Technical Associates (InTA) was awarded a Navy contract for an automated laser paint stripping system (ALPS) that will remove paint from metallic and composite substrates. For the program, which will validate laser paint stripping, InTA will design, build, test, and install a system for fighter-sized aircraft at both the Norfolk and North Island (San Diego) Aviation Depots.
Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.
2016-01-01
Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057
NASA Astrophysics Data System (ADS)
Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.
2016-11-01
Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.
NASA Astrophysics Data System (ADS)
Picard, Marie-Josée.; Latrasse, Christine; Larouche, Carl; Painchaud, Yves; Poulin, Michel; Pelletier, François; Guy, Martin
2016-03-01
One of the biggest challenges of silicon photonics is the efficient coupling of light between the sub-micron SiP waveguides and a standard optical fiber (SMF-28). We recently proposed a novel approach based on a spot-size converter (SSC) that fulfills this need. The SSC integrates a tapered silicon waveguide and a superimposed structure made of a plurality of rods of high index material, disposed in an array-like configuration and embedded in a cladding of lower index material. This superimposed structure defines a waveguide designed to provide an efficient adiabatic transfer, through evanescent coupling, to a 220 nm thick Si waveguide tapered down to a narrow tip on one side, while providing a large mode overlap to the optical fiber on the other side. An initial demonstration was made using a SSC fabricated with post-processing steps. Great coupling to a SMF-28 fiber with a loss of 0.6 dB was obtained for TEpolarized light at 1550 nm with minimum wavelength dependence. In this paper, SSCs designed for operation at 1310 and 1550 nm for TE/TM polarizations and entirely fabricated in a CMOS fab are presented.
Method for maintaining precise suction strip porosities
NASA Technical Reports Server (NTRS)
Gallimore, Frank H. (Inventor)
1989-01-01
This invention relates to a masking method generally and, more particularly to a method of masking perforated titanium sheets having laminar control suction strips. As illustrated in the drawings, a nonaerodynamic surface of a perforated sheet has alternating suction strip areas and bonding land areas. Suction strip tapes overlie the bonding land areas during application of a masking material to an upper surface of the suction strip tapes. Prior to bonding the perforated sheet to a composite structure, the bonding land tapes are removed. The entire opposite aerodynamic surface is masked with tape before bonding. This invention provides a precise control of suction strip porosities by ensuring that no chemicals penetrate the suction strip areas during bonding.
``New'' energy states lead to phonon-less optoelectronic properties in nanostructured silicon
NASA Astrophysics Data System (ADS)
Singh, Vivek; Yu, Yixuan; Korgel, Brian; Nagpal, Prashant
2014-03-01
Silicon is arguably one of the most important technological material for electronic applications. However, indirect bandgap of silicon semiconductor has prevented optoelectronic applications due to phonon assistance required for photon light absorption/emission. Here we show, that previously unexplored surface states in nanostructured silicon can couple with quantum-confined energy levels, leading to phonon-less exciton-recombination and photoluminescence. We demonstrate size dependence (2.4 - 8.3 nm) of this coupling observed in small uniform silicon nanocrystallites, or quantum-dots, by direct measurements of their electronic density of states and low temperature measurements. To enhance the optical absorption of the these silicon quantum-dots, we utilize generation of resonant surface plasmon polariton waves, which leads to several fold increase in observed spectrally-resolved photocurrent near the quantum-confined bandedge states. Therefore, these enhanced light emission and absorption enhancement can have important implications for applications of nanostructured silicon for optoelectronic applications in photovoltaics and LEDs.
NASA Astrophysics Data System (ADS)
Seitz, B.; Rivera, N. Campos; Stewart, A. G.
2016-04-01
Scintillators are a critical component of sensor systems for the detection of ionizing radiation. Such systems have a diverse portfolio of applications from medical imaging, well logging in oil exploration, and detection systems for the prevention of the illicit movement of nuclear materials. The rare earth element cerium is an ideal dopant for a variety of host scintillating materials due to the fast 5d1 → 4f radiative transition of Ce3+. Cerium-doped gadolinium aluminium gallium garnet (Ce:GAGG) is a relatively new single crystal scintillator with several interesting properties. These include high light yield, an emission peak well-matched to silicon sensors, and low intrinsic energy resolution. Moreover, the material has high density and is nonhygroscopic. In this paper, we review the properties of cerium-doped GAGG and report energy-resolution (ER) measurements over the temperature range -10°C to +50°C for 3 × 3 × 30 mm3 Ce:GAGG crystals optically coupled to a silicon photomultipler (SiPM) sensor with a 3 mm × 3 mm active area. In addition, the linearity of the scintillator-SiPM response as a function of gamma energy is reported.
High-efficiency power transfer for silicon-based photonic devices
NASA Astrophysics Data System (ADS)
Son, Gyeongho; Yu, Kyoungsik
2018-02-01
We demonstrate an efficient coupling of guided light of 1550 nm from a standard single-mode optical fiber to a silicon waveguide using the finite-difference time-domain method and propose a fabrication method of tapered optical fibers for efficient power transfer to silicon-based photonic integrated circuits. Adiabatically-varying fiber core diameters with a small tapering angle can be obtained using the tube etching method with hydrofluoric acid and standard single-mode fibers covered by plastic jackets. The optical power transmission of the fundamental HE11 and TE-like modes between the fiber tapers and the inversely-tapered silicon waveguides was calculated with the finite-difference time-domain method to be more than 99% at a wavelength of 1550 nm. The proposed method for adiabatic fiber tapering can be applied in quantum optics, silicon-based photonic integrated circuits, and nanophotonics. Furthermore, efficient coupling within the telecommunication C-band is a promising approach for quantum networks in the future.
Individual Template-Stripped Conductive Gold Pyramids for Tip-Enhanced Dielectrophoresis
2015-01-01
Gradient fields of optical, magnetic, or electrical origin are widely used for the manipulation of micro- and nanoscale objects. Among various device geometries to generate gradient forces, sharp metallic tips are one of the most effective. Surface roughness and asperities present on traditionally produced tips reduce trapping efficiencies and limit plasmonic applications. Template-stripped, noble metal surfaces and structures have sub-nm roughness and can overcome these limits. We have developed a process using a mix of conductive and dielectric epoxies to mount template-stripped gold pyramids on tungsten wires that can be integrated with a movable stage. When coupled with a transparent indium tin oxide (ITO) electrode, the conductive pyramidal tip functions as a movable three-dimensional dielectrophoretic trap which can be used to manipulate submicrometer-scale particles. We experimentally demonstrate the electrically conductive functionality of the pyramidal tip by dielectrophoretic manipulation of fluorescent beads and concentration of single-walled carbon nanotubes, detected with fluorescent microscopy and Raman spectroscopy. PMID:25541619
Bird community response to filter strips in Maryland
Blank, P.J.; Dively, G.P.; Gill, D.E.; Rewa, C.A.
2011-01-01
Filter strips are strips of herbaceous vegetation planted along agricultural field margins adjacent to streams or wetlands and are designed to intercept sediment, nutrients, and agrichemicals. Roughly 16,000 ha of filter strips have been established in Maryland through the United States Department of Agriculture's Conservation Reserve Enhancement Program. Filter strips often represent the only uncultivated herbaceous areas on farmland in Maryland and therefore may be important habitat for early-successional bird species. Most filter strips in Maryland are planted to either native warm-season grasses or cool-season grasses and range in width from 10.7 m to 91.4 m. From 2004 to 2007 we studied the breeding and wintering bird communities in filter strips adjacent to wooded edges and non-buffered field edges and the effect that grass type and width of filter strips had on bird community composition. We used 5 bird community metrics (total bird density, species richness, scrub-shrub bird density, grassland bird density, and total avian conservation value), species-specific densities, nest densities, and nest survival estimates to assess the habitat value of filter strips for birds. Breeding and wintering bird community metrics were greater in filter strips than in non-buffered field edges but did not differ between cool-season and warm-season grass filter strips. Most breeding bird community metrics were negatively related to the percent cover of orchardgrass (Dactylis glomerata) in ???1 yr. Breeding bird density was greater in narrow (60 m) filter strips. Our results suggest that narrow filter strips adjacent to wooded edges can provide habitat for many bird species but that wide filter strips provide better habitat for grassland birds, particularly obligate grassland species. If bird conservation is an objective, avoid planting orchardgrass in filter strips and reduce or eliminate orchardgrass from filter strips through management practices. Copyright ?? 2011 The
Thermally assisted peeling of an elastic strip in adhesion with a substrate via molecular bonds
NASA Astrophysics Data System (ADS)
Qian, Jin; Lin, Ji; Xu, Guang-Kui; Lin, Yuan; Gao, Huajian
A statistical model is proposed to describe the peeling of an elastic strip in adhesion with a flat substrate via an array of non-covalent molecular bonds. Under an imposed tensile peeling force, the interfacial bonds undergo diffusion-type transition in their bonding state, a process governed by a set of probabilistic equations coupled to the stretching, bending and shearing of the elastic strip. Because of the low characteristic energy scale associated with molecular bonding, thermal excitations are found to play an important role in assisting the escape of individual molecular bonds from their bonding energy well, leading to propagation of the peeling front well below the threshold peel-off force predicted by the classical theories. Our study establishes a link between the deformation of the strip and the spatiotemporal evolution of interfacial bonds, and delineates how factors like the peeling force, bending rigidity of the strip and binding energy of bonds influence the resultant peeling velocity and dimensions of the process zone. In terms of the apparent adhesion strength and dissipated energy, the bond-mediated interface is found to resist peeling in a strongly rate-dependent manner.
A Strip Cell in Pyroelectric Devices
Siao, An-Shen; Chao, Ching-Kong; Hsiao, Chun-Ching
2016-01-01
The pyroelectric effect affords the opportunity to convert temporal temperature fluctuations into usable electrical energy in order to develop abundantly available waste heat. A strip pyroelectric cell, used to enhance temperature variation rates by lateral temperature gradients and to reduce cell capacitance to further promote the induced voltage, is described as a means of improving pyroelectric energy transformation. A precision dicing saw was successfully applied in fabricating the pyroelectric cell with a strip form. The strip pyroelectric cell with a high-narrow cross section is able to greatly absorb thermal energy via the side walls of the strips, thereby inducing lateral temperature gradients and increasing temperature variation rates in a thicker pyroelectric cell. Both simulation and experimentation show that the strip pyroelectric cell improves the electrical outputs of pyroelectric cells and enhances the efficiency of pyroelectric harvesters. The strip-type pyroelectric cell has a larger temperature variation when compared to the trenched electrode and the original type, by about 1.9 and 2.4 times, respectively. The measured electrical output of the strip type demonstrates a conspicuous increase in stored energy as compared to the trenched electrode and the original type, by of about 15.6 and 19.8 times, respectively. PMID:26999134
Using Comic Strips in Language Classes
ERIC Educational Resources Information Center
Csabay, Noémi
2006-01-01
The author believes that using comic strips in language-learning classes has three main benefits. First, comic strips motivate younger learners. Second, they provide a context and logically connected sentences to help language learning. Third, their visual information is helpful for comprehension. The author argues that comic strips can be used in…
Buffer strips in composites at elevated temperature
NASA Technical Reports Server (NTRS)
Bigelow, C. A.
1983-01-01
The composite material 'buffer strip' concept is presently investigated at elevated temperatures for the case of graphite/polyimide buffer strip panels using a (45/0/45/90)2S layup, where the buffer strip material was 0-deg S-glass/polyimide. Each panel was loaded in tension until it failed, and radiographs and crack opening displacements were recorded during the tests to determine fracture onset, fracture arrest, and the extent of damage in the buffer strip after crack arrest. At 177 + or - 3 C, the buffer strips increased the panel strength by at least 40 percent in comparison with panels without buffer strips. Compared to similar panels tested at room temperature, those tested at elevated temperature had lower residual strengths, but higher failure strains.
Quantum electromechanics on silicon nitride nanomembranes
Fink, J. M.; Kalaee, M.; Pitanti, A.; Norte, R.; Heinzle, L.; Davanço, M.; Srinivasan, K.; Painter, O.
2016-01-01
Radiation pressure has recently been used to effectively couple the quantum motion of mechanical elements to the fields of optical or microwave light. Integration of all three degrees of freedom—mechanical, optical and microwave—would enable a quantum interconnect between microwave and optical quantum systems. We present a platform based on silicon nitride nanomembranes for integrating superconducting microwave circuits with planar acoustic and optical devices such as phononic and photonic crystals. Using planar capacitors with vacuum gaps of 60 nm and spiral inductor coils of micron pitch we realize microwave resonant circuits with large electromechanical coupling to planar acoustic structures of nanoscale dimensions and femtoFarad motional capacitance. Using this enhanced coupling, we demonstrate microwave backaction cooling of the 4.48 MHz mechanical resonance of a nanobeam to an occupancy as low as 0.32. These results indicate the viability of silicon nitride nanomembranes as an all-in-one substrate for quantum electro-opto-mechanical experiments. PMID:27484751
Quantum electromechanics on silicon nitride nanomembranes.
Fink, J M; Kalaee, M; Pitanti, A; Norte, R; Heinzle, L; Davanço, M; Srinivasan, K; Painter, O
2016-08-03
Radiation pressure has recently been used to effectively couple the quantum motion of mechanical elements to the fields of optical or microwave light. Integration of all three degrees of freedom-mechanical, optical and microwave-would enable a quantum interconnect between microwave and optical quantum systems. We present a platform based on silicon nitride nanomembranes for integrating superconducting microwave circuits with planar acoustic and optical devices such as phononic and photonic crystals. Using planar capacitors with vacuum gaps of 60 nm and spiral inductor coils of micron pitch we realize microwave resonant circuits with large electromechanical coupling to planar acoustic structures of nanoscale dimensions and femtoFarad motional capacitance. Using this enhanced coupling, we demonstrate microwave backaction cooling of the 4.48 MHz mechanical resonance of a nanobeam to an occupancy as low as 0.32. These results indicate the viability of silicon nitride nanomembranes as an all-in-one substrate for quantum electro-opto-mechanical experiments.
Road to Silicon Microsphere Fabrication and Mode Coupling
2014-07-01
from optical fiber onto a microsphere in whispering gallery mode (courtesy of B. Butkus, Biophotonics International [2...Butkus, Biophotonics International [5]). 2 BACKGROUND SILICON MICROSPHERE FABRICATION METHODS Processes for forming spherical structures exist in...Sensitive DNA Detection.” October 2003. Biophotonics International. http://www.rowland.org/rjf/vollmer/images/biophotonics.pdf [6] James E. McDonald
Marts, Donna J.; Barker, Stacey G.; Wowczuk, Andrew; Vellenoweth, Thomas E.
2002-01-01
A portable barrier strip having retractable tire-puncture spikes for puncturing a vehicle tire. The tire-puncture spikes have an armed position for puncturing a tire and a retracted position for not puncturing a tire. The strip comprises a plurality of barrier blocks having the tire-puncture spikes removably disposed in a shaft that is rotatably disposed in each barrier block. The plurality of barrier blocks hare hingedly interconnected by complementary hinges integrally formed into the side of each barrier block which allow the strip to be rolled for easy storage and retrieval, but which prevent irregular or back bending of the strip. The shafts of adjacent barrier blocks are pivotally interconnected via a double hinged universal joint to accommodate irregularities in a roadway surface and to transmit torsional motion of the shaft from block to block. A single flexshaft cable is connected to the shaft of an end block to allow a user to selectively cause the shafts of a plurality of adjacently connected barrier blocks to rotate the tire-puncture spikes to the armed position for puncturing a vehicle tire, and to the retracted position for not puncturing the tire. The flexshaft is provided with a resiliently biased retracting mechanism, and a release latch for allowing the spikes to be quickly retracted after the intended vehicle tire is punctured.
Attenuation of epsilon(sub eff) of coplanar waveguide transmission lines on silicon substrates
NASA Technical Reports Server (NTRS)
Taub, Susan R.; Young, Paul G.
1993-01-01
Attenuation and epsilon(sub eff) of Coplanar Waveguide (CPW) transmission lines were measured on Silicon substrates with resistivities ranging from 400 to greater than 30,000 ohm-cm, that have a 1000 angstrom coating of SiO2. Both attenuation and epsilon(sub eff) are given over the frequency range 5 to 40 GHz for various strip and slot widths. These measured values are also compared to the theoretical values.
The minimization of ac phase noise in interferometric systems
NASA Astrophysics Data System (ADS)
Filinski, I.; Gordon, R. A.
1994-03-01
A simple step-by-step procedure, including several novel techniques discussed in the Appendices, is given for minimizing ac phase noise in typical interferometric systems such as two-beam interferometers, holographic setups, four-wave mixers, etc. Special attention is given to index of refraction fluctuations, direct mechanical coupling, and acoustic coupling, whose importance in determining ac phase noise in interferometric systems has not been adequately treated. The minimization procedure must be carried out while continuously monitoring the phase noise which can be done very simply by using a photodiode measurement of the interferometer output. Supplementary measurements using a microphone and accelerometer will also be helpful in identifying the sources of phase noise. Emphasis is placed on new techniques or new modifications of older techniques which will not usually be familiar to most workers in optics. Thus, the necessity of eliminating the effects of index of refraction fluctuations which degrade the performance of all interferometers is pointed out as the first priority. A substantial decrease of the effects of all vibrating, rotating, or flowing masses (e.g., cooling lines) in direct contact with the optical table will also have to be carefully carried out regardless of the type of interferometric system employed. It is recommended that this be followed by a simple, inexpensive change to a novel type of interferometer discussed in Appendix A which is inherently less sensitive to mechanical vibration. Such a change will lead to a reduction of both low-frequency and high-frequency ac phase noise by more than an order of magnitude and can be carried out for all interferometers with the exception of multiple pass optical systems and high-resolution FFT spectrometers. It is pointed out that most homemade air bladder vibration isolators are used incorrectly and do not provide sufficient reduction in the contribution of floor vibrations to phase noise. Several
DOE Office of Scientific and Technical Information (OSTI.GOV)
Antoniadis, H.
Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink highmore » efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.« less
NASA Astrophysics Data System (ADS)
Katayose, Satomi; Hashizume, Yasuaki; Itoh, Mikitaka
2016-08-01
We experimentally demonstrated a 1 × 8 silicon-silica hybrid thermo-optic switch based on an optical phased array using a multi-chip integration technique. The switch consists of a silicon chip with optical phase shifters and two silica-based planar lightwave circuit (PLC) chips composed of optical couplers and fiber connections. We adopted a rib waveguide as the silicon waveguide to reduce the coupling loss and increase the alignment tolerance for coupling between silicon and silica waveguides. As a result, we achieved a fast switching response of 81 µs, a high extinction ratio of over 18 dB and a low insertion loss of 4.9-8.1 dB including a silicon-silica coupling loss of 0.5 ± 0.3 dB at a wavelength of 1.55 µm.
Carlson, David E.
1980-01-01
The dark conductivity and photoconductivity of an N-type and/or undoped hydrogenated amorphous silicon layer fabricated by an AC or DC proximity glow discharge in silane can be increased through the incorporation of argon in an amount from 10 to about 90 percent by volume of the glow discharge atmosphere which contains a silicon-hydrogen containing compound in an amount of from about 90 to about 10 volume percent.
21 CFR 882.5900 - Preformed craniosynostosis strip.
Code of Federal Regulations, 2012 CFR
2012-04-01
... craniosynostosis strip. (a) Identification. A preformed craniosynostosis strip is a plastic strip used to cover bone edges of craniectomy sites (sites where the skull has been cut) to prevent the bone from regrowing...
21 CFR 882.5900 - Preformed craniosynostosis strip.
Code of Federal Regulations, 2014 CFR
2014-04-01
... craniosynostosis strip. (a) Identification. A preformed craniosynostosis strip is a plastic strip used to cover bone edges of craniectomy sites (sites where the skull has been cut) to prevent the bone from regrowing...
21 CFR 882.5900 - Preformed craniosynostosis strip.
Code of Federal Regulations, 2013 CFR
2013-04-01
... craniosynostosis strip. (a) Identification. A preformed craniosynostosis strip is a plastic strip used to cover bone edges of craniectomy sites (sites where the skull has been cut) to prevent the bone from regrowing...
21 CFR 882.5900 - Preformed craniosynostosis strip.
Code of Federal Regulations, 2011 CFR
2011-04-01
... craniosynostosis strip. (a) Identification. A preformed craniosynostosis strip is a plastic strip used to cover bone edges of craniectomy sites (sites where the skull has been cut) to prevent the bone from regrowing...
Intraply Hybrid Composites Would Contain Control Strips
NASA Technical Reports Server (NTRS)
Chamis, Christos C.; Shiao, Chi-Yu
1996-01-01
"Smart" structural components with sensors and/or actuators distributed throughout their volumes made of intraply hybrid composite materials, according to proposal. Strips of hybrid control material interspersed with strips of ordinary (passive) composite material in some layers, providing distributed control capability. For example, near and far edges of plate bent upward by commanding bottom control strips to expand and simultaneously commanding upper control strips to contract.
Occultation Predictions Using CCD Strip-Scanning Astrometry
NASA Technical Reports Server (NTRS)
Dunham, Edward W.; Ford, C. H.; Stone, R. P. S.; McDonald, S. W.; Olkin, C. B.; Elliot, J. L.; Witteborn, Fred C. (Technical Monitor)
1994-01-01
We are developing the method of CCD strip-scanning astrometry for the purpose of deriving reliable advance predictions for occultations involving small objects in the outer solar system. We are using a camera system based on a Ford/Loral 2Kx2K CCD with the Crossley telescope at Lick Observatory for this work. The columns of die CCD are aligned East-West, the telescope drive is stopped, and the CCD is clocked at the same rate that the stars drift across it. In this way we obtain arbitrary length strip images 20 arcmin wide with 0.58" pixels. Since planets move mainly in RA, it is possible to obtain images of the planet and star to be occulted on the same strip well before the occultation occurs. The strip-to-strip precision (i.e. reproducibility) of positions is limited by atmospheric image motion to about 0.1" rms per strip. However, for objects that are nearby in R.A., the image motion is highly correlated and their relative positions are good to 0.02" rms per strip. We will show that the effects of atmospheric image motion on a given strip can be removed if a sufficient number of strips of a given area have been obtained. Thus, it is possible to reach an rms precision of 0.02" per strip, corresponding to about 0.3 of Pluto or Triton's angular radius. The ultimate accuracy of a prediction based on strip-scanning astrometry is currently limited by the accuracy of the positions of the stars in the astrometric network used and by systematic errors most likely due to the optical system. We will show the results of . the prediction of some recent occultations as examples of the current capabilities and limitations of this technique.
Misalignment tolerant efficient inverse taper coupler for silicon waveguide
NASA Astrophysics Data System (ADS)
Wang, Peng; Michael, Aron; Kwok, Chee Yee; Chen, Ssu-Han
2015-12-01
This paper describes an efficient fiber to submicron silicon waveguide coupling based on an inversely tapered silicon waveguide embedded in a SiO2 waveguide that is suspended in air. The inverse taper waveguide consist of a 50um long and 240nm thick silicon that linearly taper in width from 500nm to 120nm, which is embedded in SiO2. The SiO2 waveguide is 6um wide and 10um long. The simulation results show that the coupling loss of this new approach is 2.7dB including the interface loss at the input and output. The tolerance to fiber misalignment at the input of the coupler is 2um in both horizontal and vertical directions for only 1.5dB additional loss.
Application of PMN-32PT Piezoelectric Crystals for Novel Air-coupled Ultrasonic Transducers
NASA Astrophysics Data System (ADS)
Kazys, Rymantas Jonas; Sliteris, Reimondas; Sestoke, Justina
Due to very high piezoelectric properties of PMN-PT crystals they may significantly improve performance of air-coupled ultrasonic transducers. For these purpose vibrations of PMN-PT rectangular plates and strips were investigated. An air-coupled ultrasonic transducer and array consisting of 8 single piezoelectric strips were designed. Operation of the transducer was simulated by the finite element method using ANSYS Mechanical APDL Product Launcher software. Spatial distributions of displacements inside piezoelectric elements and matching strip were obtained. Experimental investigations were carried out by the laser Doppler vibrometer Polytec OFV-5000 and the Bruel&Kjaer microphone 4138 with the measurement amplifier NEXUS WH 3219. It was found that performance of the ultrasonic transducer with PMN-32PT crystals was a few times better than of a PZT based ultrasonic transducer.
Guidelines for the application of removeable rumble strips
DOT National Transportation Integrated Search
2006-08-01
This work was initiated to assess the viability of removable rumble strips as replacements for asphalt rumble strips, particularly in short term highway work zones. The two rumble strips tested were the Orange Rumble Strip from Advanced Traffic Marki...
Incorporation of dopant impurities into a silicon oxynitride matrix containing silicon nanocrystals
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ehrhardt, Fabien; Muller, Dominique; Slaoui, Abdelilah, E-mail: abdelilah.slaoui@unistra.fr
2016-05-07
Dopant impurities, such as gallium (Ga), indium (In), and phosphorus (P), were incorporated into silicon-rich silicon oxynitride (SRSON) thin films by the ion implantation technique. To form silicon nanoparticles, the implanted layers were thermally annealed at temperatures up to 1100 °C for 60 min. This thermal treatment generates a phase separation of the silicon nanoparticles from the SRSON matrix in the presence of the dopant atoms. We report on the position of the dopant species within the host matrix and relative to the silicon nanoparticles, as well as on the effect of the dopants on the crystalline structure and the size ofmore » the Si nanoparticles. The energy-filtered transmission electron microscopy technique is thoroughly used to identify the chemical species. The distribution of the dopant elements within the SRSON compound is determined using Rutherford backscattering spectroscopy. Energy dispersive X-ray mapping coupled with spectral imaging of silicon plasmons was performed to spatially localize at the nanoscale the dopant impurities and the silicon nanoparticles in the SRSON films. Three different behaviors were observed according to the implanted dopant type (Ga, In, or P). The In-doped SRSON layers clearly showed separated nanoparticles based on indium, InOx, or silicon. In contrast, in the P-doped SRSON layers, Si and P are completely miscible. A high concentration of P atoms was found within the Si nanoparticles. Lastly, in Ga-doped SRSON the Ga atoms formed large nanoparticles close to the SRSON surface, while the Si nanoparticles were localized in the bulk of the SRSON layer. In this work, we shed light on the mechanisms responsible for these three different behaviors.« less
NASA Astrophysics Data System (ADS)
Xiao, Lijun; Yu, Guodong; Zou, Jibin; Xu, Yongxiang
2018-05-01
In order to analyze the performance of magnetic device which operate at high temperature and high pressure, such as submersible motor, oil well transformer, the electrical resistivity of non-oriented silicon steel sheets is necessary for precise analysis. But the reports of the examination of the measuring method suitable for high temperature up to 180 °C and high pressure up to 140 MPa are few. In this paper, a measurement system based on four-probe method and Archimedes spiral shape measurement specimens is proposed. The measurement system is suitable for measuring the electrical resistivity of unconventional specimens under high temperature and high pressure and can simultaneously consider the influence of the magnetic field on the electrical resistivity. It can be seen that the electrical resistivity of the non-oriented silicon steel sheets will fluctuate instantaneously when the magnetic field perpendicular to the conductive path of the specimens is loaded or removed. The amplitude and direction of the fluctuation are not constant. Without considering the effects of fluctuations, the electrical resistivity of the non-oriented silicon steel sheets is the same when the magnetic field is loaded or removed. And the influence of temperature on the electrical resistivity of the non-oriented silicon steel sheet is still the greatest even though the temperature and the pressure are coupled together. The measurement results also show that the electrical resistivity varies linearly with temperature, so the temperature coefficient of resistivity is given in the paper.
Fixture for multiple-FCC chemical stripping and plating
NASA Technical Reports Server (NTRS)
Angele, W.; Norton, W. E.
1971-01-01
For chemical stripping, lead tape applied near ends to be stripped protects insulation. Taped ends are submerged half way in stripping solution. For electroplating, both ends of FCC are stripped - top ends for electric contact, others for submersion in electroplating solution.
Mode-converting coupler for silicon-on-sapphire devices
NASA Astrophysics Data System (ADS)
Zlatanovic, S.; Offord, B. W.; Owen, M.; Shimabukuro, R.; Jacobs, E. W.
2015-02-01
Silicon-on-sapphire devices are attractive for the mid-infrared optical applications up to 5 microns due to the low loss of both silicon and sapphire in this wavelength band. Designing efficient couplers for silicon-on-sapphire devices presents a challenge due to a highly confined mode in silicon and large values of refractive index of both silicon and sapphire. Here, we present design, fabrication, and measurements of a mode-converting coupler for silicon-on-sapphire waveguides. We utilize a mode converter layout that consists of a large waveguide that is overlays a silicon inverse tapered waveguide. While this geometry was previously utilized for silicon-on-oxide devices, the novelty is in using materials that are compatible with the silicon-on-sapphire platform. In the current coupler the overlaying waveguide is made of silicon nitride. Silicon nitride is the material of choice because of the large index of refraction and low absorption from near-infrared to mid-infrared. The couplers were fabricated using a 0.25 micron silicon-on-sapphire process. The measured coupling loss from tapered lensed silica fibers to the silicon was 4.8dB/coupler. We will describe some challenges in fabrication process and discuss ways to overcome them.
Monolithic optical link in silicon-on-insulator CMOS technology.
Dutta, Satadal; Agarwal, Vishal; Hueting, Raymond J E; Schmitz, Jurriaan; Annema, Anne-Johan
2017-03-06
This work presents a monolithic laterally-coupled wide-spectrum (350 nm < λ < 1270 nm) optical link in a silicon-on-insulator CMOS technology. The link consists of a silicon (Si) light-emitting diode (LED) as the optical source and a Si photodiode (PD) as the detector; both realized by vertical abrupt n+p junctions, separated by a shallow trench isolation composed of silicon dioxide. Medium trench isolation around the devices along with the buried oxide layer provides galvanic isolation. Optical coupling in both avalanche-mode and forward-mode operation of the LED are analyzed for various designs and bias conditions. From both DC and pulsed transient measurements, it is further shown that heating in the avalanche-mode LED leads to a slow thermal coupling to the PD with time constants in the ms range. An integrated heat sink in the same technology leads to a ∼ 6 times reduction in the change in PD junction temperature per unit electrical power dissipated in the avalanche-mode LED. The analysis paves way for wide-spectrum optical links integrated in smart power technologies.
Davis, James; Vaughan, D Huw; Stirling, David; Nei, Lembit; Compton, Richard G
2002-07-19
The exploitation of the Ni(III)/Ni(II) transition as a means of quantifying the concentration of nickel within industrial samples was assessed. The methodology relies upon the reagentless electrodeposition of Ni onto a glassy carbon electrode and the subsequent oxidative conversion of the metallic layer to Ni(III). The analytical signal is derived from a cathodic stripping protocol in which the reduction of the Ni(III) layer to Ni(II) is monitored through the use of square wave voltammetry. The procedure was refined through the introduction of an ultrasonic source which served to both enhance the deposition of nickel and to remove the nickel hydroxide layer that results from the measurement process. A well-defined stripping peak was observed at +0.7 V (vs. Agmid R:AgCl) with the response found to be linear over the range 50 nM to 1 muM (based on a 30 s deposition time). Other metal ions such as Cu(II), Mn(II), Cr(III), Pb(II), Cd(II), Zn(II), Fe(III) and Co(II) did not interfere with the response when present in hundred fold excess. The viability of the technique was evaluated through the determination of nickel within a commercial copper nickel alloy and validated through an independent comparison with a standard ICP-AES protocol.
NASA Astrophysics Data System (ADS)
Khan, Sajid; Yazdani-Kachoei, M.; Jalali-Asadabadi, S.; Ahmad, Iftikhar
2017-12-01
In this paper, we explore the structural and magnetic properties as well as electric field gradient (EFG), hyperfine field (HFF) and quadrupole coupling constant in actinide digallide AcGa2 (Ac = U, Np, Pu) using LDA, GGA, LDA+U, GGA+U and hybrid functional with Wu-Cohen Generalized Gradient approximation HF-WC. Relativistic effects of the electrons are considered by including spin-orbit coupling. The comparison of the calculated structural parameters and magnetic properties with the available experimental results confirms the consistency and hence effectiveness of our theoretical tools. The calculated magnetic moments demonstrate that UGa2 and NpGa2 are ferromagnetic while PuGa2 is antiferromagnetic in nature. The EFG of AcGa2 is reported for the first time. The HFF, EFG and quadrupole coupling constant in AcGa2 (Ac = U, Np, Pu) are mainly originated from f-f and p-p contributions of Ac atom and p-p contribution of Ga atom.
NASA Astrophysics Data System (ADS)
Unno, Y.; Edwards, S. O.; Pyatt, S.; Thomas, J. P.; Wilson, J. A.; Kierstead, J.; Lynn, D.; Carter, J. R.; Hommels, L. B. A.; Robinson, D.; Bloch, I.; Gregor, I. M.; Tackmann, K.; Betancourt, C.; Jakobs, K.; Kuehn, S.; Mori, R.; Parzefall, U.; Wiik-Fucks, L.; Clark, A.; Ferrere, D.; Gonzalez Sevilla, S.; Ashby, J.; Blue, A.; Bates, R.; Buttar, C.; Doherty, F.; Eklund, L.; McMullen, T.; McEwan, F.; O`Shea, V.; Kamada, S.; Yamamura, K.; Ikegami, Y.; Nakamura, K.; Takubo, Y.; Nishimura, R.; Takashima, R.; Chilingarov, A.; Fox, H.; Affolder, A. A.; Allport, P. P.; Casse, G.; Dervan, P.; Forshaw, D.; Greenall, A.; Wonsak, S.; Wormald, M.; Cindro, V.; Kramberger, G.; Mandic, I.; Mikuz, M.; Gorelov, I.; Hoeferkamp, M.; Palni, P.; Seidel, S.; Taylor, A.; Toms, K.; Wang, R.; Hessey, N. P.; Valencic, N.; Arai, Y.; Hanagaki, K.; Dolezal, Z.; Kodys, P.; Bohm, J.; Mikestikova, M.; Bevan, A.; Beck, G.; Ely, S.; Fadeyev, V.; Galloway, Z.; Grillo, A. A.; Martinez-McKinney, F.; Ngo, J.; Parker, C.; Sadrozinski, H. F.-W.; Schumacher, D.; Seiden, A.; French, R.; Hodgson, P.; Marin-Reyes, H.; Parker, K.; Paganis, S.; Jinnouchi, O.; Motohashi, K.; Todome, K.; Yamaguchi, D.; Hara, K.; Hagihara, M.; Garcia, C.; Jimenez, J.; Lacasta, C.; Marti i Garcia, S.; Soldevila, U.
2014-11-01
We have been developing a novel radiation-tolerant n+-in-p silicon microstrip sensor for very high radiation environments, aiming for application in the high luminosity large hadron collider. The sensors are fabricated in 6 in., p-type, float-zone wafers, where large-area strip sensor designs are laid out together with a number of miniature sensors. Radiation tolerance has been studied with ATLAS07 sensors and with independent structures. The ATLAS07 design was developed into new ATLAS12 designs. The ATLAS12A large-area sensor is made towards an axial strip sensor and the ATLAS12M towards a stereo strip sensor. New features to the ATLAS12 sensors are two dicing lines: standard edge space of 910 μm and slim edge space of 450 μm, a gated punch-through protection structure, and connection of orphan strips in a triangular corner of stereo strips. We report the design of the ATLAS12 layouts and initial measurements of the leakage current after dicing and the resistivity of the wafers.
Design of portable rumble strips.
DOT National Transportation Integrated Search
2006-12-01
"In 2003, the states involved in the Midwest Smart Work Zone Deployment Initiative identified : portable rumble strips (i.e., rumble strips that require no adhesive or fasteners, making them : applicable for very short term work zones) as a high prio...
AC Resonant charger with charge rate unrelated to primary power frequency
Watson, Harold
1982-01-01
An AC resonant charger for a capacitive load, such as a PFN, is provided with a variable repetition rate unrelated to the frequency of a multi-phase AC power source by using a control unit to select and couple the phase of the power source to the resonant charger in order to charge the capacitive load with a phase that is the next to begin a half cycle. For optimum range in repetition rate and increased charging voltage, the resonant charger includes a step-up transformer and full-wave rectifier. The next phase selected may then be of either polarity, but is always selected to be of a polarity opposite the polarity of the last phase selected so that the transformer core does not saturate. Thyristors are used to select and couple the correct phase just after its zero crossover in response to a sharp pulse generated by a zero-crossover detector. The thyristor that is turned on then automatically turns off after a full half cycle of its associated phase input. A full-wave rectifier couples the secondary winding of the transformer to the load so that the load capacitance is always charged with the same polarity.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hall, R.M.; Sheehy, J.W.
1992-12-01
A walk through survey was made of the furniture stripping process at Jet Strip (SIC-7641), Boulder, Colorado to examine the effectiveness of a unique, enclosed, automated furniture stripping spray system which featured a gasket sealed lid which was in place while furniture was being stripped. Stripping was performed continuously throughout the workday. The enclosed spray system tank was 6 feet wide, 10 feet long and 4.5 feet deep. Furniture to be stripped was placed on a metal grid hung from the top of the enclosed spray system. The rack was lowered into the tank and the lip dropped to formmore » the cover of the enclosed system. A hole in the lid was connected to a water bed mattress which lays on top of the lip. During the stripping process, vapors were emitted through the hole, causing the mattress to expand. At the completion of the stripping process the vapors slowly dissipated into the tank, leaving the mattress in its original form. A single personal sample collected during the stripping and rinsing operations showed a methylene-chloride concentration of 68 parts per million (ppm). If the rinse area were also controlled, the enclosed system could keep the concentration below the 25ppm level. The system was homemade and not commercially available. The authors conclude that the system, while apparently effective, was also expensive. It is not recommended for further study at this time.« less
Teixeira, Meryene C; Tavares, Elisângela de F L; Saczk, Adelir A; Okumura, Leonardo L; Cardoso, Maria das Graças; Magriotis, Zuy M; de Oliveira, Marcelo F
2014-07-01
We have developed an eletroanalytical method that employs Cu(2+) solutions to determine arsenic in sugarcane brandy using an electrode consisting of carbon paste modified with carbon nanotubes (CNTPE) and polymeric resins. We used linear sweep (LSV) and differential-pulse (DPV) voltammetry with cathodic stripping for CNTPE containing mineral oil or silicone as binder. The analytical curves were linear from 30 to 110μgL(-1) and from 10 to 110μgL(-1) for LSV and DPV, respectively. The limits of detection (L.O.D.) and quantification (L.O.Q.) of CNTPE were 10.3 and 34.5μgL(-1) for mineral oil and 3.4 and 11.2μgL(-1) for silicone. We applied this method to determine arsenic in five commercial sugarcane brandy samples. The results agreed well with those obtained by hydride generation combined with atomic absorption spectrometry (HG AAS). Copyright © 2014 Elsevier Ltd. All rights reserved.
III–V quantum light source and cavity-QED on Silicon
Luxmoore, I. J.; Toro, R.; Pozo-Zamudio, O. Del; Wasley, N. A.; Chekhovich, E. A.; Sanchez, A. M.; Beanland, R.; Fox, A. M.; Skolnick, M. S.; Liu, H. Y.; Tartakovskii, A. I.
2013-01-01
Non-classical light sources offer a myriad of possibilities in both fundamental science and commercial applications. Single photons are the most robust carriers of quantum information and can be exploited for linear optics quantum information processing. Scale-up requires miniaturisation of the waveguide circuit and multiple single photon sources. Silicon photonics, driven by the incentive of optical interconnects is a highly promising platform for the passive optical components, but integrated light sources are limited by silicon's indirect band-gap. III–V semiconductor quantum-dots, on the other hand, are proven quantum emitters. Here we demonstrate single-photon emission from quantum-dots coupled to photonic crystal nanocavities fabricated from III–V material grown directly on silicon substrates. The high quality of the III–V material and photonic structures is emphasized by observation of the strong-coupling regime. This work opens-up the advantages of silicon photonics to the integration and scale-up of solid-state quantum optical systems. PMID:23393621
III-V quantum light source and cavity-QED on silicon.
Luxmoore, I J; Toro, R; Del Pozo-Zamudio, O; Wasley, N A; Chekhovich, E A; Sanchez, A M; Beanland, R; Fox, A M; Skolnick, M S; Liu, H Y; Tartakovskii, A I
2013-01-01
Non-classical light sources offer a myriad of possibilities in both fundamental science and commercial applications. Single photons are the most robust carriers of quantum information and can be exploited for linear optics quantum information processing. Scale-up requires miniaturisation of the waveguide circuit and multiple single photon sources. Silicon photonics, driven by the incentive of optical interconnects is a highly promising platform for the passive optical components, but integrated light sources are limited by silicon's indirect band-gap. III-V semiconductor quantum-dots, on the other hand, are proven quantum emitters. Here we demonstrate single-photon emission from quantum-dots coupled to photonic crystal nanocavities fabricated from III-V material grown directly on silicon substrates. The high quality of the III-V material and photonic structures is emphasized by observation of the strong-coupling regime. This work opens-up the advantages of silicon photonics to the integration and scale-up of solid-state quantum optical systems.
A hydroxyapatite coating covalently linked onto a silicone implant material.
Furuzono, T; Sonoda, K; Tanaka, J
2001-07-01
A novel composite consisting of hydroxyapatite (HAp) microparticles covalently coupled onto a silicone sheet was developed. Initially, an acrylic acid (AAc) -grafted silicone sheet with a 16.7 microg/cm(2) surface graft density was prepared by corona-discharge treatment. The surface of sintered, spherical, carbonated HAp particles with an average diameter of 2.0 microm was subsequently modified with amino groups. The amino group surface density of the HAp particles was calculated to be approximately one amino molecule per 1.0 nm(2) of particle surface area. These samples were characterized with Fourier transform infrared spectrometry and X-ray photoelectron spectroscopy. After the formation of ammonium ionic bonds between both samples under aqueous conditions, they were reacted at 180 degrees C for 6 h in vacuo to form covalent bonds through a solid-phase condensation. The HAp particles were coupled to the AAc-grafted silicone surface by a covalent linkage. Further improvements in the adhesive and bioactive properties of the HAp-coated silicone material are expected.
Hybrid integrated single-wavelength laser with silicon micro-ring reflector
NASA Astrophysics Data System (ADS)
Ren, Min; Pu, Jing; Krishnamurthy, Vivek; Xu, Zhengji; Lee, Chee-Wei; Li, Dongdong; Gonzaga, Leonard; Toh, Yeow T.; Tjiptoharsono, Febi; Wang, Qian
2018-02-01
A hybrid integrated single-wavelength laser with silicon micro-ring reflector is demonstrated theoretically and experimentally. It consists of a heterogeneously integrated III-V section for optical gain, an adiabatic taper for light coupling, and a silicon micro-ring reflector for both wavelength selection and light reflection. Heterogeneous integration processes for multiple III-V chips bonded to an 8-inch Si wafer have been developed, which is promising for massive production of hybrid lasers on Si. The III-V layer is introduced on top of a 220-nm thick SOI layer through low-temperature wafer-boning technology. The optical coupling efficiency of >85% between III-V and Si waveguide has been achieved. The silicon micro-ring reflector, as the key element of the hybrid laser, is studied, with its maximized reflectivity of 85.6% demonstrated experimentally. The compact single-wavelength laser enables fully monolithic integration on silicon wafer for optical communication and optical sensing application.
Nanostructured silicon for thermoelectric
NASA Astrophysics Data System (ADS)
Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.
2011-06-01
Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.
A.L. Hartong; K.E. Moessner
1956-01-01
The recent Forest Survey of Iowa reported as forest land only those areas larger than 1 acre in size and more than 120 feet wide. In farming states like Iowa, however, such small patches and narrow strips of wooded land take on greater significance than in more heavily forested states. Reasons for this are that small woodlands, especially in the form of narrow strips,...
A new LTPS TFT AC pixel circuit for an AMOLED
NASA Astrophysics Data System (ADS)
Yongwen, Zhang; Wenbin, Chen
2013-01-01
This work presents a new voltage programmed pixel circuit for an active-matrix organic light-emitting diode (AMOLED) display. The proposed pixel circuit consists of six low temperature polycrystalline silicon thin-film transistors (LTPS TFTs), one storage capacitor, and one OLED, and is verified by simulation work using HSPICE software. Besides effectively compensating for the threshold voltage variation of the driving TFT and OLED, the proposed pixel circuit offers an AC driving mode for the OLED, which can suppress the degradation of the OLED. Moreover, a high contrast ratio can be achieved by the proposed pixel circuit since the OLED does not emit any light except for the emission period.
NASA Astrophysics Data System (ADS)
Le Picard, Romain; Song, Sang-Heon; Porter, David; Kushner, Mark; Girshick, Steven
2014-10-01
Silicon nanocrystals (SiNCs) are of interest for applications in the photonics, electronics, and biomedical areas. Nonthermal plasmas offer several potential advantages for synthesizing SiNCs. In this work, we have developed a numerical model of a capacitively coupled RF plasma used for the synthesis of SiNCs. The plasma, consisting of silane diluted in argon at a total pressure of about 2 Torr, flows through a narrow quartz tube with two ring electrodes. The numerical model is 2D, assuming axisymmetry. An aerosol sectional model is added to the Hybrid Plasma Equipment Model developed by Kushner and coworkers. The aerosol module solves for aerosol size distributions and size-dependent charge distributions. A detailed chemical kinetic mechanism considering silicon hydride species containing up to 5 Si atoms is used to model particle nucleation and surface growth. The sectional model calculates coagulation, particle transport by electric force, neutral drag and ion drag, and particle charging using orbital motion limited theory. Simulation results are presented for selected operating conditions, and are compared to experimental results. This work was partially supported by the US Dept. of Energy Office of Fusion Energy Science (DE-SC0001939), the US National Science Foundation (CHE-124752), and the Minnesota Supercomputing Institute.
NASA Astrophysics Data System (ADS)
Singh, Vivek; Yu, Yixuan; Sun, Qi-C.; Korgel, Brian; Nagpal, Prashant
2014-11-01
While silicon nanostructures are extensively used in electronics, the indirect bandgap of silicon poses challenges for optoelectronic applications like photovoltaics and light emitting diodes (LEDs). Here, we show that size-dependent pseudo-direct bandgap transitions in silicon nanocrystals dominate the interactions between (photoexcited) charge carriers and phonons, and hence the optoelectronic properties of silicon nanocrystals. Direct measurements of the electronic density of states (DOS) for different sized silicon nanocrystals reveal that these pseudo-direct transitions, likely arising from the nanocrystal surface, can couple with the quantum-confined silicon states. Moreover, we demonstrate that since these transitions determine the interactions of charge carriers with phonons, they change the light emission, absorption, charge carrier diffusion and phonon drag (Seebeck coefficient) in nanoscaled silicon semiconductors. Therefore, these results can have important implications for the design of optoelectronics and thermoelectric devices based on nanostructured silicon.While silicon nanostructures are extensively used in electronics, the indirect bandgap of silicon poses challenges for optoelectronic applications like photovoltaics and light emitting diodes (LEDs). Here, we show that size-dependent pseudo-direct bandgap transitions in silicon nanocrystals dominate the interactions between (photoexcited) charge carriers and phonons, and hence the optoelectronic properties of silicon nanocrystals. Direct measurements of the electronic density of states (DOS) for different sized silicon nanocrystals reveal that these pseudo-direct transitions, likely arising from the nanocrystal surface, can couple with the quantum-confined silicon states. Moreover, we demonstrate that since these transitions determine the interactions of charge carriers with phonons, they change the light emission, absorption, charge carrier diffusion and phonon drag (Seebeck coefficient) in
Measurement of Quantum Interference in a Silicon Ring Resonator Photon Source.
Steidle, Jeffrey A; Fanto, Michael L; Preble, Stefan F; Tison, Christopher C; Howland, Gregory A; Wang, Zihao; Alsing, Paul M
2017-04-04
Silicon photonic chips have the potential to realize complex integrated quantum information processing circuits, including photon sources, qubit manipulation, and integrated single-photon detectors. Here, we present the key aspects of preparing and testing a silicon photonic quantum chip with an integrated photon source and two-photon interferometer. The most important aspect of an integrated quantum circuit is minimizing loss so that all of the generated photons are detected with the highest possible fidelity. Here, we describe how to perform low-loss edge coupling by using an ultra-high numerical aperture fiber to closely match the mode of the silicon waveguides. By using an optimized fusion splicing recipe, the UHNA fiber is seamlessly interfaced with a standard single-mode fiber. This low-loss coupling allows the measurement of high-fidelity photon production in an integrated silicon ring resonator and the subsequent two-photon interference of the produced photons in a closely integrated Mach-Zehnder interferometer. This paper describes the essential procedures for the preparation and characterization of high-performance and scalable silicon quantum photonic circuits.
Aeroelastic deformation of a perforated strip
NASA Astrophysics Data System (ADS)
Guttag, M.; Karimi, H. H.; Falcón, C.; Reis, P. M.
2018-01-01
We perform a combined experimental and numerical investigation into the static deformation of perforated elastic strips under uniform aerodynamic loading at high-Reynolds-number conditions. The static shape of the porous strips, clamped either horizontally or vertically, is quantified as they are deformed by wind loading, induced by a horizontal flow. The experimental profiles are compared to numerical simulations using a reduced model that takes into account the normal drag force on the deformed surface. For both configurations (vertical and horizontal clamping), we compute the drag coefficient of the strip, by fitting the experimental data to the model, and find that it decreases as a function of porosity. Surprisingly, we find that, for every value of porosity, the drag coefficients for the horizontal configuration are larger than those of the vertical configuration. For all data in both configurations, with the exception of the continuous strip clamped vertically, a linear relation is found between the porosity and drag. Making use of this linearity, we can rescale the drag coefficient in a way that it becomes constant as a function of the Cauchy number, which relates the force due to fluid loading on the elastic strip to its bending rigidity, independently of the material properties and porosity of the strip and the flow speed. Our findings on flexible strips are contrasted to previous work on rigid perforated plates. These results highlight some open questions regarding the usage of reduced models to describe the deformation of flexible structures subjected to aerodynamic loading.
Equivalent circuit modeling of a piezo-patch energy harvester on a thin plate with AC-DC conversion
NASA Astrophysics Data System (ADS)
Bayik, B.; Aghakhani, A.; Basdogan, I.; Erturk, A.
2016-05-01
As an alternative to beam-like structures, piezoelectric patch-based energy harvesters attached to thin plates can be readily integrated to plate-like structures in automotive, marine, and aerospace applications, in order to directly exploit structural vibration modes of the host system without mass loading and volumetric occupancy of cantilever attachments. In this paper, a multi-mode equivalent circuit model of a piezo-patch energy harvester integrated to a thin plate is developed and coupled with a standard AC-DC conversion circuit. Equivalent circuit parameters are obtained in two different ways: (1) from the modal analysis solution of a distributed-parameter analytical model and (2) from the finite-element numerical model of the harvester by accounting for two-way coupling. After the analytical modeling effort, multi-mode equivalent circuit representation of the harvester is obtained via electronic circuit simulation software SPICE. Using the SPICE software, electromechanical response of the piezoelectric energy harvester connected to linear and nonlinear circuit elements are computed. Simulation results are validated for the standard AC-AC and AC-DC configurations. For the AC input-AC output problem, voltage frequency response functions are calculated for various resistive loads, and they show excellent agreement with modal analysis-based analytical closed-form solution and with the finite-element model. For the standard ideal AC input-DC output case, a full-wave rectifier and a smoothing capacitor are added to the harvester circuit for conversion of the AC voltage to a stable DC voltage, which is also validated against an existing solution by treating the single-mode plate dynamics as a single-degree-of-freedom system.
Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography
DOE Office of Scientific and Technical Information (OSTI.GOV)
Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.
2016-05-23
We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less
Characterization of contaminant removal by an optical strip material
NASA Astrophysics Data System (ADS)
Hamilton, James P.; Frigo, S. P.; Caroll, Brenden J.; Assoufidyen, L.; Lewis, Matthew S.; Cook, Russell E.; de Carlo, F.
2001-03-01
Department of Chemistry and Engineering Physics, University of Wisconsin-Platteville, Platteville, WI 53818 Advanced Photon Source, X-Ray Facilities Division, Argonne National Laboratory, Advanced Photon Source, User Program Division, Argonne National Laboratory, *Electron Microscopy Center, Materials Science Division, Argonne National Laboratory, Argonne National Laboratory, 9700 S. Cass Ave., Argonne IL 60439-4856 USA A novel optical strip coating material, Opticlean, has been shown to safely remove fingerprints, particles and contamination from a variety of optical surfaces including coated glass, Si and first surface mirrors. Contaminant removal was monitored by Nomarski, Atomic Force and Scanning Electron Microscopy. Sub-micron features on diffraction gratings and silicon wafers were also cleaned without leaving light scattering particles on the surface. **This work was supported in part by the U.S. Department of Energy, Basic Energy Sciences-Materials Sciences, under contract no. W-31-109-ENG-38. The authors acknowledge the support and facilities provided by the Advanced Photon Source and the Electron Microscopy Center at Argonne National Laboratory.
Soliton motion in a parametrically ac-driven damped Toda lattice
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rasmussen, K.O.; Malomed, B.A.; Bishop, A.R.
We demonstrate that a staggered parametric ac driving term can support stable progressive motion of a soliton in a Toda lattice with friction, while an unstaggered driving force cannot. A physical context of the model is that of a chain of anharmonically coupled particles adsorbed on a solid surface of a finite size. The ac driving force is generated by a standing acoustic wave excited on the surface. Simulations demonstrate that the state left behind the moving soliton, with the particles shifted from their equilibrium positions, gradually relaxes back to the equilibrium state that existed before the passage of themore » soliton. The perturbation theory predicts that the ac-driven soliton exists if the amplitude of the drive exceeds a certain threshold. The analytical prediction for the threshold is in reasonable agreement with that found numerically. Collisions between two counterpropagating solitons is also simulated, demonstrating that the collisions are, effectively, fully elastic. {copyright} {ital 1998} {ital The American Physical Society}« less
System for automatically switching transformer coupled lines
NASA Technical Reports Server (NTRS)
Dwinell, W. S. (Inventor)
1979-01-01
A system is presented for automatically controlling transformer coupled alternating current electric lines. The secondary winding of each transformer is provided with a center tap. A switching circuit is connected to the center taps of a pair of secondary windings and includes a switch controller. An impedance is connected between the center taps of the opposite pair of secondary windings. The switching circuit has continuity when the AC lines are continuous and discontinuity with any disconnect of the AC lines. Normally open switching means are provided in at least one AC line. The switch controller automatically opens the switching means when the AC lines become separated.
Optimal Cluster Mill Pass Scheduling With an Accurate and Rapid New Strip Crown Model
NASA Astrophysics Data System (ADS)
Malik, Arif S.; Grandhi, Ramana V.; Zipf, Mark E.
2007-05-01
and predict the strip crown, a new customized semi-analytical modeling technique that couples the Finite Element Method (FEM) with classical solid mechanics was developed to model the deflection of the rolls and strip while under load. The technique employed offers several important advantages over traditional methods to calculate strip crown, including continuity of elastic foundations, non-iterative solution when using predetermined foundation moduli, continuous third-order displacement fields, simple stress-field determination, and a comparatively faster solution time.
Temperature dependence of the response of ultra fast silicon detectors
NASA Astrophysics Data System (ADS)
Mulargia, R.; Arcidiacono, R.; Bellora, A.; Boscardin, M.; Cartiglia, N.; Cenna, F.; Cirio, R.; Dalla Betta, G. F.; Durando, S.; Fadavi, A.; Ferrero, M.; Galloway, Z.; Gruey, B.; Freeman, P.; Kramberger, G.; Mandic, I.; Monaco, V.; Obertino, M.; Pancheri, L.; Paternoster, G.; Ravera, F.; Sacchi, R.; Sadrozinski, H. F. W.; Seiden, A.; Sola, V.; Spencer, N.; Staiano, A.; Wilder, M.; Woods, N.; Zatserklyaniy, A.
2016-12-01
The Ultra Fast Silicon Detectors (UFSD) are a novel concept of silicon detectors based on the Low Gain Avalanche Diode (LGAD) technology, which are able to obtain time resolution of the order of few tens of picoseconds. First prototypes with different geometries (pads/pixels/strips), thickness (300 and 50 μm) and gain (between 5 and 20) have been recently designed and manufactured by CNM (Centro Nacional de Microelectrónica, Barcelona) and FBK (Fondazione Bruno Kessler, Trento). Several measurements on these devices have been performed in laboratory and in beam test and a dependence of the gain on the temperature has been observed. Some of the first measurements will be shown (leakage current, breakdown voltage, gain and time resolution on the 300 μm from FBK and gain on the 50 μm-thick sensor from CNM) and a comparison with the theoretically predicted trend will be discussed.
Quantifiable Lateral Flow Assay Test Strips
NASA Technical Reports Server (NTRS)
2003-01-01
As easy to read as a home pregnancy test, three Quantifiable Lateral Flow Assay (QLFA) strips used to test water for E. coli show different results. The brightly glowing control line on the far right of each strip indicates that all three tests ran successfully. But the glowing test line on the middle left and bottom strips reveal their samples were contaminated with E. coli bacteria at two different concentrations. The color intensity correlates with concentration of contamination.
Sirdah, M M; Al-Kahlout, M S; Reading, N S
2016-09-01
Congenital genetic disorders affecting neonates or young children can have serious clinical consequences if undiagnosed and left untreated. Early detection and an accurate diagnosis are, therefore, of major importance for preventing negative patient outcomes. Even though the occurrence of each specific metabolic disorder may be rare, their collective impact of preventable complications may be of considerable importance to the public health. Our previous studies showed that glucose-6-phosphate dehydrogenase (G6PD) deficiency is a problem of public health importance that has been shown to be a predominant cause of acute hemolytic anemia requiring hospitalization in Palestinian young children in Gaza Strip. Intriguingly, the majority of these children had one of the three variants, Mediterranean(c.) (563T) , African G6PD A-(c.) (202A) (/c.) (376G) and heretofore unrecognized as a common G6PD-deficient variant G6PD Cairo(c.) (404C) . The high prevalence of G6PD deficiency, as well as dietary factors in the region that precipitate anemia, argues for a need to protect the Palestinian children from a treatable and manageable genetic and metabolic disorder. This work reviews and discusses rationales and challenges of G6PD screening program in Gaza Strip. We advocate adopting a national neonatal G6PD screening program in Gaza Strip to identify children at risk and promote wellness and health for Palestine. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
Antenna with distributed strip and integrated electronic components
Rodenbeck, Christopher T [Albuquerque, NM; Payne, Jason A [Albuquerque, NM; Ottesen, Cory W [Albuquerque, NM
2008-08-05
An antenna comprises electrical conductors arranged to form a radiating element including a folded line configuration and a distributed strip configuration, where the radiating element can be in proximity to a ground conductor and/or arranged as a dipole. Embodiments of the antenna include conductor patterns formed on a printed wiring board, having a ground plane, spacedly adjacent to and coplanar with the radiating element. An antenna can comprise a distributed strip patterned on a printed wiring board, integrated with electronic components mounted on top of or below the distributed strip, and substantially within the extents of the distributed strip. Mounting of electronic components on top of or below the distributed strip has little effect on the performance of the antenna, and allows for realizing the combination of the antenna and integrated components in a compact form. An embodiment of the invention comprises an antenna including a distributed strip, integrated with a battery mounted on the distributed strip.
2006-01-01
1 AC -130 Employment Subject Area Aviation EWS 2006 Author Captain Robert Hornick, USMC Report Documentation Page Form ApprovedOMB No. 0704...00-2006 4. TITLE AND SUBTITLE AC -130 Employment 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER... AC -130 gunship is an aircraft that can provide all of these needs. Regrettably, there are too few AC -130’s in the inventory to cover all the needs
Periodic silicon nanostructures for spectroscopic microsensors
NASA Astrophysics Data System (ADS)
Wehrspohn, Ralf B.; Gesemann, Benjamin; Pergande, Daniel; Geppert, Torsten M.; Schweizer, Stefan L.; Moretton, Susanne; Lambrecht, Armin
2011-09-01
Periodic silicon nanostructures can be used for different kinds of gas sensors depending on the analyte concentration. First we present an optical gas sensor based on the classical non-dispersive infrared technique for ppm-concentration using ultra-compact photonic crystal gas cells. It is conceptually based on low group velocities inside a photonic crystal gas cell and anti-reflection layers coupling light into the device. Experimentally, an enhancement of the CO2 infrared absorption by a factor of 2.6 to 3.5 as compared to an empty cell, due to slow light inside a 2D silicon photonic crystal gas cell, was observed; this is in excellent agreement with numerical simulations. In addition we report on silicon nanotip arrays, suitable for gas ionization in ion mobility microspectrometers (micro-IMS) having detection ranges in principle down to the ppt-range. Such instruments allow the detection of explosives, chemical warfare agents, and illicit drugs, e.g., at airports. We describe the fabrication process of large-scale-ordered nanotips with different tip shapes. Both silicon microstructures have been fabricated by photoelectrochemical etching of silicon.
Damage tolerance of woven graphite-epoxy buffer strip panels
NASA Technical Reports Server (NTRS)
Kennedy, John M.
1990-01-01
Graphite-epoxy panels with S glass buffer strips were tested in tension and shear to measure their residual strengths with crack-like damage. The buffer strips were regularly spaced narrow strips of continuous S glass. Panels were made with a uniweave graphite cloth where the S glass buffer material was woven directly into the cloth. Panels were made with different width and thickness buffer strips. The panels were loaded to failure while remote strain, strain at the end of the slit, and crack opening displacement were monitoring. The notched region and nearby buffer strips were radiographed periodically to reveal crack growth and damage. Except for panels with short slits, the buffer strips arrested the propagating crack. The strength (or failing strain) of the panels was significantly higher than the strength of all-graphite panels with the same length slit. Panels with wide, thick buffer strips were stronger than panels with thin, narrow buffer strips. A shear-lag model predicted the failing strength of tension panels with wide buffer strips accurately, but over-estimated the strength of the shear panels and the tension panels with narrow buffer strips.
George, Sherine; Chaudhery, Vikram; Lu, Meng; Takagi, Miki; Amro, Nabil; Pokhriyal, Anusha; Tan, Yafang; Ferreira, Placid; Cunningham, Brian T.
2013-01-01
Enhancement of the fluorescent output of surface-based fluorescence assays by performing them upon nanostructured photonic crystal (PC) surfaces has been demonstrated to increase signal intensities by >8000×. Using the multiplicative effects of optical resonant coupling to the PC in increasing the electric field intensity experienced by fluorescent labels (“enhanced excitation”) and the spatially biased funneling of fluorophore emissions through coupling to PC resonances (“enhanced extraction”), PC enhanced fluorescence (PCEF) can be adapted to reduce the limits of detection of disease biomarker assays, and to reduce the size and cost of high sensitivity detection instrumentation. In this work, we demonstrate the first silicon-based PCEF detection platform for multiplexed biomarker assay. The sensor in this platform is a silicon-based PC structure, comprised of a SiO2 grating that is overcoated with a thin film of high refractive index TiO2 and is produced in a semiconductor foundry for low cost, uniform, and reproducible manufacturing. The compact detection instrument that completes this platform was designed to efficiently couples fluorescence excitation from a semiconductor laser to the resonant optical modes of the PC, resulting in elevated electric field strength that is highly concentrated within the region <100 nm from the PC surface. This instrument utilizes a cylindrically focused line to scan a microarray in <1 minute. To demonstrate the capabilities of this sensor-detector platform, microspot fluorescent sandwich immunoassays using secondary antibodies labeled with Cy5 for two cancer biomarkers (TNF-α and IL-3) were performed. Biomarkers were detected at concentrations as low as 0.1 pM. In a fluorescent microarray for detection of a breast cancer miRNA biomarker miR-21, the miRNA was detectable at a concentration of 0.6 pM. PMID:23963502
NASA Astrophysics Data System (ADS)
Hadjloum, Massinissa; El Gibari, Mohammed; Li, Hongwu; Daryoush, Afshin S.
2017-06-01
A large performance improvement of polymer phase modulators is reported by using buried in-plane coupled microstrip (CMS) driving electrodes, instead of standard vertical Micro-Strip electrodes. The in-plane CMS driving electrodes have both low radio frequency (RF) losses and high overlap integral between optical and RF waves compared to the vertical designs. Since the optical waveguide and CMS electrodes are located in the same plane, optical injection and microwave driving access cannot be separated perpendicularly without intersection between them. A via-less transition between grounded coplanar waveguide access and CMS driving electrodes is introduced in order to provide broadband excitation of optical phase modulators and avoid the intersection of the optical core and the electrical probe. Simulation and measurement results of the benzocyclobutene polymer as a cladding material and the PMMI-CPO1 polymer as an optical core with an electro-optic coefficient of 70 pm/V demonstrate a broadband operation of 67 GHz using travelling-wave driving electrodes with a half-wave voltage of 4.5 V, while satisfying its low RF losses and high overlap integral between optical and RF waves of in-plane CMS electrodes.
Veerbeek, Janneke; Firet, Nienke J; Vijselaar, Wouter; Elbersen, Rick; Gardeniers, Han; Huskens, Jurriaan
2017-01-11
Silicon-based solar fuel devices require passivation for optimal performance yet at the same time need functionalization with (photo)catalysts for efficient solar fuel production. Here, we use molecular monolayers to enable electrical passivation and simultaneous functionalization of silicon-based solar cells. Organic monolayers were coupled to silicon surfaces by hydrosilylation in order to avoid an insulating silicon oxide layer at the surface. Monolayers of 1-tetradecyne were shown to passivate silicon micropillar-based solar cells with radial junctions, by which the efficiency increased from 8.7% to 9.9% for n + /p junctions and from 7.8% to 8.8% for p + /n junctions. This electrical passivation of the surface, most likely by removal of dangling bonds, is reflected in a higher shunt resistance in the J-V measurements. Monolayers of 1,8-nonadiyne were still reactive for click chemistry with a model catalyst, thus enabling simultaneous passivation and future catalyst coupling.
An assessment of buffer strips for improving damage tolerance
NASA Technical Reports Server (NTRS)
Poe, C. C., Jr.; Kennedy, J. M.
1981-01-01
Graphite/epoxy panels with buffer strips were tested in tension to measure their residual strength with crack-like damage. Panels were made with 45/0/-45/90(2S) and 45/0/450(2S) layups. The buffer strips were parallel to the loading directions. They were made by replacing narrow strips of the 0 deg graphite plies with strips of either 0 deg S-Glass/epoxy or Kevlar-49/epoxy on either a one for one or a two for one basis. In a third case, O deg graphite/epoxy was used as the buffer material and thin, perforated Mylar strips were placed between the 0 deg piles and the cross-plies to weaken the interfaces and thus to isolate the 0 deg plies. Some panels were made with buffer strips of different widths and spacings. The buffer strips arrested the cracks and increased the residual strengths significantly over those plain laminates without buffer strips. A shear-lag type stress analysis correctly predicted the effects of layups, buffer material, buffer strip width and spacing, and the number of plies of buffer material.
NASA Astrophysics Data System (ADS)
Tsubaki, Kenji; Komoda, Takuya; Koshida, Nobuyoshi
2006-04-01
It is shown that the dc-superimposed driving mode is more useful for the efficient operation of a novel thermally induced ultrasonic emitter based on nanocrystalline porous silicon (nc-PS) than the conventional simple ac-voltage driving mode. The nc-PS device is composed of a patterned heater electrode, an nc-PS layer and a single crystalline silicon (c-Si) substrate. The almost complete thermally insulating property of nc-PS as a quantum-sized system makes it possible to apply the nc-PS device as an ultrasonic generator by efficient thermo acoustic conversion without any mechanical vibrations. In the dc-superimposed driving mode, the output frequency is the same as the input frequency and a stationary temperature rise is kept constant independent of input peak-to-peak voltage. In addition, power efficiency is significantly increases compared with that in the ac-voltage driving mode without affecting on the temperature rise. The present results suggest the further possibility of the nc-PS device being used as a functional speaker.
Ac resonant charger with charge rate unrelated to preimary power requency
Not Available
1979-12-07
An ac resonant charger for a capacitive load, such as a pulse forming network (PFN), is provided with a variable repetition rate unrelated to the frequency of a multi-phase ac power source by using a control unit to select and couple the phase of the power source to the resonant charger in order to charge the capacitive load with a phase that is the next to begin a half cycle. For optimum range in repetition rate and increased charging voltage, the resonant charger includes a step-up transformer and full-wave rectifier. The next phase selected may then be of either polarity, but is always selected to be of a polarity opposite the polarity of the last phase selected so that the transformer core does not saturate. Thyristors are used to select and couple the correct phase just after its zero crossover in response to a sharp pulse generated by a zero-crossover detector. The thyristor that is turned on then automatically turns off after a full half cycle of its associated phase input. A full-wave rectifier couples the secondary winding of the transformer to the load so that the load capacitance is always charged with the same polarity.
Guan, Xiaowei; Wu, Hao; Shi, Yaocheng; Dai, Daoxin
2014-01-15
A novel polarization beam splitter (PBS) with an extremely small footprint is proposed based on a multimode interference (MMI) coupler with a silicon hybrid plasmonic waveguide. The MMI section, covered with a metal strip partially, is designed to achieve mirror imaging for TE polarization. On the other hand, for TM polarization, there is almost no MMI effect since the higher-order TM modes are hardly excited due to the hybrid plasmonic effect. With this design, the whole PBS including the 1.1 μm long MMI section as well as the output section has a footprint as small as ∼1.8 μm×2.5 μm. Besides, the fabrication process is simple since the waveguide dimension is relatively large (e.g., the input/output waveguides widths w ≥300 nm and the MMI width w(MMI)=800 nm). Numerical simulations show that the designed PBS has a broad band of ∼80 nm for an ER >10 dB as well as a large fabrication tolerance to allow a silicon core width variation of -30 nm<Δw<50 nm and a metal strip width variation of -200 nm<Δw(m)<0.
Singh, D R; Shrestha, S K; Shretha, S K
2004-01-01
Varicose veins afflict some 10 to 20% of the population in the west. It is believed to be less prevalent in the developing nations. Though there are absolute indications of surgery for varicose veins, people in Nepal come for treatment of the varicose veins either to join the army or to work abroad. Not all hospitals in Nepal are equipped with a vein stripper. In KMCTH, we studied 29 patients who had their long Saphenous veins stripped either with a stripper or by tunnelling around the Saphenous vein. We found that the long Saphenous vein could be stripped via the tunnelling method with an additional incision above the knee joint which is cosmetically accepted by our patients Moreover the patients were much satisfied because of minimal or no bruises in the post operative period as compared to those who had the long Saphenous vein stripped with a stripper.
Nanoparticle sorting in silicon waveguide arrays
NASA Astrophysics Data System (ADS)
Zhao, H. T.; Zhang, Y.; Chin, L. K.; Yap, P. H.; Wang, K.; Ser, W.; Liu, A. Q.
2017-08-01
This paper presents the optical fractionation of nanoparticles in silicon waveguide arrays. The optical lattice is generated by evanescent coupling in silicon waveguide arrays. The hotspot size is tunable by changing the refractive index of surrounding liquids. In the experiment, 0.2-μm and 0.5-μm particles are separated with a recovery rate of 95.76%. This near-field approach is a promising candidate for manipulating nanoscale biomolecules and is anticipated to benefit the biomedical applications such as exosome purification, DNA optical mapping, cell-cell interaction, etc.
Darkwah, Kwabena; Nokes, Sue E; Seay, Jeffrey R; Knutson, Barbara L
2018-05-22
Process simulations of batch fermentations with in situ product separation traditionally decouple these interdependent steps by simulating a separate "steady state" continuous fermentation and separation units. In this study, an integrated batch fermentation and separation process was simulated for a model system of acetone-butanol-ethanol (ABE) fermentation with in situ gas stripping, such that the fermentation kinetics are linked in real-time to the gas stripping process. A time-dependent cell growth, substrate utilization, and product production is translated to an Aspen Plus batch reactor. This approach capitalizes on the phase equilibria calculations of Aspen Plus to predict the effect of stripping on the ABE fermentation kinetics. The product profiles of the integrated fermentation and separation are shown to be sensitive to gas flow rate, unlike separate steady state fermentation and separation simulations. This study demonstrates the importance of coupled fermentation and separation simulation approaches for the systematic analyses of unsteady state processes.
NASA Astrophysics Data System (ADS)
Gui, Chen; Wang, Kan; Li, Chao; Dai, Xuan; Cui, Daxiang
2014-02-01
Immunochromatographic assays are widely used to detect many analytes. CagA is proved to be associated closely with initiation of gastric carcinoma. Here, we reported that a charge-coupled device (CCD)-based test strip reader combined with CdS quantum dot-labeled lateral flow strips for quantitative detection of CagA was developed, which used 365-nm ultraviolet LED as the excitation light source, and captured the test strip images through an acquisition module. Then, the captured image was transferred to the computer and was processed by a software system. A revised weighted threshold histogram equalization (WTHE) image processing algorithm was applied to analyze the result. CdS quantum dot-labeled lateral flow strips for detection of CagA were prepared. One hundred sera samples from clinical patients with gastric cancer and healthy people were prepared for detection, which demonstrated that the device could realize rapid, stable, and point-of-care detection, with a sensitivity of 20 pg/mL.
A Theoretical Model for Thin Film Ferroelectric Coupled Microstripline Phase Shifters
NASA Technical Reports Server (NTRS)
Romanofsky, R. R.; Quereshi, A. H.
2000-01-01
Novel microwave phase shifters consisting of coupled microstriplines on thin ferroelectric films have been demonstrated recently. A theoretical model useful for predicting the propagation characteristics (insertion phase shift, dielectric loss, impedance, and bandwidth) is presented here. The model is based on a variational solution for line capacitance and coupled strip transmission line theory.
Analysis of a hybrid, unidirectional buffer strip laminate
NASA Technical Reports Server (NTRS)
Dharani, L. R.; Goree, J. G.
1983-01-01
A method of analysis capable of predicting accurately the fracture behavior of a unidirectional composite laminate containing symmetrically placed buffer strips is presented. As an example, for a damaged graphite/epoxy laminate, the results demonstrate the manner in which to select the most efficient combination of buffer strip properties necessary to inhibit crack growth. Ultimate failure of the laminate after crack arrest can occur under increasing load either by continued crack extension through the buffer strips or the crack can jump the buffer strips. For some typical hybrid materials it is found that a buffer strip spacing-to-width ratio of about four to one is the most efficient.
Analysis of a hybrid-undirectional buffer strip laminate
NASA Technical Reports Server (NTRS)
Dharani, L. R.; Goree, J. G.
1983-01-01
A method of analysis capable of predicting accurately the fracture behavior of a unidirectional composite laminate containing symmetrically placed buffer strips is presented. As an example, for a damaged graphite/epoxy laminate, the results demonstrate the manner in which to select the most efficient combination of buffer strip properties necessary to inhibit crack growth. Ultimate failure of the laminate after the arrest can occur under increasing load either by continued crack extension through the buffer strips or the crack can jump the buffer strips. For some typical hybrid materials it is found that a buffer strip spacing to width ratio of about four to one is the most efficient.
Safety evaluation of centerline rumble strips
DOT National Transportation Integrated Search
2003-11-10
A study of centerline rumble strips was undertaken as part of the Massachusetts Highway Department Research Program. The objective of this research was to evaluate the effectiveness of centerline rumble strips in reducing crossover crashes and improv...
Charge-coupled device for low background observations
NASA Technical Reports Server (NTRS)
Loh, Edwin D. (Inventor); Cheng, Edward S. (Inventor)
2002-01-01
A charge-coupled device with a low-emissivity metal layer located between a sensing layer and a substrate provides reduction in ghost images. In a typical charge-coupled device of a silicon sensing layer, a silicon dioxide insulating layer, with a glass substrate and a metal carrier layer, a near-infrared photon, not absorbed in the first pass, enters the glass substrate, reflects from the metal carrier, thereby returning far from the original pixel in its entry path. The placement of a low-emissivity metal layer between the glass substrate and the sensing layer reflects near infrared photons before they reach the substrate so that they may be absorbed in the silicon nearer the pixel of their points of entry so that the reflected ghost image is coincident with the primary image for a sharper, brighter image.
Synthesis of Shoulder Rumble Strip Practices and Policies.
DOT National Transportation Integrated Search
2001-12-07
This synthesis provides a review of shoulder rumble strip research and the rumble strips' crash reduction record. A discussion of shoulder rumble strips as perceived by the motorist and the bicyclist is followed by the presentation of results of thre...
Electric-Field Instrument With Ac-Biased Corona Point
NASA Technical Reports Server (NTRS)
Markson, R.; Anderson, B.; Govaert, J.
1993-01-01
Measurements indicative of incipient lightning yield additional information. New instrument gives reliable readings. High-voltage ac bias applied to needle point through high-resistance capacitance network provides corona discharge at all times, enabling more-slowly-varying component of electrostatic potential of needle to come to equilibrium with surrounding air. High resistance of high-voltage coupling makes instrument insensitive to wind. Improved corona-point instrument expected to yield additional information assisting in safety-oriented forecasting of lighting.
NASA Astrophysics Data System (ADS)
Arakcheev, A.; Aulchenko, V.; Kudashkin, D.; Shekhtman, L.; Tolochko, B.; Zhulanov, V.
2017-06-01
Time-resolved experiments on the diffraction of synchrotron radiation (SR) from crystalline materials provide information on the evolution of a material structure after a heat, electron beam or plasma interaction with a sample under study. Changes in the material structure happen within a microsecond scale and a detector with corresponding parameters is needed. The SR channel 8 of the VEPP-4M storage ring provides radiation from the 7-pole wiggler that allows to reach several tens photons within one μs from a tungsten crystal for the most intensive diffraction peak. In order to perform experiments that allow to measure the evolution of tungsten crystalline structure under the impact of powerful laser beam, a new detector is developed, that can provide information about the distribution of a scattered SR flux in space and its evolution in time at a microsecond scale. The detector is based on the silicon p-in-n microstrip sensor with DC-coupled metal strips. The sensor contains 1024 30 mm long strips with a 50 μm pitch. 64 strips are bonded to the front-end electronics based on APC128 ASICs. The APC128 ASIC contains 128 channels that consist of a low noise integrator with 32 analogue memory cells each. The integrator equivalent noise charge is about 2000 electrons and thus the signal from individual photons with energy above 40 keV can be observed. The signal can be stored at the analogue memory with 10 MHz rate. The first measurements with the beam scattered from a tungsten crystal with energy near 60 keV demonstrated the capability of this prototype to observe the spatial distribution of the photon flux with the intensity from below one photon per channel up to 0~10 photons per channel with a frame rate from 10 kHz up to 1 MHz.
Infrared bolometers with silicon nitride micromesh absorbers
NASA Technical Reports Server (NTRS)
Bock, J. J.; Turner, A. D.; DelCastillo, H. M.; Beeman, J. W.; Lange, A. E.; Mauskopf, P. D.
1996-01-01
Sensitive far infrared and millimeter wave bolometers fabricated from a freestanding membrane of low stress silicon nitride are reported. The absorber, consisting of a metallized silicon nitride micromesh thermally isolated by radial legs of silicon nitride, is placed in an integrating cavity to efficiently couple to single mode or multiple mode infrared radiation. This structure provides low heat capacity, low thermal conduction and minimal cross section to energetic particles. A neutron transmutation doped Ge thermister is bump bonded to the center of the device and read out with evaporated Cr-Au leads. The limiting performance of the micromesh absorber is discussed and the recent results obtained from a 300 mK cold stage are summarized.
CATALYTIC OXIDATION OF GROUNDWATER STRIPPING EMISSIONS
The paper reviews the applicability of catalytic oxidation to control ground-water air stripping gaseous effluents, with special attention to system designs and case histories. The variety of contaminants and catalyst poisons encountered in stripping operations are also reviewed....
AC Loss Analysis of MgB2-Based Fully Superconducting Machines
NASA Astrophysics Data System (ADS)
Feddersen, M.; Haran, K. S.; Berg, F.
2017-12-01
Superconducting electric machines have shown potential for significant increase in power density, making them attractive for size and weight sensitive applications such as offshore wind generation, marine propulsion, and hybrid-electric aircraft propulsion. Superconductors exhibit no loss under dc conditions, though ac current and field produce considerable losses due to hysteresis, eddy currents, and coupling mechanisms. For this reason, many present machines are designed to be partially superconducting, meaning that the dc field components are superconducting while the ac armature coils are conventional conductors. Fully superconducting designs can provide increases in power density with significantly higher armature current; however, a good estimate of ac losses is required to determine the feasibility under the machines intended operating conditions. This paper aims to characterize the expected losses in a fully superconducting machine targeted towards aircraft, based on an actively-shielded, partially superconducting machine from prior work. Various factors are examined such as magnet strength, operating frequency, and machine load to produce a model for the loss in the superconducting components of the machine. This model is then used to optimize the design of the machine for minimal ac loss while maximizing power density. Important observations from the study are discussed.
Back contact to film silicon on metal for photovoltaic cells
Branz, Howard M.; Teplin, Charles; Stradins, Pauls
2013-06-18
A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.
Transfusion and blood donation in comic strips.
Lefrère, Jean-Jacques; Danic, Bruno
2013-07-01
The representation of blood transfusion and donation of blood in the comic strip has never been studied. The comic strip, which is a relatively recent art, emerged in the 19th century before becoming a mass medium during the 20th century. We have sought, by calling on collectors and using the resources of Internet, comic strips devoted, wholly or in part, to the themes of transfusion and blood donation. We present some of them here in chronologic order, indicating the title, country of origin, year of publication, and names of authors. The theme of the superhero using transfusion to transmit his virtues or his powers is repeated throughout the 20th century in North American comic strips. More recently, comic strips have been conceived from the outset with a promotional aim. They perpetuate positive images and are directed toward a young readership, wielding humor to reduce the fear of venipuncture. Few comic strips denounce the abuse of the commercialization of products derived from the human body. The image of transfusion and blood donation given by the comic strips is not to be underestimated because their readership is primarily children, some of whom will become blood donors. Furthermore, if some readers are transfused during their lives, the impact of a memory more or less conscious of these childhood readings may resurface, both in hopes and in fears. Copyright © 2013 Elsevier Inc. All rights reserved.
The Silicon Tracking System of the CBM experiment at FAIR
NASA Astrophysics Data System (ADS)
Teklishyn, Maksym
2018-03-01
The Silicon Tracking System (STS) is the central detector in the Compressed Baryonic Matter (CBM) experiment at FAIR. Operating in the 1Tm dipole magnetic field, the STS will enable pile-up free detection and momentum measurement of the charged particles originating from beam-target nuclear interactions at rates up to 10 MHz. The STS consists of 8 tracking stations based on double-sided silicon micro-strip sensors equipped with fast, self-triggering read-out electronics. With about two million read-out channels, the STS will deliver a high-rate stream of time-stamped data that is transferred to a computing farm for on-line event determination and analysis. The functional building block is a detector module consisting of a sensor, micro-cables and two front-end electronics boards. In this contribution, the development status of the STS components and the system integration is discussed and an outlook on the detector construction is given.
Linear Hyperfine Tuning of Donor Spins in Silicon Using Hydrostatic Strain
NASA Astrophysics Data System (ADS)
Mansir, J.; Conti, P.; Zeng, Z.; Pla, J. J.; Bertet, P.; Swift, M. W.; Van de Walle, C. G.; Thewalt, M. L. W.; Sklenard, B.; Niquet, Y. M.; Morton, J. J. L.
2018-04-01
We experimentally study the coupling of group V donor spins in silicon to mechanical strain, and measure strain-induced frequency shifts that are linear in strain, in contrast to the quadratic dependence predicted by the valley repopulation model (VRM), and therefore orders of magnitude greater than that predicted by the VRM for small strains |ɛ |<10-5. Through both tight-binding and first principles calculations we find that these shifts arise from a linear tuning of the donor hyperfine interaction term by the hydrostatic component of strain and achieve semiquantitative agreement with the experimental values. Our results provide a framework for making quantitative predictions of donor spins in silicon nanostructures, such as those being used to develop silicon-based quantum processors and memories. The strong spin-strain coupling we measure (up to 150 GHz per strain, for Bi donors in Si) offers a method for donor spin tuning—shifting Bi donor electron spins by over a linewidth with a hydrostatic strain of order 10-6—as well as opportunities for coupling to mechanical resonators.
NASA Astrophysics Data System (ADS)
Tykhonov, A.; Ambrosi, G.; Asfandiyarov, R.; Azzarello, P.; Bernardini, P.; Bertucci, B.; Bolognini, A.; Cadoux, F.; D'Amone, A.; De Benedittis, A.; De Mitri, I.; Di Santo, M.; Dong, Y. F.; Duranti, M.; D'Urso, D.; Fan, R. R.; Fusco, P.; Gallo, V.; Gao, M.; Gargano, F.; Garrappa, S.; Gong, K.; Ionica, M.; La Marra, D.; Lei, S. J.; Li, X.; Loparco, F.; Marsella, G.; Mazziotta, M. N.; Peng, W. X.; Qiao, R.; Salinas, M. M.; Surdo, A.; Vagelli, V.; Vitillo, S.; Wang, H. Y.; Wang, J. Z.; Wang, Z. M.; Wu, D.; Wu, X.; Zhang, F.; Zhang, J. Y.; Zhao, H.; Zimmer, S.
2018-06-01
The DArk Matter Particle Explorer (DAMPE) is a space-borne particle detector designed to probe electrons and gamma-rays in the few GeV to 10 TeV energy range, as well as cosmic-ray proton and nuclei components between 10 GeV and 100 TeV. The silicon-tungsten tracker-converter is a crucial component of DAMPE. It allows the direction of incoming photons converting into electron-positron pairs to be estimated, and the trajectory and charge (Z) of cosmic-ray particles to be identified. It consists of 768 silicon micro-strip sensors assembled in 6 double layers with a total active area of 6.6 m2. Silicon planes are interleaved with three layers of tungsten plates, resulting in about one radiation length of material in the tracker. Internal alignment parameters of the tracker have been determined on orbit, with non-showering protons and helium nuclei. We describe the alignment procedure and present the position resolution and alignment stability measurements.
Antenna-Coupled Bolometer Arrays for Astrophysics
NASA Astrophysics Data System (ADS)
Bock, James
Bolometers offer the best sensitivity in the far-infrared to millimeter-wave region of the electromagnetic spectrum. We are developing arrays of feedhorn-coupled bolometers for the ESA/NASA Planck Surveyor and Herschel Space Observatory. Advances in the format and sensitivity of bolometric focal plane array enables future astrophysics mission opportunities, such as CMB polarimetry and far-infrared/submillimeter spectral line surveys. Compared to bolometers with extended area radiation absorbers, antenna-coupled bolometers offer active volumes that are orders of magnitude smaller. Coupled to lithographed micro-strip filters and antennas, antenna-coupled bolometer arrays allow flexible focal plane architectures specialized for imaging, polarimetry, and spectroscopy. These architectures greatly reduce the mass of sub-Kelvin bolometer focal planes that drive the design of bolometric instrumentation.
Metal-assisted chemical etch porous silicon formation method
Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.
2004-09-14
A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.
ERTS-1 data applied to strip mining
NASA Technical Reports Server (NTRS)
Anderson, A. T.; Schubert, J.
1976-01-01
Two coal basins within the western region of the Potomac River Basin contain the largest strip-mining operations in western Maryland and West Virginia. The disturbed strip-mine areas were delineated along with the surrounding geological and vegetation features by using ERTS-1 data in both analog and digital form. The two digital systems employed were (1) the ERTS analysis system, a point-by-point digital analysis of spectral signatures based on known spectral values and (2) the LARS automatic data processing system. These two systems aided in efforts to determine the extent and state of strip mining in this region. Aircraft data, ground-verification information, and geological field studies also aided in the application of ERTS-1 imagery to perform an integrated analysis that assessed the adverse effects of strip mining. The results indicated that ERTS can both monitor and map the extent of strip mining to determine immediately the acreage affected and to indicate where future reclamation and revegetation may be necessary.
Method of stripping metals from organic solvents
Todd, Terry A [Aberdeen, ID; Law, Jack D [Pocatello, ID; Herbst, R Scott [Idaho Falls, ID; Romanovskiy, Valeriy N [St. Petersburg, RU; Smirnov, Igor V [St.-Petersburg, RU; Babain, Vasily A [St-Petersburg, RU; Esimantovski, Vyatcheslav M [St-Petersburg, RU
2009-02-24
A new method to strip metals from organic solvents in a manner that allows for the recycle of the stripping agent. The method utilizes carbonate solutions of organic amines with complexants, in low concentrations, to strip metals from organic solvents. The method allows for the distillation and reuse of organic amines. The concentrated metal/complexant fraction from distillation is more amenable to immobilization than solutions resulting from current practice.
Electroporation of cells using EM induction of ac fields by a magnetic stimulator
NASA Astrophysics Data System (ADS)
Chen, C.; Evans, J. A.; Robinson, M. P.; Smye, S. W.; O'Toole, P.
2010-02-01
This paper describes a method of effectively electroporating mammalian cell membranes with pulsed alternating-current (ac) electric fields at field strengths of 30-160 kV m-1. Although many in vivo electroporation protocols entail applying square wave or monotonically decreasing pulses via needles or electrode plates, relatively few have explored the use of pulsed ac fields. Following our previous study, which established the effectiveness of ac fields for electroporating cell membranes, a primary/secondary coil system was constructed to produce sufficiently strong electric fields by electromagnetic induction. The primary coil was formed from the applicator of an established transcranial magnetic stimulation (TMS) system, while the secondary coil was a purpose-built device of a design which could eventually be implanted into tissue. The effects of field strength, pulse interval and cumulative exposure time were investigated using microscopy and flow cytometry. Results from experiments on concentrated cell suspensions showed an optimized electroporation efficiency of around 50%, demonstrating that electroporation can be practicably achieved by inducing such pulsed ac fields. This finding confirms the possibility of a wide range of in vivo applications based on magnetically coupled ac electroporation.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dyakov, S. A.; Zhigunov, D. M.; Marinins, A.
Here, we report on the results of theoretical and experimental studies of photoluminescense of silicon nanocrystals in the proximity to plasmonic modes of different types. In the studied samples, the type of plasmonic mode is determined by the filling ratio of a one-dimensional array of gold stripes which covers the thin film with silicon nanocrystals on a quartz substrate. We analyze the extinction, photoluminesce spectra and decay kinetics of silicon nanocrystals and show that the incident and emitted light is coupled to the corresponding plasmonic mode. We demonstrate the modification of the extinction and photoluminesce spectra under the transition frommore » wide to narrow gold stripes. The experimental extinction and photoluminescense spectra are in good agreement with theoretical calculations performed by the rigorous coupled wave analysis. Finally, we study the contribution of individual silicon nanocrystals to the overall photoluminescense intensity, depending on their spacial position inside the structure.« less
Dyakov, S. A.; Zhigunov, D. M.; Marinins, A.; ...
2018-03-20
Here, we report on the results of theoretical and experimental studies of photoluminescense of silicon nanocrystals in the proximity to plasmonic modes of different types. In the studied samples, the type of plasmonic mode is determined by the filling ratio of a one-dimensional array of gold stripes which covers the thin film with silicon nanocrystals on a quartz substrate. We analyze the extinction, photoluminesce spectra and decay kinetics of silicon nanocrystals and show that the incident and emitted light is coupled to the corresponding plasmonic mode. We demonstrate the modification of the extinction and photoluminesce spectra under the transition frommore » wide to narrow gold stripes. The experimental extinction and photoluminescense spectra are in good agreement with theoretical calculations performed by the rigorous coupled wave analysis. Finally, we study the contribution of individual silicon nanocrystals to the overall photoluminescense intensity, depending on their spacial position inside the structure.« less
Large On-Chip Amplification in Silicon via Forward Stimulated Brillouin Scattering
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kittlaus, Eric; Shin, Heedeuk; Rakich, Peter
2015-10-15
Strong Brillouin coupling has only recently been realized in silicon using a new class of op- tomechanical waveguides that yield both optical and phononic con nement. Despite these major advances, appreciable Brillouin ampli cation has yet to be observed in silicon. Using new membrane- suspended silicon waveguide we report large Brillouin ampli cation for the rst time, reaching levels greater than 5 dB for modest pump powers, and demonstrate a record low (5 mW) threshold for net ampli cation. This work represents a crucial advance necessary to realize high-performance Brillouin lasers and ampli ers in silicon.
Mori, Tadashi; Tanaka, Takayuki; Higashino, Tomohiro; Yoshida, Kota; Osuka, Atsuhiro
2016-06-23
Intrinsically chiral Möbius aromatic [28]hexaphyrin monophosphorus(V) and Möbius antiaromatic [30]hexaphyrin bisphosphorus(V) complexes have been optically resolved and their absolute configurations (ACs) were determined by combined experimental and theoretical investigations on their circular dichroisms (CDs). First elutes in chiral HPLC exhibited strong positive Cotton effects (CEs) at the B-band, characteristic for the ML configurations in their Möbius strips. Weak CEs at the Q-band, if attainable, complemented their AC assignment. The whole CD pattern and intensity were well reproduced by time-dependent approximate coupled cluster theory using model systems that omit five outward meso-aryl substituents (inward-meso-retained model), providing a solid basis for AC assignment. The cost efficient TD-DFT method with appropriate functionals for fully substituted (nontruncated) complexes well reproduced CEs around the B-band (but less satisfactory at the Q-band), also allows the rapid AC estimation for their Möbius strips. Observed difference in CDs between aromatic and antiaromatic hexaphyrins were better interpreted by their shifts in energy levels and altered interactions of relevant molecular orbitals, rather than small differences in Möbius geometries nor aromatic/antiaromatic character, despite the correlations recently claimed in planar π-systems.
Strip clearcutting to regenerate northern hardwoods.
Frederick T. Metzger
1980-01-01
Describes results of strip clearcutting trials in mature northern hardwood and hemlock-hardwood stands in the Lake States. Two strip width and orientations were tested, with and without herbicide treatment of the advance regeneration. Establishment, growth, and species composition of the regeneration were assessed.
Towards nanometer-spaced silicon contacts to proteins.
Schukfeh, Muhammed I; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc
2016-03-18
A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p(+) silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices' electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes' edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions' conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein's denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.
NASA Astrophysics Data System (ADS)
Hoang, Thu Trang; Ngo, Quang Minh; Vu, Dinh Lam; Le, Khai Q.; Nguyen, Truong Khang; Nguyen, Hieu P. T.
2018-01-01
Shrinking the linewidth of resonances induced by multiple coupled resonators is comprehensively analyzed using the coupled-mode theory (CMT) in time. Two types of coupled resonators under investigation are coupled resonator optical waveguides (CROWs) and side-coupled resonators with waveguide (SCREW). We examine the main parameters influencing on the spectral response such as the number of resonators (n) and the phase shift (φ) between two adjacent resonators. For the CROWs geometry consisting of n coupled resonators, we observe the quality (Q) factor of the right- and left-most resonant lineshapes increases n times larger than that of a single resonator. For the SCREW geometry, relying on the phase shift, sharp, and asymmetric resonant lineshape of the high Q factor a narrow linewidth of the spectral response could be achieved. We employ the finite-difference time-domain (FDTD) method to design and simulate two proposed resonators for practical applications. The proposed coupled resonators in silicon-on-insulator (SOI) slotted two-dimensional (2-D) photonic crystals (PhCs) filled and covered with a low refractive index organic material. Slotted PhC waveguides and cavities are designed to enhance the electromagnetic intensity and to confine the light into small cross-sectional area with low refractive index so that efficient optical devices could be achieved. A good agreement between the theoretical CMT analysis and the FDTD simulation is shown as an evidence for our accurate investigation. All-optical switches based on the CROWs in the SOI slotted 2-D PhC waveguide that are filled and covered by a nonlinear organic cladding to overcome the limitations of its well-known intrinsic properties are also presented. From the calculations, we introduce a dependency of the normalized linewidth of the right-most resonance and its switching power of the all-optical switches on number of resonator, n. This result might provide a guideline for all-optical signal processing on
NASA Astrophysics Data System (ADS)
Wang, Yin-Ping; Liu, Hai-Tao; Song, Hong-Yu; Liu, Jia-Xin; Shen, Hui-Ying; Jin, Yang; Wang, Guo-Dong
2018-04-01
0.05-0.15 mm-thick ultra-thin grain-oriented silicon steel sheets were successfully produced by a novel processing route including strip casting, hot rolling, normalizing, two-stage cold rolling with intermediate annealing, primary recrystallization annealing and secondary recrystallization annealing. The evolutions of microstructure, texture and inhibitor along the processing were briefly investigated. The results showed that the initial Goss orientation originated due to the heterogenous nucleation of δ-ferrite grains during solidification. Because of the lack of shear deformation, only a few Goss grains were observed in the hot rolled sheet. After the first cold rolling and intermediate annealing, Goss texture was enhanced and distributed in the whole thickness. A small number of Goss grains having a high fraction of high energy boundaries exhibited in the primary recrystallization annealed sheet. A large number of fine and dispersed MnS and AlN and a few co-precipitates MnS and AlN with the size range of 10-70 nm were also observed. Interestingly, a well-developed secondary recrystallization microstructure characterized by 10-60 mm grains and a sharp Goss texture were finally produced in the 0.05-0.15 mm-thick ultra-thin sheets. A magnetic induction B8 of 1.72-1.84 T was obtained. Another new finding was that a few {2 3 0}〈0 0 1〉 and {2 1 0}〈1 2 7〉 grains also can grow up abnormally because of the high fraction of high energy boundaries and the size and number advantage, respectively. These non-Goss grains finally deteriorated the magnetic properties of the ultra-thin sheets. In addition, low surface energies of {hk0} planes may also contribute to the abnormal growth of Goss, {2 3 0}〈0 0 1〉 and {2 1 0}〈1 2 7〉 grains.
NASA Astrophysics Data System (ADS)
Liu, Xuejin; Chen, Han; Bornefalk, Hans; Danielsson, Mats; Karlsson, Staffan; Persson, Mats; Xu, Cheng; Huber, Ben
2015-02-01
The variation among energy thresholds in a multibin detector for photon-counting spectral CT can lead to ring artefacts in the reconstructed images. Calibration of the energy thresholds can be used to achieve homogeneous threshold settings or to develop compensation methods to reduce the artefacts. We have developed an energy-calibration method for the different comparator thresholds employed in a photon-counting silicon-strip detector. In our case, this corresponds to specifying the linear relation between the threshold positions in units of mV and the actual deposited photon energies in units of keV. This relation is determined by gain and offset values that differ for different detector channels due to variations in the manufacturing process. Typically, the calibration is accomplished by correlating the peak positions of obtained pulse-height spectra to known photon energies, e.g. with the aid of mono-energetic x rays from synchrotron radiation, radioactive isotopes or fluorescence materials. Instead of mono-energetic x rays, the calibration method presented in this paper makes use of a broad x-ray spectrum provided by commercial x-ray tubes. Gain and offset as the calibration parameters are obtained by a regression analysis that adjusts a simulated spectrum of deposited energies to a measured pulse-height spectrum. Besides the basic photon interactions such as Rayleigh scattering, Compton scattering and photo-electric absorption, the simulation takes into account the effect of pulse pileup, charge sharing and the electronic noise of the detector channels. We verify the method for different detector channels with the aid of a table-top setup, where we find the uncertainty of the keV-value of a calibrated threshold to be between 0.1 and 0.2 keV.
Flat-plate solar array project. Volume 2: Silicon material
NASA Technical Reports Server (NTRS)
Lutwack, R.
1986-01-01
The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.
Flat-plate solar array project. Volume 2: Silicon material
NASA Astrophysics Data System (ADS)
Lutwack, R.
1986-10-01
The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.
Chirathaworn, Chintana; Janwitthayanan, Weena; Sereemaspun, Amornpun; Lertpocasombat, Kanchalee; Rungpanich, Utane; Ekpo, Pattama; Suwancharoen, Duangjai
2014-04-01
Detection of antibody specific to Leptospira by various immunological techniques has been used for leptospirosis diagnosis. However, the sensitivity of antibody detection during the first few days after infection is low. Molecular techniques are suggested to provide earlier diagnosis than antibody detection, but a rapid and easy to perform assay for Leptospira antigen detection would provide an additional useful tool for disease diagnosis. In this study, we coupled gold nanoparticles with antibody to LipL32, a protein commonly found in pathogenic Leptospira. This coupled gold reagent was used in the immunochromatographic strip for Leptospira detection. We demonstrated that the sensitivity of Leptospira detection by this strip was 10(3) ml(-1). There was no positive result detected when strips were tested with non-pathogenic Leptospira, Staphylococcus aureus, Streptococcus group B, Acinetobacter baumannii, Escherichia coli, Salmonella typhi, Klebsiella pneumoniae, Enterococcus faecalis or Enterococcus faecium. These data suggest that gold nanoparticles coupled with antibody to LipL32 could be used for Leptospira detection by a rapid test based on an immunochromatographic technique.
Singh, Vivek; Yu, Yixuan; Sun, Qi-C; Korgel, Brian; Nagpal, Prashant
2014-12-21
While silicon nanostructures are extensively used in electronics, the indirect bandgap of silicon poses challenges for optoelectronic applications like photovoltaics and light emitting diodes (LEDs). Here, we show that size-dependent pseudo-direct bandgap transitions in silicon nanocrystals dominate the interactions between (photoexcited) charge carriers and phonons, and hence the optoelectronic properties of silicon nanocrystals. Direct measurements of the electronic density of states (DOS) for different sized silicon nanocrystals reveal that these pseudo-direct transitions, likely arising from the nanocrystal surface, can couple with the quantum-confined silicon states. Moreover, we demonstrate that since these transitions determine the interactions of charge carriers with phonons, they change the light emission, absorption, charge carrier diffusion and phonon drag (Seebeck coefficient) in nanoscaled silicon semiconductors. Therefore, these results can have important implications for the design of optoelectronics and thermoelectric devices based on nanostructured silicon.
Planar slot coupled microwave hybrid
Petter, Jeffrey K.
1991-01-01
A symmetrical 180.degree. microwave hybrid is constructed by opening a slot line in a ground plane below a conducting strip disposed on a dielectric substrate, creating a slot coupled conductor. Difference signals propagating on the slot coupled conductor are isolated on the slot line leaving sum signals to propagate on the microstrip. The difference signal is coupled from the slot line onto a second microstrip line for transmission to a desired location. The microstrip branches in a symmetrical fashion to provide the input/output ports of the 180.degree. hybrid. The symmetry of the device provides for balance and isolation between sum and difference signals, and provides an advantageous balance between the power handling capabilities and the bandwidth of the device.
Duvall, Laura B; Taghert, Paul H
2012-01-01
The neuropeptide Pigment Dispersing Factor (PDF) is essential for normal circadian function in Drosophila. It synchronizes the phases of M pacemakers, while in E pacemakers it decelerates their cycling and supports their amplitude. The PDF receptor (PDF-R) is present in both M and subsets of E cells. Activation of PDF-R stimulates cAMP increases in vitro and in M cells in vivo. The present study asks: What is the identity of downstream signaling components that are associated with PDF receptor in specific circadian pacemaker neurons? Using live imaging of intact fly brains and transgenic RNAi, we show that adenylate cyclase AC3 underlies PDF signaling in M cells. Genetic disruptions of AC3 specifically disrupt PDF responses: they do not affect other Gs-coupled GPCR signaling in M cells, they can be rescued, and they do not represent developmental alterations. Knockdown of the Drosophila AKAP-like scaffolding protein Nervy also reduces PDF responses. Flies with AC3 alterations show behavioral syndromes consistent with known roles of M pacemakers as mediated by PDF. Surprisingly, disruption of AC3 does not alter PDF responses in E cells--the PDF-R(+) LNd. Within M pacemakers, PDF-R couples preferentially to a single AC, but PDF-R association with a different AC(s) is needed to explain PDF signaling in the E pacemakers. Thus critical pathways of circadian synchronization are mediated by highly specific second messenger components. These findings support a hypothesis that PDF signaling components within target cells are sequestered into "circadian signalosomes," whose compositions differ between E and M pacemaker cell types.
Stability of barotropic vortex strip on a rotating sphere.
Sohn, Sung-Ik; Sakajo, Takashi; Kim, Sun-Chul
2018-02-01
We study the stability of a barotropic vortex strip on a rotating sphere, as a simple model of jet streams. The flow is approximated by a piecewise-continuous vorticity distribution by zonal bands of uniform vorticity. The linear stability analysis shows that the vortex strip becomes stable as the strip widens or the rotation speed increases. When the vorticity constants in the upper and the lower regions of the vortex strip have the same positive value, the inner flow region of the vortex strip becomes the most unstable. However, when the upper and the lower vorticity constants in the polar regions have different signs, a complex pattern of instability is found, depending on the wavenumber of perturbations, and interestingly, a boundary far away from the vortex strip can be unstable. We also compute the nonlinear evolution of the vortex strip on the rotating sphere and compare with the linear stability analysis. When the width of the vortex strip is small, we observe a good agreement in the growth rate of perturbation at an early time, and the eigenvector corresponding to the unstable eigenvalue coincides with the most unstable part of the flow. We demonstrate that a large structure of rolling-up vortex cores appears in the vortex strip after a long-time evolution. Furthermore, the geophysical relevance of the model to jet streams of Jupiter, Saturn and Earth is examined.
Bächinger, Marc; Zerbi, Valerio; Moisa, Marius; Polania, Rafael; Liu, Quanying; Mantini, Dante; Ruff, Christian; Wenderoth, Nicole
2017-05-03
Resting state fMRI (rs-fMRI) is commonly used to study the brain's intrinsic neural coupling, which reveals specific spatiotemporal patterns in the form of resting state networks (RSNs). It has been hypothesized that slow rs-fMRI oscillations (<0.1 Hz) are driven by underlying electrophysiological rhythms that typically occur at much faster timescales (>5 Hz); however, causal evidence for this relationship is currently lacking. Here we measured rs-fMRI in humans while applying transcranial alternating current stimulation (tACS) to entrain brain rhythms in left and right sensorimotor cortices. The two driving tACS signals were tailored to the individual's α rhythm (8-12 Hz) and fluctuated in amplitude according to a 1 Hz power envelope. We entrained the left versus right hemisphere in accordance to two different coupling modes where either α oscillations were synchronized between hemispheres (phase-synchronized tACS) or the slower oscillating power envelopes (power-synchronized tACS). Power-synchronized tACS significantly increased rs-fMRI connectivity within the stimulated RSN compared with phase-synchronized or no tACS. This effect outlasted the stimulation period and tended to be more effective in individuals who exhibited a naturally weak interhemispheric coupling. Using this novel approach, our data provide causal evidence that synchronized power fluctuations contribute to the formation of fMRI-based RSNs. Moreover, our findings demonstrate that the brain's intrinsic coupling at rest can be selectively modulated by choosing appropriate tACS signals, which could lead to new interventions for patients with altered rs-fMRI connectivity. SIGNIFICANCE STATEMENT Resting state fMRI (rs-fMRI) has become an important tool to estimate brain connectivity. However, relatively little is known about how slow hemodynamic oscillations measured with fMRI relate to electrophysiological processes. It was suggested that slowly fluctuating power envelopes of electrophysiological
Quantum efficiencies exceeding unity in amorphous silicon solar cells
DOE Office of Scientific and Technical Information (OSTI.GOV)
Vanmaekelbergh, D.; Lagemaat, J. van de; Schropp, R.E.I.
1994-12-31
The experimental observation of internal quantum efficiencies above unity in crystalline silicon solar cells has brought up the question whether the generation of multiple electron/hole pairs has to be taken into consideration also in solar cells based on direct gap amorphous semiconductors. To study photogenerated carrier dynamics, the authors have applied Intensity Modulated Photocurrent Spectroscopy (IMPS) to hydrogenated amorphous silicon p-i-n solar cells. In the reverse voltage bias region at low illumination intensities it has been observed that the low frequency limit of the AC quantum yield Y increases significantly above unit with decreasing light intensity, indicating that more thanmore » one electron per photon is detected in the external circuit. This phenomenon can be explained by considering trapping and thermal emission of photogenerated carriers at intragap atmospheric dangling bond defect centers.« less
NASA Astrophysics Data System (ADS)
Li, Zaoyang; Qi, Xiaofang; Liu, Lijun; Zhou, Genshu
2018-02-01
The alternating current (AC) in the resistance heater for generating heating power can induce a magnetic field in the silicon melt during directional solidification (DS) of silicon ingots. We numerically study the influence of such a heater-generating magnetic field on the silicon melt flow and temperature distribution in an industrial DS process. 3D simulations are carried out to calculate the Lorentz force distribution as well as the melt flow and heat transfer in the entire DS furnace. The pattern and intensity of silicon melt flow as well as the temperature distribution are compared for cases with and without Lorentz force. The results show that the Lorentz force induced by the heater-generating magnetic field is mainly distributed near the top and side surfaces of the silicon melt. The melt flow and temperature distribution, especially those in the upper part of the silicon region, can be influenced significantly by the magnetic field.
Research and simulation of the decoupling transformation in AC motor vector control
NASA Astrophysics Data System (ADS)
He, Jiaojiao; Zhao, Zhongjie; Liu, Ken; Zhang, Yongping; Yao, Tuozhong
2018-04-01
Permanent magnet synchronous motor (PMSM) is a nonlinear, strong coupling, multivariable complex object, and transformation decoupling can solve the coupling problem of permanent magnet synchronous motor. This paper gives a permanent magnet synchronous motor (PMSM) mathematical model, introduces the permanent magnet synchronous motor vector control coordinate transformation in the process of modal matrix inductance matrix transform through the matrix related knowledge of different coordinates of diagonalization, which makes the coupling between the independent, realize the control of motor current and excitation the torque current coupling separation, and derived the coordinate transformation matrix, the thought to solve the coupling problem of AC motor. Finally, in the Matlab/Simulink environment, through the establishment and combination between the PMSM ontology, coordinate conversion module, built the simulation model of permanent magnet synchronous motor vector control, introduces the model of each part, and analyzed the simulation results.
30 CFR 77.1001 - Stripping; loose material.
Code of Federal Regulations, 2011 CFR
2011-07-01
... Ground Control § 77.1001 Stripping; loose material. Loose hazardous material shall be stripped for a safe... angle of repose, or barriers, baffle boards, screens, or other devices be provided that afford...
26 CFR 1.1286-2 - Stripped inflation-protected debt instruments.
Code of Federal Regulations, 2013 CFR
2013-04-01
... 26 Internal Revenue 11 2013-04-01 2013-04-01 false Stripped inflation-protected debt instruments... Losses § 1.1286-2 Stripped inflation-protected debt instruments. Stripped inflation-protected debt instruments. If a Treasury Inflation-Protected Security is stripped under the Department of the Treasury's...
26 CFR 1.1286-2 - Stripped inflation-protected debt instruments.
Code of Federal Regulations, 2014 CFR
2014-04-01
... 26 Internal Revenue 11 2014-04-01 2014-04-01 false Stripped inflation-protected debt instruments... Losses § 1.1286-2 Stripped inflation-protected debt instruments. Stripped inflation-protected debt instruments. If a Treasury Inflation-Protected Security is stripped under the Department of the Treasury's...
Hu, Qiao-Xia; Dong, Jun-Hong; Du, Hai-Bo; Zhang, Dao-Lai; Ren, Hong-Ze; Ma, Ming-Liang; Cai, Yuan; Zhao, Tong-Chao; Yin, Xiao-Lei; Yu, Xiao; Xue, Tian; Xu, Zhi-Gang; Sun, Jin-Peng
2014-08-29
The very large G protein-coupled receptor 1 (VLGR1) is a core component in inner ear hair cell development. Mutations in the vlgr1 gene cause Usher syndrome, the symptoms of which include congenital hearing loss and progressive retinitis pigmentosa. However, the mechanism of VLGR1-regulated intracellular signaling and its role in Usher syndrome remain elusive. Here, we show that VLGR1 is processed into two fragments after autocleavage at the G protein-coupled receptor proteolytic site. The cleaved VLGR1 β-subunit constitutively inhibited adenylate cyclase (AC) activity through Gαi coupling. Co-expression of the Gαiq chimera with the VLGR1 β-subunit changed its activity to the phospholipase C/nuclear factor of activated T cells signaling pathway, which demonstrates the Gαi protein coupling specificity of this subunit. An R6002A mutation in intracellular loop 2 of VLGR1 abolished Gαi coupling, but the pathogenic VLGR1 Y6236fsx1 mutant showed increased AC inhibition. Furthermore, overexpression of another Usher syndrome protein, PDZD7, decreased the AC inhibition of the VLGR1 β-subunit but showed no effect on the VLGR1 Y6236fsx1 mutant. Taken together, we identified an independent Gαi signaling pathway of the VLGR1 β-subunit and its regulatory mechanisms that may have a role in the development of Usher syndrome. © 2014 by The American Society for Biochemistry and Molecular Biology, Inc.
Ultrafast Silicon Photonics with Visible to Mid-Infrared Pumping of Silicon Nanocrystals.
Diroll, Benjamin T; Schramke, Katelyn S; Guo, Peijun; Kortshagen, Uwe R; Schaller, Richard D
2017-10-11
Dynamic optical control of infrared (IR) transparency and refractive index is achieved using boron-doped silicon nanocrystals excited with mid-IR optical pulses. Unlike previous silicon-based optical switches, large changes in transmittance are achieved without a fabricated structure by exploiting strong light coupling of the localized surface plasmon resonance (LSPR) produced from free holes of p-type silicon nanocrystals. The choice of optical excitation wavelength allows for selectivity between hole heating and carrier generation through intraband or interband photoexcitation, respectively. Mid-IR optical pumping heats the free holes of p-Si nanocrystals to effective temperatures greater than 3500 K. Increases of the hole effective mass at high effective hole temperatures lead to a subpicosecond change of the dielectric function, resulting in a redshift of the LSPR, modulating mid-IR transmission by as much as 27%, and increasing the index of refraction by more than 0.1 in the mid-IR. Low hole heat capacity dictates subpicosecond hole cooling, substantially faster than carrier recombination, and negligible heating of the Si lattice, permitting mid-IR optical switching at terahertz repetition frequencies. Further, the energetic distribution of holes at high effective temperatures partially reverses the Burstein-Moss effect, permitting the modulation of transmittance at telecommunications wavelengths. The results presented here show that doped silicon, particularly in micro- or nanostructures, is a promising dynamic metamaterial for ultrafast IR photonics.
NASA Technical Reports Server (NTRS)
Leviton, Douglas B.; Tsevetanov, Zlatan; Woodruff, Bob; Mooney, Thomas A.
1998-01-01
Advanced optical bandpass filters for the Hubble Space Telescope (HST) Advanced Camera for Surveys (ACS) have been developed on a filter-by-filter basis through detailed studies which take into account the instrument's science goals, available optical filter fabrication technology, and developments in ACS's charge-coupled-device (CCD) detector technology. These filters include a subset of filters for the Sloan Digital Sky Survey (SDSS) which are optimized for astronomical photometry using today's charge-coupled-devices (CCD's). In order for ACS to be truly advanced, these filters must push the state-of-the-art in performance in a number of key areas at the same time. Important requirements for these filters include outstanding transmitted wavefront, high transmittance, uniform transmittance across each filter, spectrally structure-free bandpasses, exceptionally high out of band rejection, a high degree of parfocality, and immunity to environmental degradation. These constitute a very stringent set of requirements indeed, especially for filters which are up to 90 mm in diameter. The highly successful paradigm in which final specifications for flight filters were derived through interaction amongst the ACS Science Team, the instrument designer, the lead optical engineer, and the filter designer and vendor is described. Examples of iterative design trade studies carried out in the context of science needs and budgetary and schedule constraints are presented. An overview of the final design specifications for the ACS bandpass and ramp filters is also presented.
Ultra-short silicon MMI duplexer
NASA Astrophysics Data System (ADS)
Yi, Huaxiang; Huang, Yawen; Wang, Xingjun; Zhou, Zhiping
2012-11-01
The fiber-to-the-home (FTTH) systems are growing fast these days, where two different wavelengths are used for upstream and downstream traffic, typically 1310nm and 1490nm. The duplexers are the key elements to separate these wavelengths into different path in central offices (CO) and optical network unit (ONU) in passive optical network (PON). Multimode interference (MMI) has some benefits to be a duplexer including large fabrication tolerance, low-temperature dependence, and low-polarization dependence, but its size is too large to integrate in conventional case. Based on the silicon photonics platform, ultra-short silicon MMI duplexer was demonstrated to separate the 1310nm and 1490nm lights. By studying the theory of self-image phenomena in MMI, the first order images are adopted in order to keep the device short. A cascaded MMI structure was investigated to implement the wavelength splitting, where both the light of 1310nm and 1490nm was input from the same port, and the 1490nm light was coupling cross the first MMI and output at the cross-port in the device while the 1310nm light was coupling through the first and second MMI and output at the bar-port in the device. The experiment was carried on with the SOI wafer of 340nm top silicon. The cascaded MMI was investigated to fold the length of the duplexer as short as 117μm with the extinct ratio over 10dB.
Inter-strand current sharing and ac loss measurements in superconducting YBCO Roebel cables
Majoros, M.; Sumption, M. D.; Collings, E. W.; ...
2015-04-08
A Roebel cable, one twist pitch long, was modified from its as-received state by soldering copper strips between the strands to provide inter-strand connections enabling current sharing. Various DC transport currents (representing different percentages of its critical current) were applied to a single strand of such a modified cable at 77 K in a liquid nitrogen bath. Simultaneous monitoring of I–V curves in different parts of the strand as well as in its interconnections with other strands was made using a number of sensitive Keithley nanovoltmeters in combination with a multichannel high-speed data acquisition card, all controlled via LabView software.more » Current sharing onset was observed at about 1.02 of strand I c. At a strand current of 1.3I c about 5% of the current was shared through the copper strip interconnections. A finite element method modeling was performed to estimate the inter-strand resistivities required to enable different levels of current sharing. The relative contributions of coupling and hysteretic magnetization (and loss) were compared, and for our cable and tape geometry, and at dB/dt=1 T s -1, and our inter-strand resistance of 0.77 mΩ, (enabling a current sharing of 5% at 1.3I c) the coupling component was 0.32% of the hysteretic component. However, inter-strand contact resistance values of 100–1000 times smaller (close to those of NbTi and Nb 3Sn based accelerator cables) would make the coupling components comparable in size to the hysteretic components.« less
Inter-strand current sharing and ac loss measurements in superconducting YBCO Roebel cables
sumption, Mike; Majoros, Milan; Collings, E. W.; ...
2014-11-07
A Roebel cable, one twist pitch long, was modified from its as-received state by soldering copper strips between the strands to provide inter-strand connections enabling current sharing. Various DC transport currents (representing different percentages of its critical current) were applied to a single strand of such a modified cable at 77 K in a liquid nitrogen bath. Simultaneous monitoring of I–V curves in different parts of the strand as well as in its interconnections with other strands was made using a number of sensitive Keithley nanovoltmeters in combination with a multichannel high-speed data acquisition card, all controlled via LabView software.more » Current sharing onset was observed at about 1.02 of strand I c. At a strand current of 1.3I c about 5% of the current was shared through the copper strip interconnections. A finite element method modeling was performed to estimate the inter-strand resistivities required to enable different levels of current sharing. The relative contributions of coupling and hysteretic magnetization (and loss) were compared, and for our cable and tape geometry, and at dB/dt=1 T s -1, and our inter-strand resistance of 0.77 mΩ, (enabling a current sharing of 5% at 1.3I c ) the coupling component was 0.32% of the hysteretic component. However, inter-strand contact resistance values of 100–1000 times smaller (close to those of NbTi and Nb 3Sn based accelerator cables) would make the coupling components comparable in size to the hysteretic components.« less
Inter-strand current sharing and ac loss measurements in superconducting YBCO Roebel cables
DOE Office of Scientific and Technical Information (OSTI.GOV)
Majoros, M.; Sumption, M. D.; Collings, E. W.
A Roebel cable, one twist pitch long, was modified from its as-received state by soldering copper strips between the strands to provide inter-strand connections enabling current sharing. Various DC transport currents (representing different percentages of its critical current) were applied to a single strand of such a modified cable at 77 K in a liquid nitrogen bath. Simultaneous monitoring of I–V curves in different parts of the strand as well as in its interconnections with other strands was made using a number of sensitive Keithley nanovoltmeters in combination with a multichannel high-speed data acquisition card, all controlled via LabView software.more » Current sharing onset was observed at about 1.02 of strand I c. At a strand current of 1.3I c about 5% of the current was shared through the copper strip interconnections. A finite element method modeling was performed to estimate the inter-strand resistivities required to enable different levels of current sharing. The relative contributions of coupling and hysteretic magnetization (and loss) were compared, and for our cable and tape geometry, and at dB/dt=1 T s -1, and our inter-strand resistance of 0.77 mΩ, (enabling a current sharing of 5% at 1.3I c) the coupling component was 0.32% of the hysteretic component. However, inter-strand contact resistance values of 100–1000 times smaller (close to those of NbTi and Nb 3Sn based accelerator cables) would make the coupling components comparable in size to the hysteretic components.« less
Inter-strand current sharing and ac loss measurements in superconducting YBCO Roebel cables
DOE Office of Scientific and Technical Information (OSTI.GOV)
sumption, Mike; Majoros, Milan; Collings, E. W.
A Roebel cable, one twist pitch long, was modified from its as-received state by soldering copper strips between the strands to provide inter-strand connections enabling current sharing. Various DC transport currents (representing different percentages of its critical current) were applied to a single strand of such a modified cable at 77 K in a liquid nitrogen bath. Simultaneous monitoring of I–V curves in different parts of the strand as well as in its interconnections with other strands was made using a number of sensitive Keithley nanovoltmeters in combination with a multichannel high-speed data acquisition card, all controlled via LabView software.more » Current sharing onset was observed at about 1.02 of strand I c. At a strand current of 1.3I c about 5% of the current was shared through the copper strip interconnections. A finite element method modeling was performed to estimate the inter-strand resistivities required to enable different levels of current sharing. The relative contributions of coupling and hysteretic magnetization (and loss) were compared, and for our cable and tape geometry, and at dB/dt=1 T s -1, and our inter-strand resistance of 0.77 mΩ, (enabling a current sharing of 5% at 1.3I c ) the coupling component was 0.32% of the hysteretic component. However, inter-strand contact resistance values of 100–1000 times smaller (close to those of NbTi and Nb 3Sn based accelerator cables) would make the coupling components comparable in size to the hysteretic components.« less
26 CFR 1.1286-2 - Stripped inflation-indexed debt instruments.
Code of Federal Regulations, 2010 CFR
2010-04-01
... 26 Internal Revenue 11 2010-04-01 2010-04-01 true Stripped inflation-indexed debt instruments. 1....1286-2 Stripped inflation-indexed debt instruments. Stripped inflation-indexed debt instruments. If a Treasury Inflation-Indexed Security is stripped under the Department of the Treasury's Separate Trading of...
Stability of barotropic vortex strip on a rotating sphere
Sohn, Sung-Ik; Kim, Sun-Chul
2018-01-01
We study the stability of a barotropic vortex strip on a rotating sphere, as a simple model of jet streams. The flow is approximated by a piecewise-continuous vorticity distribution by zonal bands of uniform vorticity. The linear stability analysis shows that the vortex strip becomes stable as the strip widens or the rotation speed increases. When the vorticity constants in the upper and the lower regions of the vortex strip have the same positive value, the inner flow region of the vortex strip becomes the most unstable. However, when the upper and the lower vorticity constants in the polar regions have different signs, a complex pattern of instability is found, depending on the wavenumber of perturbations, and interestingly, a boundary far away from the vortex strip can be unstable. We also compute the nonlinear evolution of the vortex strip on the rotating sphere and compare with the linear stability analysis. When the width of the vortex strip is small, we observe a good agreement in the growth rate of perturbation at an early time, and the eigenvector corresponding to the unstable eigenvalue coincides with the most unstable part of the flow. We demonstrate that a large structure of rolling-up vortex cores appears in the vortex strip after a long-time evolution. Furthermore, the geophysical relevance of the model to jet streams of Jupiter, Saturn and Earth is examined. PMID:29507524
Vermeulen, D; Selvaraja, S; Verheyen, P; Lepage, G; Bogaerts, W; Absil, P; Van Thourhout, D; Roelkens, G
2010-08-16
A new generation of Silicon-on-Insulator fiber-to-chip grating couplers which use a silicon overlay to enhance the directionality and thereby the coupling efficiency is presented. Devices are realized on a 200 mm wafer in a CMOS pilot line. The fabricated fiber couplers show a coupling efficiency of -1.6 dB and a 3 dB bandwidth of 80 nm.
Emission reduction by multipurpose buffer strips on arable fields.
Sloots, K; van der Vlies, A W
2007-01-01
In the area managed by Hollandse Delta, agriculture is under great pressure and the social awareness of the agricultural sector is increasing steadily. In recent years, a stand-still has been observed in water quality, in terms of agrochemicals, and concentrations even exceed the standard. To improve the waterquality a multi-purpose Field Margin Regulation was drafted for the Hoeksche Waard island in 2005. The regulation prescribes a crop-free strip, 3.5 m wide, alongside wet drainage ditches. The strip must be sown with mixtures of grasses, flowers or herbs. No crop protection chemicals or fertilizer may be used on the strips. A total length of approximately 200 km of buffer strip has now been laid. Besides reducing emissions, the buffer strips also stimulate natural pest control methods and encourage local tourism. Finally, the strips should lead to an improvement in the farmers' image. The regulation has proved to be successful. The buffer strips boosted both local tourism and the image of the agricultural sector. Above all, the strips provided a natural shield for emission to surface water, which will lead to an improvement of the water quality and raise the farmers' awareness of water quality and the environment.
Buffer strip design for protecting water quality and fish habitat
DOE Office of Scientific and Technical Information (OSTI.GOV)
Belt, G.H.; O'Laughlin, J.
1994-04-01
Buffer strips are protective areas adjacent to streams or lakes. Among other functions, they protect water quality and fish habitat. A typical buffer strip is found in western Oregon, where they are called Riparian Management Areas (RMAs). The authors use the term buffer strip to include functional descriptions such as filter, stabilization, or leave strips, and administrative designations such as Idaho's Stream Protection Zone (SPZ), Washington's Riparian Management Zone (RMZ), and the USDA Forest Service's Streamside Management Zone (SMZ). They address water quality and fishery protective functions of buffer strips on forestlands, pointing out improvements in buffer strip design possiblemore » through research or administrative changes. Buffer strip design requirements found in some western Forest Practices Act (FPA) regulations are also compared and related to findings in the scientific literature.« less
Surface modification of silicon carbide with silane coupling agent and hexadecyl iodiele
NASA Astrophysics Data System (ADS)
Shang, Xujing; Zhu, Yumei; Li, Zhihong
2017-02-01
In this paper, two kinds of silane coupling agents, namely 3-aminopropyl triethoxysilane (KH550) and 3-mercaptopropyl trimethoxysilane (KH590), were adopted as preliminary modifiers to improve the hydrophobic surface properties of silicon carbide (SiC) powder for the first step. The factors that influence the modification effects were investigated by measuring the contact angle. The results showed that KH590 has a better effect than KH550 for the hydrophobic modification of SiC, and the contact angle improved most after SiC powder was reacted with 0.3 g KH590 at 75 °C in aqueous/alcohol solution for 4 h. On account of further enhancement of hydrophobicity, the study was focused on utilizing nucleophilic substitution between KH590 and hexadecyl iodiele to extend the length of alkyl chain. Compared with using KH590 alone, SiC powder modified by KH590 and hexadecyl iodiele showed better water resistance with an increase of contact angle from 106.8° to 127.5°. The Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectra (XPS) as well as X-ray diffraction (XRD) analysis results showed that KH550/KH590 and hexadecyl iodiele can be covalently bonded to the surface of SiC powder without altering its crystal configuration. This methodology may provide a new way of the modification of inorganic materials in further.
Dry-film polymer waveguide for silicon photonics chip packaging.
Hsu, Hsiang-Han; Nakagawa, Shigeru
2014-09-22
Polymer waveguide made by dry film process is demonstrated for silicon photonics chip packaging. With 8 μm × 11.5 μm core waveguide, little penalty is observed up to 25 Gbps before or after the light propagate through a 10-km long single-mode fiber (SMF). Coupling loss to SMF is 0.24 dB and 1.31 dB at the polymer waveguide input and output ends, respectively. Alignment tolerance for 0.5 dB loss increase is +/- 1.0 μm along both vertical and horizontal directions for the coupling from the polymer waveguide to SMF. The dry-film polymer waveguide demonstrates promising performance for silicon photonics chip packaging used in next generation optical multi-chip module.
33 CFR 157.128 - Stripping system.
Code of Federal Regulations, 2014 CFR
2014-07-01
...) POLLUTION RULES FOR THE PROTECTION OF THE MARINE ENVIRONMENT RELATING TO TANK VESSELS CARRYING OIL IN BULK Crude Oil Washing (COW) System on Tank Vessels Design, Equipment, and Installation § 157.128 Stripping...) must have a stripping system that is designed to remove crude oil from— (1) Each cargo tank at 1.25...
33 CFR 157.128 - Stripping system.
Code of Federal Regulations, 2011 CFR
2011-07-01
...) POLLUTION RULES FOR THE PROTECTION OF THE MARINE ENVIRONMENT RELATING TO TANK VESSELS CARRYING OIL IN BULK Crude Oil Washing (COW) System on Tank Vessels Design, Equipment, and Installation § 157.128 Stripping...) must have a stripping system that is designed to remove crude oil from— (1) Each cargo tank at 1.25...
33 CFR 157.128 - Stripping system.
Code of Federal Regulations, 2012 CFR
2012-07-01
...) POLLUTION RULES FOR THE PROTECTION OF THE MARINE ENVIRONMENT RELATING TO TANK VESSELS CARRYING OIL IN BULK Crude Oil Washing (COW) System on Tank Vessels Design, Equipment, and Installation § 157.128 Stripping...) must have a stripping system that is designed to remove crude oil from— (1) Each cargo tank at 1.25...
33 CFR 157.128 - Stripping system.
Code of Federal Regulations, 2013 CFR
2013-07-01
...) POLLUTION RULES FOR THE PROTECTION OF THE MARINE ENVIRONMENT RELATING TO TANK VESSELS CARRYING OIL IN BULK Crude Oil Washing (COW) System on Tank Vessels Design, Equipment, and Installation § 157.128 Stripping...) must have a stripping system that is designed to remove crude oil from— (1) Each cargo tank at 1.25...
Capacitively coupled pickup in MCP-based photodetectors using a conductive metallic anode
NASA Astrophysics Data System (ADS)
Angelico, E.; Seiss, T.; Adams, B.; Elagin, A.; Frisch, H.; Spieglan, E.
2017-02-01
We have designed and tested a robust 20×20 cm2 thin metal film internal anode capacitively coupled to an external array of signal pads or micro-strips for use in fast microchannel plate photodetectors. The internal anode, in this case a 10 nm-thick NiCr film deposited on a 96% pure Al2O3 3 mm-thick ceramic plate and connected to HV ground, provides the return path for the electron cascade charge. The multi-channel pickup array consists of a printed-circuit card or glass plate with metal signal pickups on one side and the signal ground plane on the other. The pickup can be put in close proximity to the bottom outer surface of the sealed photodetector, with no electrical connections through the photodetector hermetic vacuum package other than a single ground connection to the internal anode. Two pickup patterns were tested using a small commercial MCP-PMT as the signal source: 1) parallel 50 Ω 25-cm-long micro-strips with an analog bandwidth of 1.5 GHz, and 2) a 20×20 cm2 array of 2-dimensional square 'pads' with sides of 1.27 cm or 2.54 cm. The rise-time of the fast input pulse is maintained for both pickup patterns. For the pad pattern, we observe 80% of the directly coupled amplitude. For the strip pattern we measure 34% of the directly coupled amplitude on the central strip of a broadened signal. The physical decoupling of the photodetector from the pickup pattern allows easy customization for different applications while maintaining high analog bandwidth.
Ukwuani, Anayo T; Tao, Wendong
2016-12-01
To prevent acetoclastic methanogens from ammonia inhibition in anaerobic digestion of protein-rich substrates, ammonia needs to be removed or recovered from digestate. This paper presents an innovative ammonia recovery process that couples vacuum thermal stripping with acid absorption. Ammonia is stripped out of digestate boiling at a temperature below the normal boiling point due to vacuum. Stripped ammonia is absorbed to a sulfuric acid solution, forming ammonium sulfate crystals as a marketable product. Three common types of digestate were found to have boiling point temperature-vacuum curves similar to water. Seven combinations of boiling temperature and vacuum (50 °C 16.6 kPa, 58 °C 20.0 kPa, 65 °C 25.1 kPa, 70 °C 33.6 kPa, 80 °C 54.0 kPa, 90 °C 74.2 kPa, and 100 °C 101.3 kPa) were tested for batch stripping of ammonia in dairy manure digestate. 93.3-99.9% of ammonia was stripped in 3 h. The Lewis-Whitman model fitted ammonia stripping process well. Ammonia mass transfer coefficient was significantly higher at boiling temperature 65-100 °C and vacuum pressure 25.1-101.3 kPa than 50-58 °C and 16.6-20.0 kPa. The low ammonia saturation concentrations (0-24 mg N/L) suggested a large driving force to strip ammonia. The optimum boiling point temperature - vacuum pressure for ammonia recovery in a recirculation line of a mesophilic digester was 65 °C and 25.1 kPa, at which the ammonia mass transfer coefficient was as high as 37.3 mm/h. Installation of a demister and liquid trap could avoid negative effects of higher stripping temperature and stronger vacuum on formation of ammonium sulfate crystals. Pilot tests demonstrated that high-purity ammonium sulfate crystals could be produced by controlling sulfuric acid content and maintaining acid solution saturated with ammonium sulfate. Although volatile organic compounds such as cyclohexene were found in the final acid solutions, no volatile organic compounds were found in the recovered
NASA Technical Reports Server (NTRS)
Gurtler, R. W.; Baghdadi, A.
1977-01-01
A ribbon-to-ribbon process was used for routine growth of samples for analysis and fabrication into solar cells. One lot of solar cells was completely evaluated: ribbon solar cell efficiencies averaged 9.23% with a highest efficiency of 11.7%. Spherical reflectors have demonstrated significant improvements in laser silicon coupling efficiencies. Material analyses were performed including silicon photovoltage and open circuit photovoltage diffusion length measurements, crystal morphology studies, modulus of rupture measurements, and annealing/gettering studies. An initial economic analysis was performed indicating that ribbon-to-ribbon add-on costs of $.10/watt might be expected in the early 1980's.
A single dopant atom in silicon sees the light
NASA Astrophysics Data System (ADS)
Rogge, Sven
2014-03-01
Optical access to a single qubit is very attractive since it allows for readout with unprecedented high spectral resolution and long distance coupling. Substantial progress has been demonstrated for nitrogen-vacancy centers in diamond (Bernien, Nature, 2013). Optical access to qubits in silicon been an important goal but has to date only been achieved in the ensemble limit (Steger, Science, 2012). Here, we present the photoionization of an individual erbium dopant in silicon (Yin, Nature, 2013). A single-electron transistor is used as a single-shot charge detector to observe the resonant ionization of a single atom as a function of photon energy. This allows for optical addressing and electrical detection of individual erbium dopants with exceptionally narrow line width. The hyperfine coupling is clearly resolved which paves the way to single shot readout of the nuclear spin. This hybrid approach is a first step towards an optical interface to dopants in silicon. in collaboration with Chunming Yin, Milos Rancic, Gabriele G. de Boo, Nikolas Stavrias, Jeffrey C. McCallum, Matthew J. Sellars.
Erogbogbo, Folarin; Yong, Ken-Tye; Hu, Rui; Law, Wing-Cheung; Ding, Hong; Chang, Ching-Wen; Prasad, Paras N; Swihart, Mark T
2010-09-28
Luminescent silicon quantum dots (SiQDs) are gaining momentum in bioimaging applications, based on their unique combination of optical properties and biocompatibility. Here, we report the development of a multimodal probe that combines the optical properties of silicon quantum dots with the superparamagnetic properties of iron oxide nanoparticles to create biocompatible magnetofluorescent nanoprobes. Multiple nanoparticles of each type are coencapsulated within the hydrophobic core of biocompatible phospholipid-polyethyleneglycol (DSPE-PEG) micelles. The size distribution and composition of the magnetofluorescent nanoprobes were characterized by transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDS). Enhanced cellular uptake of these probes in the presence of a magnetic field was demonstrated in vitro. Their luminescence stability in a prostate cancer tumor model microenvironment was demonstrated in vivo. This paves the way for multimodal silicon quantum-dot-based nanoplatforms for a variety of imaging and delivery applications.
The role of heat transfer in strip casting
NASA Astrophysics Data System (ADS)
Misra, Paretosh
The last few years have witnessed rapid developments in the area of strip casting of steel. It involves smaller capital and operating cost, lower greenhouse gas emissions, and an opportunity to create newer products due to a faster solidification rate that leads to a different solidification structure. Thus, ample reasons for interest in the technology exist. At the same time, it needs to be determined if the properties of a strip cast product can match those of a conventional product and if it is possible to produce steel strip at high production rates. The first objective of this work was to characterize the quality, structure, and properties of strip cast material of different chemistries and cast at different machines, to identify the critical operating conditions that would result in the best properties. Determination of the possible range of properties was also aimed, given that the structure of the material is different from the traditional material. The second objective was to investigate ways to increase the rate of heat transfer in strip casting, as that will also enhance the productivity of a strip caster. It was also envisaged to see what effect a high rate of heat transfer will have on the properties of the strip cast material. Results from the strip cast material characterization that was carried out to achieve the first objective indicated that an effective control of heat transfer is very important to get the best properties. Samples that showed best properties had a uniform solidification structure consisting of columnar grains running from the edge of a strip to the centerline, indicating a good control of heat transfer, and their dendrite spacings pointed towards a relatively faster rate of cooling between the rolls. These findings indicated that heat transfer is a core issue in strip casting. The mechanism of increase in the rate of heat transfer in strip casting due to the presence of liquid oxide films at the metal-mold interface was examined
Graphene-coated coupling coil for AC resistance reduction
Miller, John M
2014-03-04
At least one graphene layer is formed to laterally surround a tube so that the basal plane of each graphene layer is tangential to the local surface of the tube on which the graphene layer is formed. An electrically conductive path is provided around the tube for providing high conductivity electrical path provided by the basal plane of each graphene layer. The high conductivity path can be employed for high frequency applications such as coupling coils for wireless power transmission to overcome skin depth effects and proximity effects prevalent in high frequency alternating current paths.
This patent describes a low offset AC correlator avoids DC offset and low frequency noise by frequency operating the correlation signal so that low...noise, low level AC amplification can be substituted for DC amplification. Subsequently, the high level AC signal is demodulated to a DC level. (Author)
High temperature material interactions of thermoelectric systems using silicon germanium.
NASA Technical Reports Server (NTRS)
Stapfer, G.; Truscello, V. C.
1973-01-01
The efficient use of silicon germanium thermoelectric material for radioisotope thermoelectric generators (RTG) is achieved by operation at relatively high temperatures. The insulation technique which is most appropriate for this application uses multiple layers of molybdenum foil and astroquartz. Even so, the long term operation of these materials at elevated temperatures can cause material interaction to occur within the system. To investigate these material interactions, the Jet Propulsion Laboratory is currently testing a number of thermoelectric modules which use four silicon germanium thermoelectric couples in conjunction with the multifoil thermal insulation. The paper discusses the results of the ongoing four-couple module test program and correlates test results with those of a basic material test program.
A bipolar analog front-end integrated circuit for the SDC silicon tracker
NASA Astrophysics Data System (ADS)
Kipnis, I.; Spieler, H.; Collins, T.
1993-11-01
A low noise, low power, high bandwidth, radiation hard, silicon bipolar transistor full-custom integrated circuit (IC) containing 64 channels of analog signal processing has been developed for the SDC silicon tracker. The IC was designed and tested at LBL and was fabricated using CBIC-U2, 4 GHz f(sub T) complementary bipolar technology. Each channel contains the following functions: low noise preamplification, pulse shaping, and threshold discrimination. This is the first iteration of the production analog IC for the SDC silicon tracker. The IC is laid out to directly match the 50 micron pitch double-sided silicon strip detector. The chip measures 6.8 mm by 3.1 mm and contains 3,600 transistors. Three stages of amplification provide 180 mV/fC of gain with a 35 nsec peaking time at the comparator input. For a 14 pF detector capacitance, the equivalent noise charge is 1300 el. rms at a power consumption of 1 mW/channel from a single 3.5 V supply. With the discriminator threshold set to four times the noise level, a 16 nsec time-walk for 1.25 to 10 fC signals is achieved using a time-walk compensation network. Irradiation tests at TRIUMF to a Phi = 10(exp 14) protons/sq cm have been performed on the IC, demonstrating the radiation hardness of the complementary bipolar process.
Wooded Strips and Windbreaks in Kansas, 1981
Thomas L. Castonguay; Mark H. Hansen
1984-01-01
In 1981 wooded strips and windbreaks in Kansas coverd 336,000 acres and were more than 54,000 miles long. Wooded strips contained 300 million board feet of sawtimber and 92 million cubic feet of growing stock.
Centerline Rumble Strips Safety And Maintenance Impacts
DOT National Transportation Integrated Search
2014-09-01
Soon after implementation of centerline rumble strips in Georgia around 2005-2006, GDOT observed pavement distress and deterioration at one of the centerline rumble strips sites. As no definitive correlation has been drawn between centerline rumble s...
Urine specific gravity measurement: reagent strip versus refractometer.
Brandon, C A
1994-01-01
To compare the results of urinalysis screenings for specific gravity (SG) using the reagent strip and the refractometer. United Hospital, Grand Forks, North Dakota. United Hospital is a 384-bed teaching hospital. PRODUCT COMPARISON: The Ames Multistix 10 SG reagent strip (Miles, Inc., Elkhart, IN 46515) was compared with the TS Meter (Leica, Inc., Deerfield, IL 60015). The degree of correlation between the results produced by each method. The percentage of difference between the means of the direct strip readings and the refractometer readings was 9.68%. The percentage of difference between the means of the adjusted strip readings and the refractometer readings was 22.58%, which was significantly different. When the direct strip readings and the refractometer readings were plotted together on a graph, the points were widely scattered; this fact, and a correlation coefficient of 0.725, suggest that random error occurred in both methods. Analysis of the slope and intercept of the correlation indicated systematic error. The reagent strip method of measuring SG is accurate only in a narrow range of "average" values, and should not be used as the basis for medical diagnoses.
Duvall, Laura B.; Taghert, Paul H.
2012-01-01
The neuropeptide Pigment Dispersing Factor (PDF) is essential for normal circadian function in Drosophila. It synchronizes the phases of M pacemakers, while in E pacemakers it decelerates their cycling and supports their amplitude. The PDF receptor (PDF-R) is present in both M and subsets of E cells. Activation of PDF-R stimulates cAMP increases in vitro and in M cells in vivo. The present study asks: What is the identity of downstream signaling components that are associated with PDF receptor in specific circadian pacemaker neurons? Using live imaging of intact fly brains and transgenic RNAi, we show that adenylate cyclase AC3 underlies PDF signaling in M cells. Genetic disruptions of AC3 specifically disrupt PDF responses: they do not affect other Gs-coupled GPCR signaling in M cells, they can be rescued, and they do not represent developmental alterations. Knockdown of the Drosophila AKAP-like scaffolding protein Nervy also reduces PDF responses. Flies with AC3 alterations show behavioral syndromes consistent with known roles of M pacemakers as mediated by PDF. Surprisingly, disruption of AC3 does not alter PDF responses in E cells—the PDF-R(+) LNd. Within M pacemakers, PDF-R couples preferentially to a single AC, but PDF-R association with a different AC(s) is needed to explain PDF signaling in the E pacemakers. Thus critical pathways of circadian synchronization are mediated by highly specific second messenger components. These findings support a hypothesis that PDF signaling components within target cells are sequestered into “circadian signalosomes,” whose compositions differ between E and M pacemaker cell types. PMID:22679392
Ivanoff, Chris S; Wu, Jie Jayne; Mirzajani, Hadi; Cheng, Cheng; Yuan, Quan; Kevorkyan, Stepan; Gaydarova, Radostina; Tomlekova, Desislava
2016-10-01
AC electrokinetics (ACEK) has been shown to deliver certain drugs into human teeth more effectively than diffusion. However, using electrical wires to power intraoral ACEK devices poses risks to patients. The study demonstrates a novel interdigitated electrode arrays (IDE) assembly powered by inductive coupling to induce ACEK effects at appropriate frequencies to motivate drugs wirelessly. A signal generator produces the modulating signal, which multiplies with the carrier signal to produce the amplitude modulated (AM) signal. The AM signal goes through the inductive link to appear on the secondary coil, then rectified and filtered to dispose of its carrier signal, and the positive half of the modulating signal appears on the load. After characterizing the device, the device is validated under light microscopy by motivating carboxylate-modified microspheres, tetracycline, acetaminophen, benzocaine, lidocaine and carbamide peroxide particles with induced ACEK effects. The assembly is finally tested in a common dental bleaching application. After applying 35 % carbamide peroxide to human teeth topically or with the IDE at 1200 Hz, 5 Vpp for 20 min, spectrophotometric analysis showed that compared to diffusion, the IDE enhanced whitening in specular optic and specular optic excluded modes by 215 % and 194 % respectively. Carbamide peroxide absorbance by the ACEK group was two times greater than diffusion as measured by colorimetric oxidation-reduction and UV-Vis spectroscopy at 550 nm. The device motivates drugs of variable molecular weight and structure wirelessly. Wireless transport of drugs to intraoral targets under ACEK effects may potentially improve the efficacy and safety of drug delivery in dentistry.
Enhancement Of Water-Jet Stripping Of Foam
NASA Technical Reports Server (NTRS)
Cosby, Steven A.; Shockney, Charles H.; Bates, Keith E.; Shalala, John P.; Daniels, Larry S.
1995-01-01
Improved robotic high-pressure-water-jet system strips foam insulation from parts without removing adjacent coating materials like paints, primers, and sealants. Even injects water into crevices and blind holes to clean out foam, without harming adjacent areas. Eliminates both cost of full stripping and recoating and problem of disposing of toxic solutions used in preparation for coating. Developed for postflight refurbishing of aft skirts of booster rockets. System includes six-axis robot provided with special end effector and specially written control software, called Aftfoam. Adaptable to cleaning and stripping in other industrial settings.
Micro-strip sensors based on CVD diamond
NASA Astrophysics Data System (ADS)
Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration
2000-10-01
In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.
Khalifa, Marouan; Hajji, Messaoud; Ezzaouia, Hatem
2012-08-08
Porous silicon has been prepared using a vapor-etching based technique on a commercial silicon powder. Strong visible emission was observed in all samples. Obtained silicon powder with a thin porous layer at the surface was subjected to a photo-thermal annealing at different temperatures under oxygen atmosphere followed by a chemical treatment. Inductively coupled plasma atomic emission spectrometry results indicate that silicon purity is improved from 99.1% to 99.994% after annealing at 900°C.
Towards nanometer-spaced silicon contacts to proteins
NASA Astrophysics Data System (ADS)
Schukfeh, Muhammed I.; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc
2016-03-01
A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.
Yan, Guang; Xu, Qingfang; Anissimov, Yuri G; Hao, Jinsong; Higuchi, William I; Li, S Kevin
2008-03-01
As a continuing effort to understand the mechanisms of alternating current (AC) transdermal iontophoresis and the iontophoretic transport pathways in the stratum corneum (SC), the objectives of the present study were to determine the interplay of AC frequency, AC voltage, and iontophoretic transport of ionic and neutral permeants across human epidermal membrane (HEM) and use AC as a means to characterize the transport pathways. Constant AC voltage iontophoresis experiments were conducted with HEM in 0.10 M tetraethyl ammonium pivalate (TEAP). AC frequencies ranging from 0.0001 to 25 Hz and AC applied voltages of 0.5 and 2.5 V were investigated. Tetraethyl ammonium (TEA) and arabinose (ARA) were the ionic and neutral model permeants, respectively. In data analysis, the logarithm of the permeability coefficients of HEM for the model permeants was plotted against the logarithm of the HEM electrical resistance for each AC condition. As expected, linear correlations between the logarithms of permeability coefficients and the logarithms of resistances of HEM were observed, and the permeability data were first normalized and then compared at the same HEM electrical resistance using these correlations. Transport enhancement of the ionic permeant was significantly larger than that of the neutral permeant during AC iontophoresis. The fluxes of the ionic permeant during AC iontophoresis of 2.5 V in the frequency range from 5 to 1,000 Hz were relatively constant and were approximately 4 times over those of passive transport. When the AC frequency decreased from 5 to 0.001 Hz at 2.5 V, flux enhancement increased to around 50 times over passive transport. While the AC frequency for achieving the full effect of iontophoretic enhancement at low AC frequency was lower than anticipated, the frequency for approaching passive diffusion transport at high frequency was higher than expected from the HEM morphology. These observations are consistent with a transport model of multiple
DOE Office of Scientific and Technical Information (OSTI.GOV)
Havrilla, George Joseph; McIntosh, Kathryn Gallagher; Judge, Elizabeth
2016-10-20
Feasibility tests were conducted using femtosecond and nanosecond laser ablation inductively coupled plasma mass spectrometry for rapid uranium isotopic measurements. The samples used in this study consisted of a range of pg quantities of known 235/238 U solutions as dried spot residues of 300 pL drops on silicon substrates. The samples spanned the following enrichments of 235U: 0.5, 1.5, 2, 3, and 15.1%. In this direct comparison using these particular samples both pulse durations demonstrated near equivalent data can be produced on either system with respect to accuracy and precision. There is no question that either LA-ICP-MS method offers themore » potential for rapid, accurate and precise isotopic measurements of U10Mo materials whether DU, LEU or HEU. The LA-ICP-MS equipment used for this work is commercially available. The program is in the process of validating this work for large samples using center samples strips from Y-12 MP-1 LEU-Mo Casting #1.« less
In vitro and in vivo evaluation of diamond-coated strips.
Lione, Roberta; Gazzani, Francesca; Pavoni, Chiara; Guarino, Stefano; Tagliaferri, Vincenzo; Cozza, Paola
2017-05-01
To test in vitro and in vivo the wear performance of diamond-coated strips by means of tribological testing and scanning electronic microscope (SEM). To evaluate the in vitro wear performance, a tribological test was performed by a standard tribometer. The abrasive strips slid against stationary, freshly extracted premolars fixed in resin blocks, at a 2-newton load. At the end of the tribological test, the residual surface of the strip was observed by means of SEM analysis, which was performed every 50 meters until reaching 300 meters. For the in vivo analysis, the strip was used for 300 seconds, corresponding to 250 meters. The strips presented a fenestrated structure characterized by diamond granules alternating with voids. After the first 50 meters, it was possible to observe tooth material deposited on the surface of the strips and a certain number of abrasive grains detached. The surface of the strip after 250 meters appeared smoother and therefore less effective in its abrasive power. After 300 seconds of in vivo utilization of the strip, it was possible to observe the detachment of diamond abrasive grains, the near absence of the grains and, therefore, loss of abrasive power. Under ideal conditions, after 5 minutes (30 meters) of use, the strip loses its abrasive capacity by about 60%. In vivo, a more rapid loss of abrasive power was observed due to the greater load applied by the clinician in forcing the strip into the contact point.
NASA Astrophysics Data System (ADS)
Kase, Aina; Akagi, Fumiko; Yoshida, Kazuetsu
2018-05-01
Microwave assisted magnetic recording (MAMR) is a promising recording method for achieving high recording densities in hard disk drives. In MAMR, the AC field from a spin-torque oscillator (STO) assists the head field with magnetization reversal in a medium. Therefore, the relationship between the head field and the AC field is very important. In this study, the effects of the head field and the AC field on magnetization reversal were analyzed using a micromagnetic simulator that takes the magnetic interactions between a single-pole type (SPT) write-head, an exchange coupled composite (ECC) medium, and the STO into account. As a result, the magnetization reversal was assisted not just by the y-component of the AC field (Hstoy) but also by the y-component of the head field (Hhy) in the medium. The Hhy over 100 kA/m with a frequency of about 15.5 GHz induced the magnetic resonance. The large Hhy was produced by the field from the STO to the SPT head.
Mechanical stability of the CMS strip tracker measured with a laser alignment system
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sirunyan, A. M.; Tumasyan, A.; Adam, W.
Here, the CMS tracker consists of 206 m 2 of silicon strip sensors assembled on carbon fibre composite structures and is designed for operation in the temperature range from –25 to +25°C. The mechanical stability of tracker components during physics operation was monitored with a few μm resolution using a dedicated laser alignment system as well as particle tracks from cosmic rays and hadron-hadron collisions. During the LHC operational period of 2011–2013 at stable temperatures, the components of the tracker were observed to experience relative movements of less than 30μm. In addition, temperature variations were found to cause displacements ofmore » tracker structures of about 2μm°C, which largely revert to their initial positions when the temperature is restored to its original value.« less
Mechanical stability of the CMS strip tracker measured with a laser alignment system
Sirunyan, A. M.; Tumasyan, A.; Adam, W.; ...
2017-04-21
Here, the CMS tracker consists of 206 m 2 of silicon strip sensors assembled on carbon fibre composite structures and is designed for operation in the temperature range from –25 to +25°C. The mechanical stability of tracker components during physics operation was monitored with a few μm resolution using a dedicated laser alignment system as well as particle tracks from cosmic rays and hadron-hadron collisions. During the LHC operational period of 2011–2013 at stable temperatures, the components of the tracker were observed to experience relative movements of less than 30μm. In addition, temperature variations were found to cause displacements ofmore » tracker structures of about 2μm°C, which largely revert to their initial positions when the temperature is restored to its original value.« less
Characterisation of irradiated thin silicon sensors for the CMS phase II pixel upgrade
Adam, W.; Bergauer, T.; Brondolin, E.; ...
2017-08-22
The high luminosity upgrade of the Large Hadron Collider, foreseen for 2026, necessitates the replacement of the CMS experiment’s silicon tracker. The innermost layer of the new pixel detector will be exposed to severe radiation, corresponding to a 1 MeV neutron equivalent fluence of up tomore » $$\\Phi _{eq} = 2 \\times 10^{16}$$ cm$$^{-2}$$ , and an ionising dose of $${\\approx } 5$$ MGy after an integrated luminosity of 3000 fb$$^{-1}$$ . Thin, planar silicon sensors are good candidates for this application, since the degradation of the signal produced by traversing particles is less severe than for thicker devices. Here in this article, the results obtained from the characterisation of 100 and 200 μm thick p-bulk pad diodes and strip sensors irradiated up to fluences of $$\\Phi _{eq} = 1.3 \\times 10^{16}$$ cm$$^{-2}$$ are shown.« less
SiN-assisted polarization-insensitive multicore fiber to silicon photonics interface
NASA Astrophysics Data System (ADS)
Poulopoulos, Giannis N.; Kalavrouziotis, Dimitrios; Mitchell, Paul; Macdonald, John R.; Bakopoulos, Paraskevas; Avramopoulos, Hercules
2015-06-01
We demonstrate a polarization-insensitive coupler interfacing multicore-fiber (MCF) to silicon waveguides. It comprises a 3D glass fanout transforming the circular MCF core-arrangement to linear and performing initial tapering, followed by a Spot-Size-Converter on the silicon chip. Glass waveguides are formed of multiple overlapped modification elements and appropriate offsetting thereof yields tapers with symmetric cross-section. The Spot-Size-Converter is an inverselytapered silicon waveguide with a tapered polymer overcladding where light is initially coupled, whereas phase-matching gradually shifts it towards the silicon core. Co-design of the glass fanout and Spot-Size-Converter obtains theoretical loss below 1dB for the overall Si-to-MCF transition in both polarizations.
Comparing reliabilities of strip and conventional patch testing.
Dickel, Heinrich; Geier, Johannes; Kreft, Burkhard; Pfützner, Wolfgang; Kuss, Oliver
2017-06-01
The standardized protocol for performing the strip patch test has proven to be valid, but evidence on its reliability is still missing. To estimate the parallel-test reliability of the strip patch test as compared with the conventional patch test. In this multicentre, prospective, randomized, investigator-blinded reliability study, 132 subjects were enrolled. Simultaneous duplicate strip and conventional patch tests were performed with the Finn Chambers ® on Scanpor ® tape test system and the patch test preparations nickel sulfate 5% pet., potassium dichromate 0.5% pet., and lanolin alcohol 30% pet. Reliability was estimated by the use of Cohen's kappa coefficient. Parallel-test reliability values of the three standard patch test preparations turned out to be acceptable, with slight advantages for the strip patch test. The differences in reliability were 9% (95%CI: -8% to 26%) for nickel sulfate and 23% (95%CI: -16% to 63%) for potassium dichromate, both favouring the strip patch test. The standardized strip patch test method for the detection of allergic contact sensitization in patients with suspected allergic contact dermatitis is reliable. Its application in routine clinical practice can be recommended, especially if the conventional patch test result is presumably false negative. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
Ultrafast Silicon Photonics with Visible to Mid-Infrared Pumping of Silicon Nanocrystals
DOE Office of Scientific and Technical Information (OSTI.GOV)
Diroll, Benjamin T.; Schramke, Katelyn S.; Guo, Peijun
Dynamic optical control of infrared (IR) transparency and refractive index is achieved using boron-doped silicon nanocrystals excited with mid-IR optical pulses. Also, unlike previous silicon-based optical switches, large changes in transmittance are achieved without a fabricated structure by exploiting strong light coupling of the localized surface plasmon resonance (LSPR) produced from free holes of p-type silicon nanocrystals. The choice of optical excitation wavelength allows selectivity between hole heating and carrier generation through intraband or interband photoexcitation, respectively. Mid-IR optical pumping heats the free holes of p-Si nanocrystals to effective temperatures greater than 3500 K. Increases of the hole effective massmore » at high effective hole temperatures lead to a sub-picosecond change of the dielectric function resulting in a redshift of the LSPR, modulating mid-IR transmission by as much as 27% and increasing the index of refraction by more than 0.1 in the mid-IR. Low hole heat capacity dictates sub-picosecond hole cooling, substantially faster than carrier recombination, and negligible heating of the Si lattice, permitting mid-IR optical switching at terahertz repetition frequencies. Further, the energetic distribution of holes at high effective temperatures partially reverses the Burstein-Moss effect, permitting modulation of transmittance at telecommunications wavelengths. Lastly, the results presented here show that doped silicon, particularly in micro- or nanostructures, is a promising dynamic metamaterial for ultrafast IR photonics.« less
Ultrafast Silicon Photonics with Visible to Mid-Infrared Pumping of Silicon Nanocrystals
Diroll, Benjamin T.; Schramke, Katelyn S.; Guo, Peijun; ...
2017-09-11
Dynamic optical control of infrared (IR) transparency and refractive index is achieved using boron-doped silicon nanocrystals excited with mid-IR optical pulses. Also, unlike previous silicon-based optical switches, large changes in transmittance are achieved without a fabricated structure by exploiting strong light coupling of the localized surface plasmon resonance (LSPR) produced from free holes of p-type silicon nanocrystals. The choice of optical excitation wavelength allows selectivity between hole heating and carrier generation through intraband or interband photoexcitation, respectively. Mid-IR optical pumping heats the free holes of p-Si nanocrystals to effective temperatures greater than 3500 K. Increases of the hole effective massmore » at high effective hole temperatures lead to a sub-picosecond change of the dielectric function resulting in a redshift of the LSPR, modulating mid-IR transmission by as much as 27% and increasing the index of refraction by more than 0.1 in the mid-IR. Low hole heat capacity dictates sub-picosecond hole cooling, substantially faster than carrier recombination, and negligible heating of the Si lattice, permitting mid-IR optical switching at terahertz repetition frequencies. Further, the energetic distribution of holes at high effective temperatures partially reverses the Burstein-Moss effect, permitting modulation of transmittance at telecommunications wavelengths. Lastly, the results presented here show that doped silicon, particularly in micro- or nanostructures, is a promising dynamic metamaterial for ultrafast IR photonics.« less
ESR Experiments on a Single Donor Electron in Isotopically Enriched Silicon
NASA Astrophysics Data System (ADS)
Tracy, Lisa; Luhman, Dwight; Carr, Stephen; Borchardt, John; Bishop, Nathaniel; Ten Eyck, Gregory; Pluym, Tammy; Wendt, Joel; Witzel, Wayne; Blume-Kohout, Robin; Nielsen, Erik; Lilly, Michael; Carroll, Malcolm
In this talk we will discuss electron spin resonance experiments in single donor silicon qubit devices fabricated at Sandia National Labs. A self-aligned device structure consisting of a polysilicon gate SET located adjacent to the donor is used for donor electron spin readout. Using a cryogenic HEMT amplifier next to the silicon device, we demonstrate spin readout at 100 kHz bandwidth and Rabi oscillations with 0.96 visibility. Electron spin resonance measurements on these devices show a linewidth of 30 kHz and coherence times T2* = 10 us and T2 = 0.3 ms. We also discuss estimates of the fidelity of our donor electron spin qubit measurements using gate set tomography. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000. ESR Experiments on a Single Donor Electron in Isotopically Enriched Silicon.
2012-01-01
Porous silicon has been prepared using a vapor-etching based technique on a commercial silicon powder. Strong visible emission was observed in all samples. Obtained silicon powder with a thin porous layer at the surface was subjected to a photo-thermal annealing at different temperatures under oxygen atmosphere followed by a chemical treatment. Inductively coupled plasma atomic emission spectrometry results indicate that silicon purity is improved from 99.1% to 99.994% after annealing at 900°C. PMID:22873706
Stripping voltammetry in environmental and food analysis.
Brainina, K Z; Malakhova, N A; Stojko, N Y
2000-10-01
The review covers over 230 papers published mostly in the last 5 years. The goal of the review is to attract the attention of researchers and users to stripping voltammetry in particular, its application in environmental monitoring and analysis of foodstuffs. The sensors employed are impregnated graphite, carbon paste, thick film carbon/graphite and thin film metallic electrodes modified in-situ or beforehand. Hanging mercury drop electrodes and mercury coated glassy carbon electrodes are also mentioned. Strip and long-lived sensors for portable instruments and flow through systems are discussed as devices for future development and application of stripping voltammetry.
NASA Astrophysics Data System (ADS)
Awai, Ikuo
A new comprehensive method to suppress the spurious modes in a BPF is proposed taking the multi-strip resonator BPF as an example. It consists of disturbing the resonant frequency, coupling coefficient and external Q of the higher-order modes at the same time. The designed example has shown an extraordinarily good out-of-band response in the computer simulation.
NASA Astrophysics Data System (ADS)
Adloff, C.; Blaha, J.; Blaising, J.-J.; Drancourt, C.; Espargilière, A.; Gaglione, R.; Geffroy, N.; Karyotakis, Y.; Prast, J.; Vouters, G.; Bilki, B.; Francis, K.; Repond, J.; Smith, J.; Xia, L.; Baldolemar, E.; Li, J.; Park, S. T.; Sosebee, M.; White, A. P.; Yu, J.; Buanes, T.; Eigen, G.; Mikami, Y.; Watson, N. K.; Mavromanolakis, G.; Thomson, M. A.; Ward, D. R.; Yan, W.; Benchekroun, D.; Hoummada, A.; Khoulaki, Y.; Benyamna, M.; Cârloganu, C.; Fehr, F.; Gay, P.; Manen, S.; Royer, L.; Blazey, G. C.; Boona, S.; Chakraborty, D.; Dyshkant, A.; Hedin, D.; Lima, J. G. R.; Powell, J.; Rykalin, V.; Scurti, N.; Smith, M.; Tran, N.; Zutshi, V.; Hostachy, J.-Y.; Morin, L.; Cornett, U.; David, D.; Dietrich, J.; Falley, G.; Gadow, K.; Göttlicher, P.; Günter, C.; Hermberg, B.; Karstensen, S.; Krivan, F.; Lucaci-Timoce, A.-I.; Lu, S.; Lutz, B.; Marchesini, I.; Morozov, S.; Morgunov, V.; Reinecke, M.; Sefkow, F.; Smirnov, P.; Terwort, M.; Vargas-Trevino, A.; Feege, N.; Garutti, E.; Eckert, P.; Kaplan, A.; Schultz-Coulon, H.-Ch; Shen, W.; Stamen, R.; Tadday, A.; Norbeck, E.; Onel, Y.; Wilson, G. W.; Kawagoe, K.; Uozumi, S.; Dauncey, P. D.; Magnan, A.-M.; Bartsch, V.; Wing, M.; Salvatore, F.; Calvo Alamillo, E.; Fouz, M.-C.; Puerta-Pelayo, J.; Bobchenko, B.; Chadeeva, M.; Danilov, M.; Epifantsev, A.; Markin, O.; Mizuk, R.; Novikov, E.; Rusinov, V.; Tarkovsky, E.; Kirikova, N.; Kozlov, V.; Soloviev, Y.; Buzhan, P.; Dolgoshein, B.; Ilyin, A.; Kantserov, V.; Kaplin, V.; Karakash, A.; Popova, E.; Smirnov, S.; Frey, A.; Kiesling, C.; Seidel, K.; Simon, F.; Soldner, C.; Weuste, L.; Bonis, J.; Bouquet, B.; Callier, S.; Cornebise, P.; Doublet, Ph; Dulucq, F.; Faucci Giannelli, M.; Fleury, J.; Li, H.; Martin-Chassard, G.; Richard, F.; de la Taille, Ch; Pöschl, R.; Raux, L.; Seguin-Moreau, N.; Wicek, F.; Anduze, M.; Boudry, V.; Brient, J.-C.; Jeans, D.; Mora de Freitas, P.; Musat, G.; Reinhard, M.; Ruan, M.; Videau, H.; Bulanek, B.; Zacek, J.; Cvach, J.; Gallus, P.; Havranek, M.; Janata, M.; Kvasnicka, J.; Lednicky, D.; Marcisovsky, M.; Polak, I.; Popule, J.; Tomasek, L.; Tomasek, M.; Ruzicka, P.; Sicho, P.; Smolik, J.; Vrba, V.; Zalesak, J.; Belhorma, B.; Ghazlane, H.; Takeshita, T.
2012-04-01
A prototype module for an International Linear Collider (ILC) detector was built, installed, and tested between 2006 and 2009 at CERN and Fermilab as part of the CALICE test beam program, in order to study the possibilities of extending energy sampling behind a hadronic calorimeter and to study the possibilities of providing muon tracking. The ``tail catcher/muon tracker'' (TCMT) is composed of 320 extruded scintillator strips (dimensions 1000 × 50 × 5 mm3) packaged in 16 one-meter square planes interleaved between steel plates. The scintillator strips were read out with wavelength shifting fibers and silicon photomultipliers. The planes were arranged with alternating horizontal and vertical strip orientations. Data were collected for muons and pions in the energy range 6 GeV to 80 GeV. Utilizing data taken in 2006, this paper describes the design and construction of the TCMT, performance characteristics, and a beam-based evaluation of the ability of the TCMT to improve hadronic energy resolution in a prototype ILC detector. For a typical configuration of an ILC detector with a coil situated outside a calorimeter system with a thickness of 5.5 nuclear interaction lengths, a TCMT would improve relative energy resolution by 6-16% for pions between 20 and 80 GeV.
Development of Rust Stripping System using High Power Laser
NASA Astrophysics Data System (ADS)
Shirakawa, Kazuomi; Ohashi, Katsuaki; Ashidate, Shuichi; Kurosawa, Kiyoshi; Nakayama, Michio; Uchida, Yutaka; Nobusada, Yuuji
The repainting cycle depends on removal of rust in maintenance of outdoor steel-frame structural facilities. However existing stripping process, which is usually made by hands with brushes, cannot strip the rust completely in maintenance of power transmission towers, for example. To solve this problem, we investigated laser fluence and pulse width for removal of rust using DPSSL (Diode Pumped Solid State Laser), and selected optimum laser supply. Then we checked the effect of laser stripping on prolongation of the repainting cycle compared with the conventional stripping process. Utilizing results of the research, we developed rust stripping system using DPSSL. From the results of field trial of rust removal operation using this system at high places of a power transmission tower, possibility of practical use of the system for the maintenance was confirmed.
High-Rate Capable Floating Strip Micromegas
NASA Astrophysics Data System (ADS)
Bortfeldt, Jonathan; Bender, Michael; Biebel, Otmar; Danger, Helge; Flierl, Bernhard; Hertenberger, Ralf; Lösel, Philipp; Moll, Samuel; Parodi, Katia; Rinaldi, Ilaria; Ruschke, Alexander; Zibell, André
2016-04-01
We report on the optimization of discharge insensitive floating strip Micromegas (MICRO-MEsh GASeous) detectors, fit for use in high-energy muon spectrometers. The suitability of these detectors for particle tracking is shown in high-background environments and at very high particle fluxes up to 60 MHz/cm2. Measurement and simulation of the microscopic discharge behavior have demonstrated the excellent discharge tolerance. A floating strip Micromegas with an active area of 48 cm × 50 cm with 1920 copper anode strips exhibits in 120 GeV pion beams a spatial resolution of 50 μm at detection efficiencies above 95%. Pulse height, spatial resolution and detection efficiency are homogeneous over the detector. Reconstruction of particle track inclination in a single detector plane is discussed, optimum angular resolutions below 5° are observed. Systematic deviations of this μTPC-method are fully understood. The reconstruction capabilities for minimum ionizing muons are investigated in a 6.4 cm × 6.4 cm floating strip Micromegas under intense background irradiation of the whole active area with 20 MeV protons at a rate of 550 kHz. The spatial resolution for muons is not distorted by space charge effects. A 6.4 cm × 6.4 cm floating strip Micromegas doublet with low material budget is investigated in highly ionizing proton and carbon ion beams at particle rates between 2 MHz and 2 GHz. Stable operation up to the highest rates is observed, spatial resolution, detection efficiencies, the multi-hit and high-rate capability are discussed.
ac Stark-mediated quantum control with femtosecond two-color laser pulses
DOE Office of Scientific and Technical Information (OSTI.GOV)
Serrat, Carles
2005-11-15
A critical dependence of the quantum interference on the optical Stark spectral shift produced when two-color laser pulses interact with a two-level medium is observed. The four-wave mixing of two ultrashort phase-locked {omega}-3{omega} laser pulses propagating coherently in a two-level system depends on the pulses' relative phase. The phase dominating the efficiency of the coupling to the anti-Stokes Raman component is found to be determined by the sign of the total ac Stark shift induced in the system, in such a way that the phase sensitivity disappears precisely where the ac Stark effect due to both pulses is compensated. Amore » coherent control scheme based on this phenomenon can be contemplated as the basis for nonlinear optical spectroscopy techniques.« less
NASA Astrophysics Data System (ADS)
Steglich, Martin; Käsebier, Thomas; Kley, Ernst-Bernhard; Tünnermann, Andreas
2016-09-01
Thanks to its high quality and low cost, silicon is the material of choice for optical devices operating in the mid-infrared (MIR; 2 μm to 6 μm wavelength). Unfortunately in this spectral region, the refractive index is comparably high (about 3.5) and leads to severe reflection losses of about 30% per interface. In this work, we demonstrate that self-organized, statistical Black Silicon structures, fabricated by Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE), can be used to effectively suppress interface reflection. More importantly, it is shown that antireflection can be achieved in an image-preserving, non-scattering way. This enables Black Silicon antireflection structures (ARS) for imaging applications in the MIR. It is demonstrated that specular transmittances of 97% can be easily achieved on both flat and curved substrates, e.g. lenses. Moreover, by a combined optical and morphological analysis of a multitude of different Black Silicon ARS, an effective medium criterion for the examined structures is derived that can also be used as a design rule for maximizing sample transmittance in a desired wavelength range. In addition, we show that the mechanical durability of the structures can be greatly enhanced by coating with hard dielectric materials like diamond-like carbon (DLC), hence enabling practical applications. Finally, the distinct advantages of statistical Black Silicon ARS over conventional AR layer stacks are discussed: simple applicability to topological substrates, absence of thermal stress and cost-effectiveness.
NASA Astrophysics Data System (ADS)
Lechner, P.; Eckhard, R.; Fiorini, C.; Gola, A.; Longoni, A.; Niculae, A.; Peloso, R.; Soltau, H.; Strüder, L.
2008-07-01
Silicon Drift Detectors (SDDs) are used as low-capacitance photon detectors for the optical light emitted by scintillators. The scintillator crystal is directly coupled to the SDD entrance window. The entrance window's transmittance can be optimized for the scintillator characteristic by deposition of a wavelength-selective anti-reflective coating. Compared to conventional photomultiplier tubes the SDD readout offers improved energy resolution and avoids the practical problems of incompatibility with magnetic fields, instrument volume and requirement of high voltage. A compact imaging spectrometer for hard X-rays and γ-rays has been developed by coupling a large area (29 × 26 mm2) monolithic SDD array with 77 hexagonal cells to a single non-structured CsI-scintillator of equal size. The scintillation light generated by the absorption of an energetic photon is seen by a number of detector cells and the position of the photon interaction is reconstructed by the centroid method. The measured spatial resolution of the system (<= 500 μm) is considerably smaller than the SDD cell size (3.2 mm) and in the order required at the focal plane of high energy missions. The energy information is obtained by summing the detector cell signals. Compared to direct converting pixelated detectors, e.g. CdTe with equal position resolution the scintillator-SDD combination requires a considerably lower number of readout channels. In addition it has the advantages of comprehensive material experience, existing technologies, proven long term stability, and practically unlimited availability of high quality material.
Shim, Euijae; Chen, Yu; Masmanidis, Sotiris; Li, Mo
2016-03-04
Optimal optogenetic perturbation of brain circuit activity often requires light delivery in a precise spatial pattern that cannot be achieved with conventional optical fibers. We demonstrate an implantable silicon-based probe with a compact light delivery system, consisting of silicon nitride waveguides and grating couplers for out-of-plane light emission with high spatial resolution. 473 nm light is coupled into and guided in cm-long waveguide and emitted at the output grating coupler. Using the direct cut-back and out-scattering measurement techniques, the propagation optical loss of the waveguide is measured to be below 3 dB/cm. The grating couplers provide collimated light emission with sufficient irradiance for neural stimulation. Finally, a probe with multisite light delivery with three output grating emitters from a single laser input is demonstrated.
Several fluidic tuned AC Amplifiers were designed and tested. Interstage tuning and feedback designs are considered. Good results were obtained...corresponding Q’s as high as 12. Element designs and test results of one, two, and three stage amplifiers are presented. AC Modulated Carrier Systems
High-field Overhauser dynamic nuclear polarization in silicon below the metal-insulator transition.
Dementyev, Anatoly E; Cory, David G; Ramanathan, Chandrasekhar
2011-04-21
Single crystal silicon is an excellent system to explore dynamic nuclear polarization (DNP), as it exhibits a continuum of properties from metallic to insulating as a function of doping concentration and temperature. At low doping concentrations DNP has been observed to occur via the solid effect, while at very high-doping concentrations an Overhauser mechanism is responsible. Here we report the hyperpolarization of (29)Si in n-doped silicon crystals, with doping concentrations in the range of (1-3) × 10(17) cm(-3). In this regime exchange interactions between donors become extremely important. The sign of the enhancement in our experiments and its frequency dependence suggest that the (29)Si spins are directly polarized by donor electrons via an Overhauser mechanism within exchange-coupled donor clusters. The exchange interaction between donors only needs to be larger than the silicon hyperfine interaction (typically much smaller than the donor hyperfine coupling) to enable this Overhauser mechanism. Nuclear polarization enhancement is observed for a range of donor clusters in which the exchange energy is comparable to the donor hyperfine interaction. The DNP dynamics are characterized by a single exponential time constant that depends on the microwave power, indicating that the Overhauser mechanism is a rate-limiting step. Since only about 2% of the silicon nuclei are located within 1 Bohr radius of the donor electron, nuclear spin diffusion is important in transferring the polarization to all the spins. However, the spin-diffusion time is much shorter than the Overhauser time due to the relatively weak silicon hyperfine coupling strength. In a 2.35 T magnetic field at 1.1 K, we observed a DNP enhancement of 244 ± 84 resulting in a silicon polarization of 10.4 ± 3.4% following 2 h of microwave irradiation.
The readout chain for the bar PANDA MVD strip detector
NASA Astrophysics Data System (ADS)
Schnell, R.; Brinkmann, K.-Th.; Di Pietro, V.; Kleines, H.; Goerres, A.; Riccardi, A.; Rivetti, A.; Rolo, M. D.; Sohlbach, H.; Zaunick, H.-G.
2015-02-01
The bar PANDA (antiProton ANnihilation at DArmstadt) experiment will study the strong interaction in annihilation reactions between an antiproton beam and a stationary gas jet target. The detector will comprise different sub-detectors for tracking, particle identification and calorimetry. The Micro-Vertex Detector (MVD) as the innermost part of the tracking system will allow precise tracking and detection of secondary vertices. For the readout of the double-sided silicon strip sensors a custom-made ASIC is being developed, employing the Time-over-Threshold (ToT) technique for digitization and utilize time-to-digital converters (TDC) to provide a high-precision time stamp of the hit. A custom-made Module Data Concentrator ASIC (MDC) will multiplex the data of all front-ends of one sensor towards the CERN-developed GBT chip set (GigaBit Transceiver). The MicroTCA-based MVD Multiplexer Board (MMB) at the off-detector site will receive and concentrate the data from the GBT links and transfer it to FPGA-based compute nodes for global event building.
Bogoch, Isaac I; Andrews, Jason R; Dadzie Ephraim, Richard K; Utzinger, Jürg
2012-10-01
To evaluate the utility of a simple questionnaire and urine reagent strip testing for the rapid diagnosis of Schistosoma haematobium in rural northern Ghana. Cross-sectional parasitological and questionnaire survey in a community in northern Ghana. Participants provided two urine specimens that were examined under a microscope using a centrifugation method. The first urine sample was additionally subjected to reagent strip testing. A short questionnaire was administered to all participants. Microscopy of urine samples obtained from 208 individuals aged 1-77 years revealed an S. haematobium prevalence of 6.8%. The presence of any blood or protein on a urine reagent strip was 100% and 42% sensitive, and 93% and 80% specific for S. haematobium diagnosis. Questionnaires were completed by 198 individuals. Self-reported haematuria showed a sensitivity of 53% and a specificity of 85%. A dichotomous two-question panel was helpful in S. haematobium diagnosis, with working and playing near the river significantly associated with S. haematobium infection (P < 0.001). The use of urine reagent strips, coupled with questions pertaining to water contact patterns, might be considered for point-of-contact diagnosis of S. haematobium where microscopy is unavailable. © 2012 Blackwell Publishing Ltd.
A design aid for determining width of filter strips
M.G. Dosskey; M.J. Helmers; D.E. Eisenhauer
2008-01-01
watershed planners need a tool for determining width of filter strips that is accurate enough for developing cost-effective site designs and easy enough to use for making quick determinations on a large number and variety of sites.This study employed the process-based Vegetative Filter Strip Model to evaluate the relationship between filter strip width and trapping...
Strong Interlayer Magnon-Magnon Coupling in Magnetic Metal-Insulator Hybrid Nanostructures.
Chen, Jilei; Liu, Chuanpu; Liu, Tao; Xiao, Yang; Xia, Ke; Bauer, Gerrit E W; Wu, Mingzhong; Yu, Haiming
2018-05-25
We observe strong interlayer magnon-magnon coupling in an on-chip nanomagnonic device at room temperature. Ferromagnetic nanowire arrays are integrated on a 20-nm-thick yttrium iron garnet (YIG) thin film strip. Large anticrossing gaps up to 1.58 GHz are observed between the ferromagnetic resonance of the nanowires and the in-plane standing spin waves of the YIG film. Control experiments and simulations reveal that both the interlayer exchange coupling and the dynamical dipolar coupling contribute to the observed anticrossings. The coupling strength is tunable by the magnetic configuration, allowing the coherent control of magnonic devices.
Strong Interlayer Magnon-Magnon Coupling in Magnetic Metal-Insulator Hybrid Nanostructures
NASA Astrophysics Data System (ADS)
Chen, Jilei; Liu, Chuanpu; Liu, Tao; Xiao, Yang; Xia, Ke; Bauer, Gerrit E. W.; Wu, Mingzhong; Yu, Haiming
2018-05-01
We observe strong interlayer magnon-magnon coupling in an on-chip nanomagnonic device at room temperature. Ferromagnetic nanowire arrays are integrated on a 20-nm-thick yttrium iron garnet (YIG) thin film strip. Large anticrossing gaps up to 1.58 GHz are observed between the ferromagnetic resonance of the nanowires and the in-plane standing spin waves of the YIG film. Control experiments and simulations reveal that both the interlayer exchange coupling and the dynamical dipolar coupling contribute to the observed anticrossings. The coupling strength is tunable by the magnetic configuration, allowing the coherent control of magnonic devices.
Development of a hardware-based AC microgrid for AC stability assessment
NASA Astrophysics Data System (ADS)
Swanson, Robert R.
As more power electronic-based devices enable the development of high-bandwidth AC microgrids, the topic of microgrid power distribution stability has become of increased interest. Recently, researchers have proposed a relatively straightforward method to assess the stability of AC systems based upon the time-constants of sources, the net bus capacitance, and the rate limits of sources. In this research, a focus has been to develop a hardware test system to evaluate AC system stability. As a first step, a time domain model of a two converter microgrid was established in which a three phase inverter acts as a power source and an active rectifier serves as an adjustable constant power AC load. The constant power load can be utilized to create rapid power flow transients to the generating system. As a second step, the inverter and active rectifier were designed using a Smart Power Module IGBT for switching and an embedded microcontroller as a processor for algorithm implementation. The inverter and active rectifier were designed to operate simultaneously using a synchronization signal to ensure each respective local controller operates in a common reference frame. Finally, the physical system was created and initial testing performed to validate the hardware functionality as a variable amplitude and variable frequency AC system.
Nieto, Alejandra; Hou, Huiyuan; Sailor, Michael J.; Freeman, William R.; Cheng, Lingyun
2013-01-01
Porous silicon (pSi) microparticles have been investigated for intravitreal drug delivery and demonstrated good biocompatibility. With the appropriate surface chemistry, pSi can reside in vitreous for months or longer. However, ocular distribution and clearance pathway of its degradation product, silicic acid, are not well understood. In the current study, rabbit ocular tissue was collected at different time point following fresh pSi (day 1, 5, 9, 16, and 21) or oxidized pSi (day 3, 7, 14, 21, and 35) intravitreal injection. In addition, dual-probe simultaneous microdialysis of aqueous and vitreous humor was performed following a bolus intravitreal injection of 0.25 mL silicic acid (150 μg/mL) and six consecutive microdialysates were collected every 20 min. Silicon was quantified from the samples using inductively coupled plasma-optical emission spectroscopy. The study showed that following the intravitreal injection of oxidized pSi, free silicon was consistently higher in the aqueous than in the retina (8.1 ± 6.5 vs. 3.4 ± 3.9 μg/mL, p = 0.0031). The area under the concentration-time curve (AUC) of the retina was only about 24% that of the aqueous. The mean residence time was 16 days for aqueous, 13 days for vitreous, 6 days for retina, and 18 days for plasma. Similarly, following intravitreal fresh pSi, free silicon was also found higher in aqueous than in retina (7 ± 4.7 vs. 3.4 ± 4.1 μg/mL, p = 0.014). The AUC for the retina was about 50% of the AUC for the aqueous. The microdialysis revealed the terminal half-life of free silicon in the aqueous was 30 min and 92 min in the vitreous; the AUC for aqueous accounted for 38% of the AUC for vitreous. Our studies indicate that aqueous humor is a significant pathway for silicon egress from the eye following intravitreal injection of pSi crystals. PMID:24036388
Collisional stripping of planetary crusts
NASA Astrophysics Data System (ADS)
Carter, Philip J.; Leinhardt, Zoë M.; Elliott, Tim; Stewart, Sarah T.; Walter, Michael J.
2018-02-01
Geochemical studies of planetary accretion and evolution have invoked various degrees of collisional erosion to explain differences in bulk composition between planets and chondrites. Here we undertake a full, dynamical evaluation of 'crustal stripping' during accretion and its key geochemical consequences. Crusts are expected to contain a significant fraction of planetary budgets of incompatible elements, which include the major heat producing nuclides. We present smoothed particle hydrodynamics simulations of collisions between differentiated rocky planetesimals and planetary embryos. We find that the crust is preferentially lost relative to the mantle during impacts, and we have developed a scaling law based on these simulations that approximates the mass of crust that remains in the largest remnant. Using this scaling law and a recent set of N-body simulations of terrestrial planet formation, we have estimated the maximum effect of crustal stripping on incompatible element abundances during the accretion of planetary embryos. We find that on average approximately one third of the initial crust is stripped from embryos as they accrete, which leads to a reduction of ∼20% in the budgets of the heat producing elements if the stripped crust does not reaccrete. Erosion of crusts can lead to non-chondritic ratios of incompatible elements, but the magnitude of this effect depends sensitively on the details of the crust-forming melting process on the planetesimals. The Lu/Hf system is fractionated for a wide range of crustal formation scenarios. Using eucrites (the products of planetesimal silicate melting, thought to represent the crust of Vesta) as a guide to the Lu/Hf of planetesimal crust partially lost during accretion, we predict the Earth could evolve to a superchondritic 176Hf/177Hf (3-5 parts per ten thousand) at present day. Such values are in keeping with compositional estimates of the bulk Earth. Stripping of planetary crusts during accretion can lead to
NASA Astrophysics Data System (ADS)
Cristoforetti, G.; Anzalone, A.; Baffigi, F.; Bussolino, G.; D'Arrigo, G.; Fulgentini, L.; Giulietti, A.; Koester, P.; Labate, L.; Tudisco, S.; Gizzi, L. A.
2014-09-01
One of the most interesting research fields in laser-matter interaction studies is the investigation of effects and mechanisms produced by nano- or micro-structured targets, mainly devoted to the enhancing of laser-target or laser-plasma coupling. In intense and ultra-intense laser interaction regimes, the observed enhancement of x-ray plasma emission and/or hot electron conversion efficiency is explained by a variety of mechanisms depending on the dimensions and shape of the structures irradiated. In the present work, the attention is mainly focused on the lowering of the plasma formation threshold which is induced by the larger absorptivity. Flat and nanostructured silicon targets were here irradiated with an ultrashort laser pulse, in the range 1 × 1017-2 × 1018 W µm2 cm-2. The effects of structures on laser-plasma coupling were investigated at different laser pulse polarizations, by utilizing x-ray yield and 3/2ω harmonics emission. While the measured enhancement of x-ray emission is negligible at intensities larger than 1018 W µm2 cm-2, due to the destruction of the structures by the amplified spontaneous emission (ASE) pre-pulse, a dramatic enhancement, strongly dependent on pulse polarization, was observed at intensities lower than ˜3.5 × 1017 W µm2 cm-2. Relying on the three-halves harmonic emission and on the non-isotropic character of the x-ray yield, induced by the two-plasmon decay instability, the results are explained by the significant lowering of the plasma threshold produced by the nanostructures. In this view, the strong x-ray enhancement obtained by s-polarized pulses is produced by the interaction of the laser pulse with the preplasma, resulting from the interaction of the ASE pedestal with the nanostructures.
Reduced adherence of Candida to silane-treated silicone rubber.
Price, C L; Williams, D W; Waters, M G J; Coulthwaite, L; Verran, J; Taylor, R L; Stickler, D; Lewis, M A O
2005-07-01
Silicone rubber is widely used in the construction of medical devices that can provide an essential role in the treatment of human illness. However, subsequent microbial colonization of silicone rubber can result in clinical infection or device failure. The objective of this study was to determine the effectiveness of a novel silane-treated silicone rubber in inhibiting microbial adherence and material penetration. Test material was prepared by a combination of argon plasma discharge treatment and fluorinated silane coupling. Chemicophysical changes were then confirmed by X-ray photoelectron spectroscopy, contact-angle measurement, and atomic force microscopy. Two separate adherence assays and a material penetration assay assessed the performance of the new material against four strains of Candida species. Results showed a significant reduction (p < 0.01) of Candida albicans GDH 2346 adherence to silane-treated silicone compared with untreated controls. This reduction was still evident after the incorporation of saliva into the assay. Adherence inhibition also occurred with Candida tropicalis MMU and Candida krusei NCYC, although this was assay dependent. Reduced penetration of silane-treated silicone by Candida was evident when compared to untreated controls, plaster-processed silicone, and acrylic-processed silicone. To summarize, a novel silicone rubber material is described that inhibits both candidal adherence and material penetration. The clinical benefit and performance of this material remains to be determined. Copyright 2005 Wiley Periodicals, Inc.
Metal-capped silicon organic micro-ring electro-optical modulator (Conference Presentation)
NASA Astrophysics Data System (ADS)
Zaki, Aya O.; Kirah, Khaled A.; Swillam, Mohamed A.
2017-02-01
An ultra-compact hybrid plasmonic waveguide ring electro-optical modulator is designed to be easily fabricated on silicon on insulator (SOI) substrates using standard silicon photonics technology. The proposed waveguide is based on a buried standard silicon waveguide of height 220 nm topped with polymer and metal. The key advantage of this novel design is that only the silicon layer of the waveguide is structured as a coupled ring resonator. Then, the device is covered with electro-optical polymer and metal in post processes with no need for lithography or accurate mask alignment techniques. The simple fabrication method imposes many design challenges to obtain a resonator of reasonable loaded quality factor and high extinction ratio. Here, the performance of the resonator is optimized in the telecom wavelength range around 1550 nm using 3D FDTD simulations. The design of the coupling junction between the access waveguide and the tightly bent ring is thoroughly studied. The extension of the metal over the coupling region is exploited to make the critical dimension of the design geometry at least 2.5 times larger than conventional plasmonic resonators and the design is thus more robust. In this paper, we demonstrate an electro-optical modulator that offers an insertion loss < 1 dB, a modulation depth of 12 dB for an applied peak to peak voltage of only 2 V and energy consumption of 1.74 fJ/bit. The performance is superior to previously reported hybrid plasmonic ring resonator based modulators while the design shows robustness and low fabrication cost.
One-neutron stripping processes to excited states of *90Y in the 89Y(6Li,5Li )*90Y reaction
NASA Astrophysics Data System (ADS)
Zhang, G. L.; Zhang, G. X.; Hu, S. P.; Yao, Y. J.; Xiang, J. B.; Zhang, H. Q.; Lubian, J.; Ferreira, J. L.; Paes, B.; Cardozo, E. N.; Sun, H. B.; Valiente-Dobón, J. J.; Testov, D.; Goasduff, A.; John, P. R.; Siciliano, M.; Galtarossa, F.; Francesco, R.; Mengoni, D.; Bazzacco, D.; Li, E. T.; Hao, X.; Qu, W. W.
2018-01-01
The measurement of one-neutron stripping cross sections for the 89Y(6Li,5Li )*90Y reaction at 22 MeV and 34 MeV is reported, using both in-beam and off-beam γ -ray spectroscopy methods. Characteristic γ lines of 90Y are clearly identified by both the γ -γ and proton-γ coincidence methods. The obtained cross section of one-neutron stripping at 34 MeV is found to be much smaller than that at 22 MeV. The one-neutron stripping cross sections measured for this system have the same order of magnitude as the one measured for the same reaction for the 6Li+96Zr system at energies around the Coulomb barrier. Parameter-free coupled reaction channel calculations agree quite well with the experimental data. Theoretical study of the effect of the one-neutron transfer on the elastic total fusion cross section is performed.
Development and Testing of the AMEGO Silicon Tracker System
NASA Astrophysics Data System (ADS)
Griffin, Sean; Amego Team
2018-01-01
The All-sky Medium Energy Gamma-ray Observatory (AMEGO) is a probe-class mission in consideration for the 2020 decadal review designed to operate at energies from ˜ 200 keV to > 10 GeV. Operating a detector in this energy regime is challenging due to the crossover in the interaction cross-section for Compton scattering and pair production. AMEGO is made of four major subsystems: a plastic anticoincidence detector for rejecting cosmic-ray events, a silicon tracker for measuring the energies of Compton scattered electrons and pair-production products, a CZT calorimeter for measuring the energy and location of Compton scattered photons, and a CsI calorimeter for measuring the energy of the pair-production products at high energies. The tracker comprises layers of dual-sided silicon strip detectors which provide energy and localization information for Compton scattering and pair-production events. A prototype tracker system is under development at GSFC; in this contribution we provide details on the verification, packaging, and testing of the prototype tracker, as well as present plans for the development of the front-end electronics, beam tests, and a balloon flight.
Silicon nitride/silicon carbide composite powders
Dunmead, Stephen D.; Weimer, Alan W.; Carroll, Daniel F.; Eisman, Glenn A.; Cochran, Gene A.; Susnitzky, David W.; Beaman, Donald R.; Nilsen, Kevin J.
1996-06-11
Prepare silicon nitride-silicon carbide composite powders by carbothermal reduction of crystalline silica powder, carbon powder and, optionally, crystalline silicon nitride powder. The crystalline silicon carbide portion of the composite powders has a mean number diameter less than about 700 nanometers and contains nitrogen. The composite powders may be used to prepare sintered ceramic bodies and self-reinforced silicon nitride ceramic bodies.
Bio-inspired Fabrication of Complex Hierarchical Structure in Silicon.
Gao, Yang; Peng, Zhengchun; Shi, Tielin; Tan, Xianhua; Zhang, Deqin; Huang, Qiang; Zou, Chuanping; Liao, Guanglan
2015-08-01
In this paper, we developed a top-down method to fabricate complex three dimensional silicon structure, which was inspired by the hierarchical micro/nanostructure of the Morpho butterfly scales. The fabrication procedure includes photolithography, metal masking, and both dry and wet etching techniques. First, microscale photoresist grating pattern was formed on the silicon (111) wafer. Trenches with controllable rippled structures on the sidewalls were etched by inductively coupled plasma reactive ion etching Bosch process. Then, Cr film was angled deposited on the bottom of the ripples by electron beam evaporation, followed by anisotropic wet etching of the silicon. The simple fabrication method results in large scale hierarchical structure on a silicon wafer. The fabricated Si structure has multiple layers with uniform thickness of hundreds nanometers. We conducted both light reflection and heat transfer experiments on this structure. They exhibited excellent antireflection performance for polarized ultraviolet, visible and near infrared wavelengths. And the heat flux of the structure was significantly enhanced. As such, we believe that these bio-inspired hierarchical silicon structure will have promising applications in photovoltaics, sensor technology and photonic crystal devices.
Broadband moth-eye antireflection coatings on silicon
NASA Astrophysics Data System (ADS)
Sun, Chih-Hung; Jiang, Peng; Jiang, Bin
2008-02-01
We report a bioinspired templating technique for fabricating broadband antireflection coatings that mimic antireflective moth eyes. Wafer-scale, subwavelength-structured nipple arrays are directly patterned on silicon using spin-coated silica colloidal monolayers as etching masks. The templated gratings exhibit excellent broadband antireflection properties and the normal-incidence specular reflection matches with the theoretical prediction using a rigorous coupled-wave analysis (RCWA) model. We further demonstrate that two common simulation methods, RCWA and thin-film multilayer models, generate almost identical prediction for the templated nipple arrays. This simple bottom-up technique is compatible with standard microfabrication, promising for reducing the manufacturing cost of crystalline silicon solar cells.
Chest tube stripping in pediatric oncology patients: an experimental study.
Oakes, L L; Hinds, P; Rao, B; Bozeman, P; Taylor, B; Stokes, D; Fairclough, D
1993-07-01
Stripping of chest tubes to promote drainage of the thorax of postthoracotomy patients has been routine practice, based on tradition. Recent published findings indicate that significant negative pressures are generated in the tube during stripping that could cause pain, bleeding and possible damage to the patient's lung tissue. To determine whether pediatric oncology patients whose chest tubes were not stripped would differ in frequency of pain, fever or lung complications from patients who underwent routine tube stripping. Data were collected at multiple points during the first 72-hour postoperative period from 16 patients assigned to the stripped or unstripped groups. Pain was measured by the Faces Pain Scale and the Visual Analogue Scale; temperature, by electronic thermometer; and lung complications, by stethoscope and radiographs. Both groups, which were comparable for age, primary diagnosis and prior history of lung problems, received identical supportive nursing and medical care, with the physicians blind to group assignment. The two groups did not differ significantly in frequency of pain, incidence of fever, breath sounds or radiographic findings across measurement points. A strong correlation was found between the pain scores using the two instruments. Patients whose tubes were not stripped did not have an increased risk of infection or lung complications. Study findings indicated that stripping did not increase the frequency of pain. Stripping of chest tubes as a routine postoperative measure is questioned.
NASA Astrophysics Data System (ADS)
Abt, I.; Fox, H.; Moshous, B.; Richter, R. H.; Riechmann, K.; Rietz, M.; Riedl, J.; Denis, R. St; Wagner, W.
1998-02-01
Problems and solutions concerning the gluing of silicon detectors are discussed. The R & D work for the HERA- B vertex detector system led to gluing studies with epoxy and silicone-based adhesives used on ceramics and carbon fibre. The HERA- B solution using a silicone glue is presented.
Dielectric and transport properties of thin films precipitated from sols with silicon nanoparticles
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kononov, N. N., E-mail: nnk@kapella.gpi.ru; Dorofeev, S. G.; Ishchenko, A. A.
2011-08-15
Dielectric properties of thin films precipitated on solid substrates from colloidal solutions containing silicon nanoparticles (average diameter is 10 nm) are studied by optical ellipsometry and impedance-spectroscopy. In the optical region, the values of real {epsilon} Prime and imaginary {epsilon} Double-Prime components of the complex permittivity {epsilon} vary within 2.1-1.1 and 0.25-0.75, respectively. These values are significantly lower than those of crystalline silicon. Using numerical simulation within the Bruggeman effective medium approximation, we show that the experimental {epsilon} Prime and {epsilon} Double-Prime spectra can be explained with good accuracy, assuming that the silicon film is a porous medium consisting ofmore » silicon monoxide (SiO) and air voids at a void ratio of 0.5. Such behavior of films is mainly caused by the effect of outer shells of silicon nanoparticles interacting with atmospheric oxygen on their dielectric properties. In the frequency range of 10-10{sup 6} Hz, the experimentally measured {epsilon} Prime and {epsilon} Double-Prime spectra of thin nanoscale silicon films are well approximated by the semi-empirical Cole-Cole dielectric dispersion law with the term related to free electric charges. The experimentally determined power-law frequency dependence of the ac conductivity means that the electrical transport in films is controlled by electric charge hopping through localized states in the unordered medium of outer shells of silicon nanoparticles composing films. It is found that the film conductivity at frequencies of {<=}2 Multiplication-Sign 10{sup 2} Hz is controlled by proton transport through Si-OH groups on the silicon nanoparticle surface.« less