Sample records for access memory cells

  1. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells

    PubMed Central

    Pu, Y-F; Jiang, N.; Chang, W.; Yang, H-X; Li, C.; Duan, L-M

    2017-01-01

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology. PMID:28480891

  2. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells.

    PubMed

    Pu, Y-F; Jiang, N; Chang, W; Yang, H-X; Li, C; Duan, L-M

    2017-05-08

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology.

  3. Quantum random access memory.

    PubMed

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-04-25

    A random access memory (RAM) uses n bits to randomly address N=2(n) distinct memory cells. A quantum random access memory (QRAM) uses n qubits to address any quantum superposition of N memory cells. We present an architecture that exponentially reduces the requirements for a memory call: O(logN) switches need be thrown instead of the N used in conventional (classical or quantum) RAM designs. This yields a more robust QRAM algorithm, as it in general requires entanglement among exponentially less gates, and leads to an exponential decrease in the power needed for addressing. A quantum optical implementation is presented.

  4. Accessing memory

    DOEpatents

    Yoon, Doe Hyun; Muralimanohar, Naveen; Chang, Jichuan; Ranganthan, Parthasarathy

    2017-09-26

    A disclosed example method involves performing simultaneous data accesses on at least first and second independently selectable logical sub-ranks to access first data via a wide internal data bus in a memory device. The memory device includes a translation buffer chip, memory chips in independently selectable logical sub-ranks, a narrow external data bus to connect the translation buffer chip to a memory controller, and the wide internal data bus between the translation buffer chip and the memory chips. A data access is performed on only the first independently selectable logical sub-rank to access second data via the wide internal data bus. The example method also involves locating a first portion of the first data, a second portion of the first data, and the second data on the narrow external data bus during separate data transfers.

  5. Elevated-Confined Phase-Change Random Access Memory Cells

    NASA Astrophysics Data System (ADS)

    Lee; Koon, Hock; Shi; Luping; Zhao; Rong; Yang; Hongxin; Lim; Guan, Kian; Li; Jianming; Chong; Chong, Tow

    2010-04-01

    A new elevated-confined phase-change random access memory (PCRAM) cell structure to reduce power consumption was proposed. In this proposed structure, the confined phase-change region is sitting on top of a small metal column enclosed by a dielectric at the sides. Hence, more heat can be effectively sustained underneath the phase-change region. As for the conventional structure, the confined phase-change region is sitting directly above a large planar bottom metal electrode, which can easily conduct most of the induced heat away. From simulations, a more uniform temperature profile around the active region and a higher peak temperature at the phase-change layer (PCL) in an elevated-confined structure were observed. Experimental results showed that the elevated-confined PCRAM cell requires a lower programming power and has a better scalability than a conventional confined PCRAM cell.

  6. Atomic memory access hardware implementations

    DOEpatents

    Ahn, Jung Ho; Erez, Mattan; Dally, William J

    2015-02-17

    Atomic memory access requests are handled using a variety of systems and methods. According to one example method, a data-processing circuit having an address-request generator that issues requests to a common memory implements a method of processing the requests using a memory-access intervention circuit coupled between the generator and the common memory. The method identifies a current atomic-memory access request from a plurality of memory access requests. A data set is stored that corresponds to the current atomic-memory access request in a data storage circuit within the intervention circuit. It is determined whether the current atomic-memory access request corresponds to at least one previously-stored atomic-memory access request. In response to determining correspondence, the current request is implemented by retrieving data from the common memory. The data is modified in response to the current request and at least one other access request in the memory-access intervention circuit.

  7. Method and apparatus for managing access to a memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeBenedictis, Erik

    A method and apparatus for managing access to a memory of a computing system. A controller transforms a plurality of operations that represent a computing job into an operational memory layout that reduces a size of a selected portion of the memory that needs to be accessed to perform the computing job. The controller stores the operational memory layout in a plurality of memory cells within the selected portion of the memory. The controller controls a sequence by which a processor in the computing system accesses the memory to perform the computing job using the operational memory layout. The operationalmore » memory layout reduces an amount of energy consumed by the processor to perform the computing job.« less

  8. Fast Magnetoresistive Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    Magnetoresistive binary digital memories of proposed new type expected to feature high speed, nonvolatility, ability to withstand ionizing radiation, high density, and low power. In memory cell, magnetoresistive effect exploited more efficiently by use of ferromagnetic material to store datum and adjacent magnetoresistive material to sense datum for readout. Because relative change in sensed resistance between "zero" and "one" states greater, shorter sampling and readout access times achievable.

  9. Nanoscale CuO solid-electrolyte-based conductive-bridging, random-access memory cell with a TiN liner

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Sun; Kim, Dong-Won; Kim, Hea-Jee; Jin, Soo-Min; Song, Myung-Jin; Kwon, Ki-Hyun; Park, Jea-Gun; Jalalah, Mohammed; Al-Hajry, Ali

    2018-01-01

    The Conductive-bridge random-access memory (CBRAM) cell is a promising candidate for a terabit-level non-volatile memory due to its remarkable advantages. We present for the first time TiN as a diffusion barrier in CBRAM cells for enhancing their reliability. CuO solid-electrolyte-based CBRAM cells implemented with a 0.1-nm TiN liner demonstrated better non-volatile memory characteristics such as 106 AC write/erase endurance cycles with 100-μs AC pulse width and a long retention time of 7.4-years at 85 °C. In addition, the analysis of Ag diffusion in the CBRAM cell suggests that the morphology of the Ag filaments in the electrolyte can be effectively controlled by tuning the thickness of the TiN liner. These promising results pave the way for faster commercialization of terabit-level non-volatile memories.

  10. Non-volatile magnetic random access memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Stadler, Henry L. (Inventor); Wu, Jiin-Chuan (Inventor)

    1994-01-01

    Improvements are made in a non-volatile magnetic random access memory. Such a memory is comprised of an array of unit cells, each having a Hall-effect sensor and a thin-film magnetic element made of material having an in-plane, uniaxial anisotropy and in-plane, bipolar remanent magnetization states. The Hall-effect sensor is made more sensitive by using a 1 m thick molecular beam epitaxy grown InAs layer on a silicon substrate by employing a GaAs/AlGaAs/InAlAs superlattice buffering layer. One improvement avoids current shunting problems of matrix architecture. Another improvement reduces the required magnetizing current for the micromagnets. Another improvement relates to the use of GaAs technology wherein high electron-mobility GaAs MESFETs provide faster switching times. Still another improvement relates to a method for configuring the invention as a three-dimensional random access memory.

  11. Memory availability and referential access

    PubMed Central

    Johns, Clinton L.; Gordon, Peter C.; Long, Debra L.; Swaab, Tamara Y.

    2013-01-01

    Most theories of coreference specify linguistic factors that modulate antecedent accessibility in memory; however, whether non-linguistic factors also affect coreferential access is unknown. Here we examined the impact of a non-linguistic generation task (letter transposition) on the repeated-name penalty, a processing difficulty observed when coreferential repeated names refer to syntactically prominent (and thus more accessible) antecedents. In Experiment 1, generation improved online (event-related potentials) and offline (recognition memory) accessibility of names in word lists. In Experiment 2, we manipulated generation and syntactic prominence of antecedent names in sentences; both improved online and offline accessibility, but only syntactic prominence elicited a repeated-name penalty. Our results have three important implications: first, the form of a referential expression interacts with an antecedent’s status in the discourse model during coreference; second, availability in memory and referential accessibility are separable; and finally, theories of coreference must better integrate known properties of the human memory system. PMID:24443621

  12. A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy

    NASA Astrophysics Data System (ADS)

    Han, Runze; Shen, Wensheng; Huang, Peng; Zhou, Zheng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    A novel ternary content addressable memory (TCAM) design based on resistive random access memory (RRAM) is presented. Each TCAM cell consists of two parallel RRAM to both store and search for ternary data. The cell size of the proposed design is 8F2, enable a ∼60× cell area reduction compared with the conventional static random access memory (SRAM) based implementation. Simulation results also show that the search delay and energy consumption of the proposed design at the 64-bit word search are 2 ps and 0.18 fJ/bit/search respectively at 22 nm technology node, where significant improvements are achieved compared to previous works. The desired characteristics of RRAM for implementation of the high performance TCAM search chip are also discussed.

  13. Effect of embedded metal nanocrystals on the resistive switching characteristics in NiN-based resistive random access memory cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Min Ju; Kim, Hee-Dong; Man Hong, Seok

    2014-03-07

    The metal nanocrystals (NCs) embedded-NiN-based resistive random access memory cells are demonstrated using several metal NCs (i.e., Pt, Ni, and Ti) with different physical parameters in order to investigate the metal NC's dependence on resistive switching (RS) characteristics. First, depending on the electronegativity of metal, the size of metal NCs is determined and this affects the operating current of memory cells. If metal NCs with high electronegativity are incorporated, the size of the NCs is reduced; hence, the operating current is reduced owing to the reduced density of the electric field around the metal NCs. Second, the potential wells aremore » formed by the difference of work function between the metal NCs and active layer, and the barrier height of the potential wells affects the level of operating voltage as well as the conduction mechanism of metal NCs embedded memory cells. Therefore, by understanding these correlations between the active layer and embedded metal NCs, we can optimize the RS properties of metal NCs embedded memory cells as well as predict their conduction mechanisms.« less

  14. Garnet Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R.

    1995-01-01

    Random-access memory (RAM) devices of proposed type exploit magneto-optical properties of magnetic garnets exhibiting perpendicular anisotropy. Magnetic writing and optical readout used. Provides nonvolatile storage and resists damage by ionizing radiation. Because of basic architecture and pinout requirements, most likely useful as small-capacity memory devices.

  15. Vortex-Core Reversal Dynamics: Towards Vortex Random Access Memory

    NASA Astrophysics Data System (ADS)

    Kim, Sang-Koog

    2011-03-01

    An energy-efficient, ultrahigh-density, ultrafast, and nonvolatile solid-state universal memory is a long-held dream in the field of information-storage technology. The magnetic random access memory (MRAM) along with a spin-transfer-torque switching mechanism is a strong candidate-means of realizing that dream, given its nonvolatility, infinite endurance, and fast random access. Magnetic vortices in patterned soft magnetic dots promise ground-breaking applications in information-storage devices, owing to the very stable twofold ground states of either their upward or downward core magnetization orientation and plausible core switching by in-plane alternating magnetic fields or spin-polarized currents. However, two technologically most important but very challenging issues --- low-power recording and reliable selection of each memory cell with already existing cross-point architectures --- have not yet been resolved for the basic operations in information storage, that is, writing (recording) and readout. Here, we experimentally demonstrate a magnetic vortex random access memory (VRAM) in the basic cross-point architecture. This unique VRAM offers reliable cell selection and low-power-consumption control of switching of out-of-plane core magnetizations using specially designed rotating magnetic fields generated by two orthogonal and unipolar Gaussian-pulse currents along with optimized pulse width and time delay. Our achievement of a new device based on a new material, that is, a medium composed of patterned vortex-state disks, together with the new physics on ultrafast vortex-core switching dynamics, can stimulate further fruitful research on MRAMs that are based on vortex-state dot arrays.

  16. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    DOEpatents

    Ohmacht, Martin

    2017-08-15

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  17. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    DOEpatents

    Ohmacht, Martin

    2014-09-09

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  18. Is random access memory random?

    NASA Technical Reports Server (NTRS)

    Denning, P. J.

    1986-01-01

    Most software is contructed on the assumption that the programs and data are stored in random access memory (RAM). Physical limitations on the relative speeds of processor and memory elements lead to a variety of memory organizations that match processor addressing rate with memory service rate. These include interleaved and cached memory. A very high fraction of a processor's address requests can be satified from the cache without reference to the main memory. The cache requests information from main memory in blocks that can be transferred at the full memory speed. Programmers who organize algorithms for locality can realize the highest performance from these computers.

  19. Simulation study on heat conduction of a nanoscale phase-change random access memory cell.

    PubMed

    Kim, Junho; Song, Ki-Bong

    2006-11-01

    We have investigated heat transfer characteristics of a nano-scale phase-change random access memory (PRAM) cell using finite element method (FEM) simulation. Our PRAM cell is based on ternary chalcogenide alloy, Ge2Sb2Te5 (GST), which is used as a recording layer. For contact area of 100 x 100 nm2, simulations of crystallization and amorphization processes were carried out. Physical quantities such as electric conductivity, thermal conductivity, and specific heat were treated as temperature-dependent parameters. Through many simulations, it is concluded that one can reduce set current by decreasing both electric conductivities of amorphous GST and crystalline GST, and in addition to these conditions by decreasing electric conductivity of molten GST one can also reduce reset current significantly.

  20. Low latency memory access and synchronization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blumrich, Matthias A.; Chen, Dong; Coteus, Paul W.

    A low latency memory system access is provided in association with a weakly-ordered multiprocessor system. Each processor in the multiprocessor shares resources, and each shared resource has an associated lock within a locking device that provides support for synchronization between the multiple processors in the multiprocessor and the orderly sharing of the resources. A processor only has permission to access a resource when it owns the lock associated with that resource, and an attempt by a processor to own a lock requires only a single load operation, rather than a traditional atomic load followed by store, such that the processormore » only performs a read operation and the hardware locking device performs a subsequent write operation rather than the processor. A simple prefetching for non-contiguous data structures is also disclosed. A memory line is redefined so that in addition to the normal physical memory data, every line includes a pointer that is large enough to point to any other line in the memory, wherein the pointers to determine which memory line to prefetch rather than some other predictive algorithm. This enables hardware to effectively prefetch memory access patterns that are non-contiguous, but repetitive.« less

  1. Low latency memory access and synchronization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blumrich, Matthias A.; Chen, Dong; Coteus, Paul W.

    A low latency memory system access is provided in association with a weakly-ordered multiprocessor system. Bach processor in the multiprocessor shares resources, and each shared resource has an associated lock within a locking device that provides support for synchronization between the multiple processors in the multiprocessor and the orderly sharing of the resources. A processor only has permission to access a resource when it owns the lock associated with that resource, and an attempt by a processor to own a lock requires only a single load operation, rather than a traditional atomic load followed by store, such that the processormore » only performs a read operation and the hardware locking device performs a subsequent write operation rather than the processor. A simple prefetching for non-contiguous data structures is also disclosed. A memory line is redefined so that in addition to the normal physical memory data, every line includes a pointer that is large enough to point to any other line in the memory, wherein the pointers to determine which memory line to prefetch rather than some other predictive algorithm. This enables hardware to effectively prefetch memory access patterns that are non-contiguous, but repetitive.« less

  2. False Operation of Static Random Access Memory Cells under Alternating Current Power Supply Voltage Variation

    NASA Astrophysics Data System (ADS)

    Sawada, Takuya; Takata, Hidehiro; Nii, Koji; Nagata, Makoto

    2013-04-01

    Static random access memory (SRAM) cores exhibit susceptibility against power supply voltage variation. False operation is investigated among SRAM cells under sinusoidal voltage variation on power lines introduced by direct RF power injection. A standard SRAM core of 16 kbyte in a 90 nm 1.5 V technology is diagnosed with built-in self test and on-die noise monitor techniques. The sensitivity of bit error rate is shown to be high against the frequency of injected voltage variation, while it is not greatly influenced by the difference in frequency and phase against SRAM clocking. It is also observed that the distribution of false bits is substantially random in a cell array.

  3. Programmable Direct-Memory-Access Controller

    NASA Technical Reports Server (NTRS)

    Hendry, David F.

    1990-01-01

    Proposed programmable direct-memory-access controller (DMAC) operates with computer systems of 32000 series, which have 32-bit data buses and use addresses of 24 (or potentially 32) bits. Controller functions with or without help of central processing unit (CPU) and starts itself. Includes such advanced features as ability to compare two blocks of memory for equality and to search block of memory for specific value. Made as single very-large-scale integrated-circuit chip.

  4. Design and measurement of fully digital ternary content addressable memory using ratioless static random access memory cells and hierarchical-AND matching comparator

    NASA Astrophysics Data System (ADS)

    Nishikata, Daisuke; Ali, Mohammad Alimudin Bin Mohd; Hosoda, Kento; Matsumoto, Hiroshi; Nakamura, Kazuyuki

    2018-04-01

    A 36-bit × 32-entry fully digital ternary content addressable memory (TCAM) using the ratioless static random access memory (RL-SRAM) technology and fully complementary hierarchical-AND matching comparators (HAMCs) was developed. Since its fully complementary and digital operation enables the effect of device variabilities to be avoided, it can operate with a quite low supply voltage. A test chip incorporating a conventional TCAM and a proposed 24-transistor ratioless TCAM (RL-TCAM) cells and HAMCs was developed using a 0.18 µm CMOS process. The minimum operating voltage of 0.25 V of the developed RL-TCAM, which is less than half of that of the conventional TCAM, was measured via the conventional CMOS push–pull output buffers with the level-shifting and flipping technique using optimized pull-up voltage and resistors.

  5. Plated wire random access memories

    NASA Technical Reports Server (NTRS)

    Gouldin, L. D.

    1975-01-01

    A program was conducted to construct 4096-work by 18-bit random access, NDRO-plated wire memory units. The memory units were subjected to comprehensive functional and environmental tests at the end-item level to verify comformance with the specified requirements. A technical description of the unit is given, along with acceptance test data sheets.

  6. Comparison and statistical analysis of four write stability metrics in bulk CMOS static random access memory cells

    NASA Astrophysics Data System (ADS)

    Qiu, Hao; Mizutani, Tomoko; Saraya, Takuya; Hiramoto, Toshiro

    2015-04-01

    The commonly used four metrics for write stability were measured and compared based on the same set of 2048 (2k) six-transistor (6T) static random access memory (SRAM) cells by the 65 nm bulk technology. The preferred one should be effective for yield estimation and help predict edge of stability. Results have demonstrated that all metrics share the same worst SRAM cell. On the other hand, compared to butterfly curve with non-normality and write N-curve where no cell state flip happens, bit-line and word-line margins have good normality as well as almost perfect correlation. As a result, both bit line method and word line method prove themselves preferred write stability metrics.

  7. Power reduction by power gating in differential pair type spin-transfer-torque magnetic random access memories for low-power nonvolatile cache memories

    NASA Astrophysics Data System (ADS)

    Ohsawa, Takashi; Ikeda, Shoji; Hanyu, Takahiro; Ohno, Hideo; Endoh, Tetsuo

    2014-01-01

    Array operation currents in spin-transfer-torque magnetic random access memories (STT-MRAMs) that use four differential pair type magnetic tunnel junction (MTJ)-based memory cells (4T2MTJ, two 6T2MTJs and 8T2MTJ) are simulated and compared with that in SRAM. With L3 cache applications in mind, it is assumed that the memories are composed of 32 Mbyte capacity to be accessed in 64 byte in parallel. All the STT-MRAMs except for the 8T2MTJ one are designed with 32 bit fine-grained power gating scheme applied to eliminate static currents in the memory cells that are not accessed. The 8T2MTJ STT-MRAM, the cell’s design concept being not suitable for the fine-grained power gating, loads and saves 32 Mbyte data in 64 Mbyte unit per 1 Mbit sub-array in 2 × 103 cycles. It is shown that the array operation current of the 4T2MTJ STT-MRAM is 70 mA averaged in 15 ns write cycles at Vdd = 0.9 V. This is the smallest among the STT-MRAMs, about the half of the low standby power (LSTP) SRAM whose array operation current is totally dominated by the cells’ subthreshold leakage.

  8. Kinetic Inductance Memory Cell and Architecture for Superconducting Computers

    NASA Astrophysics Data System (ADS)

    Chen, George J.

    Josephson memory devices typically use a superconducting loop containing one or more Josephson junctions to store information. The magnetic inductance of the loop in conjunction with the Josephson junctions provides multiple states to store data. This thesis shows that replacing the magnetic inductor in a memory cell with a kinetic inductor can lead to a smaller cell size. However, magnetic control of the cells is lost. Thus, a current-injection based architecture for a memory array has been designed to work around this problem. The isolation between memory cells that magnetic control provides is provided through resistors in this new architecture. However, these resistors allow leakage current to flow which ultimately limits the size of the array due to power considerations. A kinetic inductance memory array will be limited to 4K bits with a read access time of 320 ps for a 1 um linewidth technology. If a power decoder could be developed, the memory architecture could serve as the blueprint for a fast (<1 ns), large scale (>1 Mbit) superconducting memory array.

  9. Nonvolatile random access memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan (Inventor); Stadler, Henry L. (Inventor); Katti, Romney R. (Inventor)

    1994-01-01

    A nonvolatile magnetic random access memory can be achieved by an array of magnet-Hall effect (M-H) elements. The storage function is realized with a rectangular thin-film ferromagnetic material having an in-plane, uniaxial anisotropy and inplane bipolar remanent magnetization states. The thin-film magnetic element is magnetized by a local applied field, whose direction is used to form either a 0 or 1 state. The element remains in the 0 or 1 state until a switching field is applied to change its state. The stored information is detcted by a Hall-effect sensor which senses the fringing field from the magnetic storage element. The circuit design for addressing each cell includes transistor switches for providing a current of selected polarity to store a binary digit through a separate conductor overlying the magnetic element of the cell. To read out a stored binary digit, transistor switches are employed to provide a current through a row of Hall-effect sensors connected in series and enabling a differential voltage amplifier connected to all Hall-effect sensors of a column in series. To avoid read-out voltage errors due to shunt currents through resistive loads of the Hall-effect sensors of other cells in the same column, at least one transistor switch is provided between every pair of adjacent cells in every row which are not turned on except in the row of the selected cell.

  10. Figuring fact from fiction: unbiased polling of memory T cells.

    PubMed

    Gerlach, Carmen; Loughhead, Scott M; von Andrian, Ulrich H

    2015-05-07

    Immunization generates several memory T cell subsets that differ in their migratory properties, anatomic distribution, and, hence, accessibility to investigation. In this issue, Steinert et al. demonstrate that what was believed to be a minor memory cell subset in peripheral tissues has been dramatically underestimated. Thus, current models of protective immunity require revision. Copyright © 2015 Elsevier Inc. All rights reserved.

  11. Organic Ferroelectric-Based 1T1T Random Access Memory Cell Employing a Common Dielectric Layer Overcoming the Half-Selection Problem.

    PubMed

    Zhao, Qiang; Wang, Hanlin; Ni, Zhenjie; Liu, Jie; Zhen, Yonggang; Zhang, Xiaotao; Jiang, Lang; Li, Rongjin; Dong, Huanli; Hu, Wenping

    2017-09-01

    Organic electronics based on poly(vinylidenefluoride/trifluoroethylene) (P(VDF-TrFE)) dielectric is facing great challenges in flexible circuits. As one indispensable part of integrated circuits, there is an urgent demand for low-cost and easy-fabrication nonvolatile memory devices. A breakthrough is made on a novel ferroelectric random access memory cell (1T1T FeRAM cell) consisting of one selection transistor and one ferroelectric memory transistor in order to overcome the half-selection problem. Unlike complicated manufacturing using multiple dielectrics, this system simplifies 1T1T FeRAM cell fabrication using one common dielectric. To achieve this goal, a strategy for semiconductor/insulator (S/I) interface modulation is put forward and applied to nonhysteretic selection transistors with high performances for driving or addressing purposes. As a result, high hole mobility of 3.81 cm 2 V -1 s -1 (average) for 2,6-diphenylanthracene (DPA) and electron mobility of 0.124 cm 2 V -1 s -1 (average) for N,N'-1H,1H-perfluorobutyl dicyanoperylenecarboxydiimide (PDI-FCN 2 ) are obtained in selection transistors. In this work, we demonstrate this technology's potential for organic ferroelectric-based pixelated memory module fabrication. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Ga-doped indium oxide nanowire phase change random access memory cells

    NASA Astrophysics Data System (ADS)

    Jin, Bo; Lim, Taekyung; Ju, Sanghyun; Latypov, Marat I.; Kim, Hyoung Seop; Meyyappan, M.; Lee, Jeong-Soo

    2014-02-01

    Phase change random access memory (PCRAM) devices are usually constructed using tellurium based compounds, but efforts to seek other materials providing desirable memory characteristics have continued. We have fabricated PCRAM devices using Ga-doped In2O3 nanowires with three different Ga compositions (Ga/(In+Ga) atomic ratio: 2.1%, 11.5% and 13.0%), and investigated their phase switching properties. The nanowires (˜40 nm in diameter) can be repeatedly switched between crystalline and amorphous phases, and Ga concentration-dependent memory switching behavior in the nanowires was observed with ultra-fast set/reset rates of 80 ns/20 ns, which are faster than for other competitive phase change materials. The observations of fast set/reset rates and two distinct states with a difference in resistance of two to three orders of magnitude appear promising for nonvolatile information storage. Moreover, we found that increasing the Ga concentration can reduce the power consumption and resistance drift; however, too high a level of Ga doping may cause difficulty in achieving the phase transition.

  13. Twin-bit via resistive random access memory in 16 nm FinFET logic technologies

    NASA Astrophysics Data System (ADS)

    Shih, Yi-Hong; Hsu, Meng-Yin; King, Ya-Chin; Lin, Chrong Jung

    2018-04-01

    A via resistive random access memory (RRAM) cell fully compatible with the standard CMOS logic process has been successfully demonstrated for high-density logic nonvolatile memory (NVM) modules in advanced FinFET circuits. In this new cell, the transition metal layers are formed on both sides of a via, given two storage bits per via. In addition to its compact cell area (1T + 14 nm × 32 nm), the twin-bit via RRAM cell features a low operation voltage, a large read window, good data retention, and excellent cycling capability. As fine alignments between mask layers become possible, the twin-bit via RRAM cell is expected to be highly scalable in advanced FinFET technology.

  14. Ferroelectric symmetry-protected multibit memory cell

    NASA Astrophysics Data System (ADS)

    Baudry, Laurent; Lukyanchuk, Igor; Vinokur, Valerii M.

    2017-02-01

    The tunability of electrical polarization in ferroelectrics is instrumental to their applications in information-storage devices. The existing ferroelectric memory cells are based on the two-level storage capacity with the standard binary logics. However, the latter have reached its fundamental limitations. Here we propose ferroelectric multibit cells (FMBC) utilizing the ability of multiaxial ferroelectric materials to pin the polarization at a sequence of the multistable states. Employing the catastrophe theory principles we show that these states are symmetry-protected against the information loss and thus realize novel topologically-controlled access memory (TAM). Our findings enable developing a platform for the emergent many-valued non-Boolean information technology and target challenges posed by needs of quantum and neuromorphic computing.

  15. Working memory capacity and retrieval limitations from long-term memory: an examination of differences in accessibility.

    PubMed

    Unsworth, Nash; Spillers, Gregory J; Brewer, Gene A

    2012-01-01

    In two experiments, the locus of individual differences in working memory capacity and long-term memory recall was examined. Participants performed categorical cued and free recall tasks, and individual differences in the dynamics of recall were interpreted in terms of a hierarchical-search framework. The results from this study are in accordance with recent theorizing suggesting a strong relation between working memory capacity and retrieval from long-term memory. Furthermore, the results also indicate that individual differences in categorical recall are partially due to differences in accessibility. In terms of accessibility of target information, two important factors drive the difference between high- and low-working-memory-capacity participants. Low-working-memory-capacity participants fail to utilize appropriate retrieval strategies to access cues, and they also have difficulty resolving cue overload. Thus, when low-working-memory-capacity participants were given specific cues that activated a smaller set of potential targets, their recall performance was the same as that of high-working-memory-capacity participants.

  16. Memory. Engram cells retain memory under retrograde amnesia.

    PubMed

    Ryan, Tomás J; Roy, Dheeraj S; Pignatelli, Michele; Arons, Autumn; Tonegawa, Susumu

    2015-05-29

    Memory consolidation is the process by which a newly formed and unstable memory transforms into a stable long-term memory. It is unknown whether the process of memory consolidation occurs exclusively through the stabilization of memory engrams. By using learning-dependent cell labeling, we identified an increase of synaptic strength and dendritic spine density specifically in consolidated memory engram cells. Although these properties are lacking in engram cells under protein synthesis inhibitor-induced amnesia, direct optogenetic activation of these cells results in memory retrieval, and this correlates with retained engram cell-specific connectivity. We propose that a specific pattern of connectivity of engram cells may be crucial for memory information storage and that strengthened synapses in these cells critically contribute to the memory retrieval process. Copyright © 2015, American Association for the Advancement of Science.

  17. Single Event Upset in Static Random Access Memories in Atmospheric Neutron Environments

    NASA Astrophysics Data System (ADS)

    Arita, Yutaka; Takai, Mikio; Ogawa, Izumi; Kishimoto, Tadafumi

    2003-07-01

    Single-event upsets (SEUs) in a 0.4 μm 4 Mbit complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) were investigated in various atmospheric neutron environments at sea level, at an altitude of 2612 m mountain, at an altitude of commercial airplane, and at an underground depth of 476 m. Neutron-induced SEUs increase with the increase in altitude. For a device with a borophosphosilicate glass (BPSG) film, SEU rates induced by thermal neutrons increase with the decrease in the cell charge of a memory cell. A thermal neutron-induced SEU is significant in SRAMs with a small cell charge. With the conditions of small cell charge, thermal neutron-induced SEUs account for 60% or more of the total neutron-induced SEUs. The SEU rate induced by atmospheric thermal neutrons can be estimated by an acceleration test using 252Cf.

  18. Efficient accesses of data structures using processing near memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jayasena, Nuwan S.; Zhang, Dong Ping; Diez, Paula Aguilera

    Systems, apparatuses, and methods for implementing efficient queues and other data structures. A queue may be shared among multiple processors and/or threads without using explicit software atomic instructions to coordinate access to the queue. System software may allocate an atomic queue and corresponding queue metadata in system memory and return, to the requesting thread, a handle referencing the queue metadata. Any number of threads may utilize the handle for accessing the atomic queue. The logic for ensuring the atomicity of accesses to the atomic queue may reside in a management unit in the memory controller coupled to the memory wheremore » the atomic queue is allocated.« less

  19. Ferroelectric symmetry-protected multibit memory cell

    DOE PAGES

    Baudry, Laurent; Lukyanchuk, Igor; Vinokur, Valerii M.

    2017-02-08

    Here, the tunability of electrical polarization in ferroelectrics is instrumental to their applications in information-storage devices. The existing ferroelectric memory cells are based on the two-level storage capacity with the standard binary logics. However, the latter have reached its fundamental limitations. Here we propose ferroelectric multibit cells (FMBC) utilizing the ability of multiaxial ferroelectric materials to pin the polarization at a sequence of the multistable states. Employing the catastrophe theory principles we show that these states are symmetry-protected against the information loss and thus realize novel topologically-controlled access memory (TAM). Our findings enable developing a platform for the emergent many-valuedmore » non-Boolean information technology and target challenges posed by needs of quantum and neuromorphic computing.« less

  20. Accessibility Limits Recall from Visual Working Memory

    ERIC Educational Resources Information Center

    Rajsic, Jason; Swan, Garrett; Wilson, Daryl E.; Pratt, Jay

    2017-01-01

    In this article, we demonstrate limitations of accessibility of information in visual working memory (VWM). Recently, cued-recall has been used to estimate the fidelity of information in VWM, where the feature of a cued object is reproduced from memory (Bays, Catalao, & Husain, 2009; Wilken & Ma, 2004; Zhang & Luck, 2008). Response…

  1. 76 FR 55417 - In the Matter of Certain Dynamic Random Access Memory and Nand Flash Memory Devices and Products...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-09-07

    ... Access Memory and Nand Flash Memory Devices and Products Containing Same; Notice of Institution of... importation, and the sale within the United States after importation of certain dynamic random access memory and NAND flash memory devices and products containing same by reason of infringement of certain claims...

  2. Immune signatures of protective spleen memory CD8 T cells.

    PubMed

    Brinza, Lilia; Djebali, Sophia; Tomkowiak, Martine; Mafille, Julien; Loiseau, Céline; Jouve, Pierre-Emmanuel; de Bernard, Simon; Buffat, Laurent; Lina, Bruno; Ottmann, Michèle; Rosa-Calatrava, Manuel; Schicklin, Stéphane; Bonnefoy, Nathalie; Lauvau, Grégoire; Grau, Morgan; Wencker, Mélanie; Arpin, Christophe; Walzer, Thierry; Leverrier, Yann; Marvel, Jacqueline

    2016-11-24

    Memory CD8 T lymphocyte populations are remarkably heterogeneous and differ in their ability to protect the host. In order to identify the whole range of qualities uniquely associated with protective memory cells we compared the gene expression signatures of two qualities of memory CD8 T cells sharing the same antigenic-specificity: protective (Influenza-induced, Flu-TM) and non-protective (peptide-induced, TIM) spleen memory CD8 T cells. Although Flu-TM and TIM express classical phenotypic memory markers and are polyfunctional, only Flu-TM protects against a lethal viral challenge. Protective memory CD8 T cells express a unique set of genes involved in migration and survival that correlate with their unique capacity to rapidly migrate within the infected lung parenchyma in response to influenza infection. We also enlighten a new set of poised genes expressed by protective cells that is strongly enriched in cytokines and chemokines such as Ccl1, Ccl9 and Gm-csf. CCL1 and GM-CSF genes are also poised in human memory CD8 T cells. These immune signatures are also induced by two other pathogens (vaccinia virus and Listeria monocytogenes). The immune signatures associated with immune protection were identified on circulating cells, i.e. those that are easily accessible for immuno-monitoring and could help predict vaccines efficacy.

  3. A Cerebellar-model Associative Memory as a Generalized Random-access Memory

    NASA Technical Reports Server (NTRS)

    Kanerva, Pentti

    1989-01-01

    A versatile neural-net model is explained in terms familiar to computer scientists and engineers. It is called the sparse distributed memory, and it is a random-access memory for very long words (for patterns with thousands of bits). Its potential utility is the result of several factors: (1) a large pattern representing an object or a scene or a moment can encode a large amount of information about what it represents; (2) this information can serve as an address to the memory, and it can also serve as data; (3) the memory is noise tolerant--the information need not be exact; (4) the memory can be made arbitrarily large and hence an arbitrary amount of information can be stored in it; and (5) the architecture is inherently parallel, allowing large memories to be fast. Such memories can become important components of future computers.

  4. Radiation Effects of Commercial Resistive Random Access Memories

    NASA Technical Reports Server (NTRS)

    Chen, Dakai; LaBel, Kenneth A.; Berg, Melanie; Wilcox, Edward; Kim, Hak; Phan, Anthony; Figueiredo, Marco; Buchner, Stephen; Khachatrian, Ani; Roche, Nicolas

    2014-01-01

    We present results for the single-event effect response of commercial production-level resistive random access memories. We found that the resistive memory arrays are immune to heavy ion-induced upsets. However, the devices were susceptible to single-event functional interrupts, due to upsets from the control circuits. The intrinsic radiation tolerant nature of resistive memory makes the technology an attractive consideration for future space applications.

  5. Random access memory immune to single event upset using a T-resistor

    DOEpatents

    Ochoa, Jr., Agustin

    1989-01-01

    In a random access memory cell, a resistance "T" decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell.

  6. Integrated semiconductor-magnetic random access memory system

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Blaes, Brent R. (Inventor)

    2001-01-01

    The present disclosure describes a non-volatile magnetic random access memory (RAM) system having a semiconductor control circuit and a magnetic array element. The integrated magnetic RAM system uses CMOS control circuit to read and write data magnetoresistively. The system provides a fast access, non-volatile, radiation hard, high density RAM for high speed computing.

  7. Sub-1-V-60 nm vertical body channel MOSFET-based six-transistor static random access memory array with wide noise margin and excellent power delay product and its optimization with the cell ratio on static random access memory cell

    NASA Astrophysics Data System (ADS)

    Ogasawara, Ryosuke; Endoh, Tetsuo

    2018-04-01

    In this study, with the aim to achieve a wide noise margin and an excellent power delay product (PDP), a vertical body channel (BC)-MOSFET-based six-transistor (6T) static random access memory (SRAM) array is evaluated by changing the number of pillars in each part of a SRAM cell, that is, by changing the cell ratio in the SRAM cell. This 60 nm vertical BC-MOSFET-based 6T SRAM array realizes 0.84 V operation under the best PDP and up to 31% improvement of PDP compared with the 6T SRAM array based on a 90 nm planar MOSFET whose gate length and channel width are the same as those of the 60 nm vertical BC-MOSFET. Additionally, the vertical BC-MOSFET-based 6T SRAM array achieves an 8.8% wider read static noise margin (RSNM), a 16% wider write margin (WM), and an 89% smaller leakage. Moreover, it is shown that changing the cell ratio brings larger improvements of RSNM, WM, and write time in the vertical BC-MOSFET-based 6T SRAM array.

  8. Persistence of Epstein-Barr virus in self-reactive memory B cells.

    PubMed

    Tracy, Sean I; Kakalacheva, Kristina; Lünemann, Jan D; Luzuriaga, Katherine; Middeldorp, Jaap; Thorley-Lawson, David A

    2012-11-01

    Epstein-Barr virus infection has been epidemiologically associated with the development of multiple autoimmune diseases, particularly systemic lupus erythematosus and multiple sclerosis. Currently, there is no known mechanism that can account for these associations. The germinal-center (GC) model of EBV infection and persistence proposes that EBV gains access to the memory B cell compartment via GC reactions by driving infected cells to differentiate using the virus-encoded LMP1 and LMP2a proteins, which act as functional homologues of CD40 and the B cell receptor, respectively. The ability of LMP2a, when expressed in mice, to allow escape of autoreactive B cells suggests that it could perform a similar role in infected GC B cells, permitting the survival of potentially pathogenic autoreactive B cells. To test this hypothesis, we cloned and expressed antibodies from EBV(+) and EBV(-) memory B cells present during acute infection and profiled their self- and polyreactivity. We find that EBV does persist within self- and polyreactive B cells but find no evidence that it favors the survival of pathogenic autoreactive B cells. On the contrary, EBV(+) memory B cells express lower levels of self-reactive and especially polyreactive antibodies than their uninfected counterparts do. Our work suggests that EBV has only a modest effect on the GC process, which allows it to access and persist within a subtly unique niche of the memory compartment characterized by relatively low levels of self- and polyreactivity. We suggest that this might reflect an active process where EBV and its human host have coevolved so as to minimize the virus's potential to contribute to autoimmune disease.

  9. Cross-point-type spin-transfer-torque magnetoresistive random access memory cell with multi-pillar vertical body channel MOSFET

    NASA Astrophysics Data System (ADS)

    Sasaki, Taro; Endoh, Tetsuo

    2018-04-01

    In this paper, from the viewpoint of cell size and sensing margin, the impact of a novel cross-point-type one transistor and one magnetic tunnel junction (1T–1MTJ) spin-transfer-torque magnetoresistive random access memory (STT-MRAM) cell with a multi-pillar vertical body channel (BC) MOSFET is shown for high density and wide sensing margin STT-MRAM, with a 10 ns writing period and 1.2 V V DD. For that purpose, all combinations of n/p-type MOSFETs and bottom/top-pin MTJs are compared, where the diameter of MTJ (D MTJ) is scaled down from 55 to 15 nm and the tunnel magnetoresistance (TMR) ratio is increased from 100 to 200%. The results show that, benefiting from the proposed STT-MRAM cell with no back bias effect, the MTJ with a high TMR ratio (200%) can be used in the design of smaller STT-MRAM cells (over 72.6% cell size reduction), which is a difficult task for conventional planar MOSFET based design.

  10. Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order

    NASA Technical Reports Server (NTRS)

    Schwab, Andrew J. (Inventor); Aylor, James (Inventor); Hitchcock, Charles Young (Inventor); Wulf, William A. (Inventor); McKee, Sally A. (Inventor); Moyer, Stephen A. (Inventor); Klenke, Robert (Inventor)

    2000-01-01

    A data processing system is disclosed which comprises a data processor and memory control device for controlling the access of information from the memory. The memory control device includes temporary storage and decision ability for determining what order to execute the memory accesses. The compiler detects the requirements of the data processor and selects the data to stream to the memory control device which determines a memory access order. The order in which to access said information is selected based on the location of information stored in the memory. The information is repeatedly accessed from memory and stored in the temporary storage until all streamed information is accessed. The information is stored until required by the data processor. The selection of the order in which to access information maximizes bandwidth and decreases the retrieval time.

  11. Molecular regulation of effector and memory T cell differentiation

    PubMed Central

    Chang, John T; Wherry, E John; Goldrath, Ananda W

    2015-01-01

    Immunological memory is a cardinal feature of adaptive immunity and an important goal of vaccination strategies. Here we highlight advances in the understanding of the diverse T lymphocyte subsets that provide acute and long-term protection from infection. These include new insights into the transcription factors, and the upstream ‘pioneering’ factors that regulate their accessibility to key sites of gene regulation, as well as metabolic regulators that contribute to the differentiation of effector and memory subsets; ontogeny and defining characteristics of tissue-resident memory lymphocytes; and origins of the remarkable heterogeneity exhibited by activated T cells. Collectively, these findings underscore progress in delineating the underlying pathways that control diversification in T cell responses but also reveal gaps in the knowledge, as well as the challenges that arise in the application of this knowledge to rationally elicit desired T cell responses through vaccination and immunotherapy. PMID:25396352

  12. Magnet/Hall-Effect Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    In proposed magnet/Hall-effect random-access memory (MHRAM), bits of data stored magnetically in Perm-alloy (or equivalent)-film memory elements and read out by using Hall-effect sensors to detect magnetization. Value of each bit represented by polarity of magnetization. Retains data for indefinite time or until data rewritten. Speed of Hall-effect sensors in MHRAM results in readout times of about 100 nanoseconds. Other characteristics include high immunity to ionizing radiation and storage densities of order 10(Sup6)bits/cm(Sup 2) or more.

  13. An Investigation of Unified Memory Access Performance in CUDA

    PubMed Central

    Landaverde, Raphael; Zhang, Tiansheng; Coskun, Ayse K.; Herbordt, Martin

    2015-01-01

    Managing memory between the CPU and GPU is a major challenge in GPU computing. A programming model, Unified Memory Access (UMA), has been recently introduced by Nvidia to simplify the complexities of memory management while claiming good overall performance. In this paper, we investigate this programming model and evaluate its performance and programming model simplifications based on our experimental results. We find that beyond on-demand data transfers to the CPU, the GPU is also able to request subsets of data it requires on demand. This feature allows UMA to outperform full data transfer methods for certain parallel applications and small data sizes. We also find, however, that for the majority of applications and memory access patterns, the performance overheads associated with UMA are significant, while the simplifications to the programming model restrict flexibility for adding future optimizations. PMID:26594668

  14. A random access memory immune to single event upset using a T-Resistor

    DOEpatents

    Ochoa, A. Jr.

    1987-10-28

    In a random access memory cell, a resistance ''T'' decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell. 4 figs.

  15. Lowering data retention voltage in static random access memory array by post fabrication self-improvement of cell stability by multiple stress application

    NASA Astrophysics Data System (ADS)

    Mizutani, Tomoko; Takeuchi, Kiyoshi; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-04-01

    We propose a new version of the post fabrication static random access memory (SRAM) self-improvement technique, which utilizes multiple stress application. It is demonstrated that, using a device matrix array (DMA) test element group (TEG) with intrinsic channel fully depleted (FD) silicon-on-thin-buried-oxide (SOTB) six-transistor (6T) SRAM cells fabricated by the 65 nm technology, the lowering of data retention voltage (DRV) is more effectively achieved than using the previously proposed single stress technique.

  16. Individual differences in memory span: the contribution of rehearsal, access to lexical memory, and output speed.

    PubMed

    Tehan, G; Lalor, D M

    2000-11-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the subject population, have suggested other contributors to span performance, notably contributions from long-term memory and forgetting and retrieval processes occurring during recall. In the current research we explore individual differences in span with respect to measures of rehearsal, output time, and access to lexical memory. We replicate standard short-term phenomena; we show that the variables that influence children's span performance influence adult performance in the same way; and we show that lexical memory access appears to be a more potent source of individual differences in span than either rehearsal speed or output factors.

  17. Non-volatile, high density, high speed, Micromagnet-Hall effect Random Access Memory (MHRAM)

    NASA Technical Reports Server (NTRS)

    Wu, Jiin C.; Katti, Romney R.; Stadler, Henry L.

    1991-01-01

    The micromagnetic Hall effect random access memory (MHRAM) has the potential of replacing ROMs, EPROMs, EEPROMs, and SRAMs because of its ability to achieve non-volatility, radiation hardness, high density, and fast access times, simultaneously. Information is stored magnetically in small magnetic elements (micromagnets), allowing unlimited data retention time, unlimited numbers of rewrite cycles, and inherent radiation hardness and SEU immunity, making the MHRAM suitable for ground based as well as spaceflight applications. The MHRAM device design is not affected by areal property fluctuations in the micromagnet, so high operating margins and high yield can be achieved in large scale integrated circuit (IC) fabrication. The MHRAM has short access times (less than 100 nsec). Write access time is short because on-chip transistors are used to gate current quickly, and magnetization reversal in the micromagnet can occur in a matter of a few nanoseconds. Read access time is short because the high electron mobility sensor (InAs or InSb) produces a large signal voltage in response to the fringing magnetic field from the micromagnet. High storage density is achieved since a unit cell consists only of two transistors and one micromagnet Hall effect element. By comparison, a DRAM unit cell has one transistor and one capacitor, and a SRAM unit cell has six transistors.

  18. 76 FR 80964 - Certain Dynamic Random Access Memory Devices, and Products Containing Same; Institution of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-12-27

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-821] Certain Dynamic Random Access Memory... importation, and the sale within the United States after importation of certain dynamic random access memory... certain dynamic random access memory devices, and products containing same that infringe one or more of...

  19. Effector and memory T cell subsets in the response to bovine tuberculosis

    USDA-ARS?s Scientific Manuscript database

    Long-term (i.e., 14 days) cultured IFN-gamma ELISPOT assays of peripheral blood mononuclear cells (PBMC) are used to access T cell central memory (Tcm) responses in both cattle and humans. With bovine tuberculosis, vaccine-elicited long-term IFN-gamma ELISPOT response correlates with protection; how...

  20. Circuit-Switched Memory Access in Photonic Interconnection Networks for High-Performance Embedded Computing

    DTIC Science & Technology

    2010-07-22

    dependent , providing a natural bandwidth match between compute cores and the memory subsystem. • High Bandwidth Dcnsity. Waveguides crossing the chip...simulate this memory access architecture on a 2S6-core chip with a concentrated 64-node network lIsing detailed traces of high-performance embedded...memory modulcs, wc placc memory access poi nts (MAPs) around the pcriphery of the chip connected to thc nctwork. These MAPs, shown in Figure 4, contain

  1. Accessing sparse arrays in parallel memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Banerjee, U.; Gajski, D.; Kuck, D.

    The concept of dense and sparse execution of arrays is introduced. Arrays themselves can be stored in a dense or sparse manner in a parallel memory with m memory modules. The paper proposes hardware for speeding up the execution of array operations of the form c(c/sub 0/+ci)=a(a/sub 0/+ai) op b(b/sub 0/+bi), where a/sub 0/, a, b/sub 0/, b, c/sub 0/, c are integer constants and i is an index variable. The hardware handles 'sparse execution', in which the operation op is not executed for every value of i. The hardware also makes provision for 'sparse storage', in which memory spacemore » is not provided for every array element. It is shown how to access array elements of the above form without conflict in an efficient way. The efficiency is obtained by using some specialised units which are basically smart memories with priority detection, one's counting or associative searching. Generalisation to multidimensional arrays is shown possible under restrictions defined in the paper. 12 references.« less

  2. Improved Writing-Conductor Designs For Magnetic Memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1994-01-01

    Writing currents reduced to practical levels. Improved conceptual designs for writing conductors in micromagnet/Hall-effect random-access integrated-circuit memory reduces electrical current needed to magnetize micromagnet in each memory cell. Basic concept of micromagnet/Hall-effect random-access memory presented in "Magnetic Analog Random-Access Memory" (NPO-17999).

  3. Place Cells, Grid Cells, and Memory

    PubMed Central

    Moser, May-Britt; Rowland, David C.; Moser, Edvard I.

    2015-01-01

    The hippocampal system is critical for storage and retrieval of declarative memories, including memories for locations and events that take place at those locations. Spatial memories place high demands on capacity. Memories must be distinct to be recalled without interference and encoding must be fast. Recent studies have indicated that hippocampal networks allow for fast storage of large quantities of uncorrelated spatial information. The aim of the this article is to review and discuss some of this work, taking as a starting point the discovery of multiple functionally specialized cell types of the hippocampal–entorhinal circuit, such as place, grid, and border cells. We will show that grid cells provide the hippocampus with a metric, as well as a putative mechanism for decorrelation of representations, that the formation of environment-specific place maps depends on mechanisms for long-term plasticity in the hippocampus, and that long-term spatiotemporal memory storage may depend on offline consolidation processes related to sharp-wave ripple activity in the hippocampus. The multitude of representations generated through interactions between a variety of functionally specialized cell types in the entorhinal–hippocampal circuit may be at the heart of the mechanism for declarative memory formation. PMID:25646382

  4. Accessibility versus Accuracy in Retrieving Spatial Memory: Evidence for Suboptimal Assumed Headings

    ERIC Educational Resources Information Center

    Yerramsetti, Ashok; Marchette, Steven A.; Shelton, Amy L.

    2013-01-01

    Orientation dependence in spatial memory has often been interpreted in terms of accessibility: Object locations are encoded relative to a reference orientation that affords the most accurate access to spatial memory. An open question, however, is whether people naturally use this "preferred" orientation whenever recalling the space. We…

  5. The Dynamics of Access to Groups in Working Memory

    ERIC Educational Resources Information Center

    Farrell, Simon; Lelievre, Anna

    2012-01-01

    The finding that participants leave a pause between groups when attempting serial recall of temporally grouped lists has been taken to indicate access to a hierarchical representation of the list in working memory. An alternative explanation is that the dynamics of serial recall solely reflect output (rather than memorial) processes, with the…

  6. Kokkos: Enabling manycore performance portability through polymorphic memory access patterns

    DOE PAGES

    Carter Edwards, H.; Trott, Christian R.; Sunderland, Daniel

    2014-07-22

    The manycore revolution can be characterized by increasing thread counts, decreasing memory per thread, and diversity of continually evolving manycore architectures. High performance computing (HPC) applications and libraries must exploit increasingly finer levels of parallelism within their codes to sustain scalability on these devices. We found that a major obstacle to performance portability is the diverse and conflicting set of constraints on memory access patterns across devices. Contemporary portable programming models address manycore parallelism (e.g., OpenMP, OpenACC, OpenCL) but fail to address memory access patterns. The Kokkos C++ library enables applications and domain libraries to achieve performance portability on diversemore » manycore architectures by unifying abstractions for both fine-grain data parallelism and memory access patterns. In this paper we describe Kokkos’ abstractions, summarize its application programmer interface (API), present performance results for unit-test kernels and mini-applications, and outline an incremental strategy for migrating legacy C++ codes to Kokkos. Furthermore, the Kokkos library is under active research and development to incorporate capabilities from new generations of manycore architectures, and to address a growing list of applications and domain libraries.« less

  7. Paging memory from random access memory to backing storage in a parallel computer

    DOEpatents

    Archer, Charles J; Blocksome, Michael A; Inglett, Todd A; Ratterman, Joseph D; Smith, Brian E

    2013-05-21

    Paging memory from random access memory (`RAM`) to backing storage in a parallel computer that includes a plurality of compute nodes, including: executing a data processing application on a virtual machine operating system in a virtual machine on a first compute node; providing, by a second compute node, backing storage for the contents of RAM on the first compute node; and swapping, by the virtual machine operating system in the virtual machine on the first compute node, a page of memory from RAM on the first compute node to the backing storage on the second compute node.

  8. 76 FR 73676 - Certain Dynamic Random Access Memory Devices, and Products Containing Same; Receipt of Complaint...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-11-29

    ... INTERNATIONAL TRADE COMMISSION [DN 2859] Certain Dynamic Random Access Memory Devices, and.... International Trade Commission has received a complaint entitled In Re Certain Dynamic Random Access Memory... certain dynamic random access memory devices, and products containing same. The complaint names Elpida...

  9. 75 FR 16507 - In the Matter of Certain Semiconductor Chips Having Synchronous Dynamic Random Access Memory...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-04-01

    ... Semiconductor Chips Having Synchronous Dynamic Random Access Memory Controllers and Products Containing Same... synchronous dynamic random access memory controllers and products containing same by reason of infringement of... semiconductor chips having synchronous dynamic random access memory controllers and products containing same...

  10. 75 FR 14467 - In the Matter of: Certain Dynamic Random Access Memory Semiconductors and Products Containing...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-25

    ... Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of... the sale within the United States after importation of certain dynamic random access memory semiconductors and products containing same, including memory modules, by reason of infringement of certain...

  11. Enhancing Memory Access for Less Skilled Readers

    ERIC Educational Resources Information Center

    Smith, Emily R.; O'Brien, Edward J.

    2016-01-01

    Less skilled readers' comprehension often suffers because they have an impoverished representation of text in long-term memory; this, in turn, increases the difficulty of gaining access to backgrounded information necessary for maintaining coherence. The results of four experiments demonstrated that providing less skilled readers with additional…

  12. Performance Evaluation of Remote Memory Access (RMA) Programming on Shared Memory Parallel Computers

    NASA Technical Reports Server (NTRS)

    Jin, Hao-Qiang; Jost, Gabriele; Biegel, Bryan A. (Technical Monitor)

    2002-01-01

    The purpose of this study is to evaluate the feasibility of remote memory access (RMA) programming on shared memory parallel computers. We discuss different RMA based implementations of selected CFD application benchmark kernels and compare them to corresponding message passing based codes. For the message-passing implementation we use MPI point-to-point and global communication routines. For the RMA based approach we consider two different libraries supporting this programming model. One is a shared memory parallelization library (SMPlib) developed at NASA Ames, the other is the MPI-2 extensions to the MPI Standard. We give timing comparisons for the different implementation strategies and discuss the performance.

  13. More than a feeling: Emotional cues impact the access and experience of autobiographical memories.

    PubMed

    Sheldon, Signy; Donahue, Julia

    2017-07-01

    Remembering is impacted by several factors of retrieval, including the emotional content of a memory cue. Here we tested how musical retrieval cues that differed on two dimensions of emotion-valence (positive and negative) and arousal (high and low)-impacted the following aspects of autobiographical memory recall: the response time to access a past personal event, the experience of remembering (ratings of memory vividness), the emotional content of a cued memory (ratings of event arousal and valence), and the type of event recalled (ratings of event energy, socialness, and uniqueness). We further explored how cue presentation affected autobiographical memory retrieval by administering cues of similar arousal and valence levels in a blocked fashion to one half of the tested participants, and randomly to the other half. We report three main findings. First, memories were accessed most quickly in response to musical cues that were highly arousing and positive in emotion. Second, we observed a relation between a cue and the elicited memory's emotional valence but not arousal; however, both the cue valence and arousal related to the nature of the recalled event. Specifically, high cue arousal led to lower memory vividness and uniqueness ratings, but cues with both high arousal and positive valence were associated with memories rated as more social and energetic. Finally, cue presentation impacted both how quickly and specifically memories were accessed and how cue valence affected the memory vividness ratings. The implications of these findings for views of how emotion directs the access to memories and the experience of remembering are discussed.

  14. Engram Cells Retain Memory Under Retrograde Amnesia

    PubMed Central

    Ryan, Tomás J.; Roy, Dheeraj S.; Pignatelli, Michele; Arons, Autumn; Tonegawa, Susumu

    2017-01-01

    Memory consolidation is the process by which a newly formed and unstable memory transforms into a stable long-term memory. It is unknown whether the process of memory consolidation occurs exclusively by the stabilization of memory engrams. By employing learning-dependent cell labeling, we identified an increase of synaptic strength and dendritic spine density specifically in consolidated memory engram cells. While these properties are lacking in the engram cells under protein synthesis inhibitor-induced amnesia, direct optogenetic activation of these cells results in memory retrieval, and this correlates with the retained engram cell-specific connectivity. We propose that a specific pattern of connectivity of engram cells may be crucial for memory information storage and that strengthened synapses in these cells critically contribute to the memory retrieval process. PMID:26023136

  15. Nonvolatile GaAs Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R.; Stadler, Henry L.; Wu, Jiin-Chuan

    1994-01-01

    Proposed random-access integrated-circuit electronic memory offers nonvolatile magnetic storage. Bits stored magnetically and read out with Hall-effect sensors. Advantages include short reading and writing times and high degree of immunity to both single-event upsets and permanent damage by ionizing radiation. Use of same basic material for both transistors and sensors simplifies fabrication process, with consequent benefits in increased yield and reduced cost.

  16. Natural Killer Cell Memory

    PubMed Central

    O’Sullivan, Timothy E.; Sun, Joseph C.; Lanier, Lewis L.

    2015-01-01

    Natural killer (NK) cells have historically been considered short-lived cytolytic cells that can rapidly respond against pathogens and tumors in an antigen-independent manner, and then undergo cell death. Recently, however, NK cells have been shown to possess traits of adaptive immunity, and can acquire immunological memory in a similar manner to T and B cells. In this review, we discuss evidence for NK cell memory and the mechanisms involved in the generation and survival of these innate lymphocytes. PMID:26488815

  17. Accessibility limits recall from visual working memory.

    PubMed

    Rajsic, Jason; Swan, Garrett; Wilson, Daryl E; Pratt, Jay

    2017-09-01

    In this article, we demonstrate limitations of accessibility of information in visual working memory (VWM). Recently, cued-recall has been used to estimate the fidelity of information in VWM, where the feature of a cued object is reproduced from memory (Bays, Catalao, & Husain, 2009; Wilken & Ma, 2004; Zhang & Luck, 2008). Response error in these tasks has been largely studied with respect to failures of encoding and maintenance; however, the retrieval operations used in these tasks remain poorly understood. By varying the number and type of object features provided as a cue in a visual delayed-estimation paradigm, we directly assess the nature of retrieval errors in delayed estimation from VWM. Our results demonstrate that providing additional object features in a single cue reliably improves recall, largely by reducing swap, or misbinding, responses. In addition, performance simulations using the binding pool model (Swan & Wyble, 2014) were able to mimic this pattern of performance across a large span of parameter combinations, demonstrating that the binding pool provides a possible mechanism underlying this pattern of results that is not merely a symptom of one particular parametrization. We conclude that accessing visual working memory is a noisy process, and can lead to errors over and above those of encoding and maintenance limitations. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  18. Spin-transfer-torque efficiency enhanced by edge-damage of perpendicular magnetic random access memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Kyungmi; Lee, Kyung-Jin, E-mail: kj-lee@korea.ac.kr; Department of Materials Science and Engineering, Korea University, Seoul 136-713

    2015-08-07

    We numerically investigate the effect of magnetic and electrical damages at the edge of a perpendicular magnetic random access memory (MRAM) cell on the spin-transfer-torque (STT) efficiency that is defined by the ratio of thermal stability factor to switching current. We find that the switching mode of an edge-damaged cell is different from that of an undamaged cell, which results in a sizable reduction in the switching current. Together with a marginal reduction of the thermal stability factor of an edge-damaged cell, this feature makes the STT efficiency large. Our results suggest that a precise edge control is viable formore » the optimization of STT-MRAM.« less

  19. Memory T cells and vaccines.

    PubMed

    Esser, Mark T; Marchese, Rocio D; Kierstead, Lisa S; Tussey, Lynda G; Wang, Fubao; Chirmule, Narendra; Washabaugh, Michael W

    2003-01-17

    T lymphocytes play a central role in the generation of a protective immune response in many microbial infections. After immunization, dendritic cells take up microbial antigens and traffic to draining lymph nodes where they present processed antigens to naïve T cells. These naïve T cells are stimulated to proliferate and differentiate into effector and memory T cells. Activated, effector and memory T cells provide B cell help in the lymph nodes and traffic to sites of infection where they secrete anti-microbial cytokines and kill infected cells. At least two types of memory cells have been defined in humans based on their functional and migratory properties. T central-memory (T(CM)) cells are found predominantly in lymphoid organs and can not be immediately activated, whereas T effector-memory (T(EM)) cells are found predominantly in peripheral tissue and sites of inflammation and exhibit rapid effector function. Most currently licensed vaccines induce antibody responses capable of mediating long-term protection against lytic viruses such as influenza and small pox. In contrast, vaccines against chronic pathogens that require cell-mediated immune responses to control, such as malaria, Mycobacterium tuberculosis (TB), human immunodeficiency virus (HIV) and hepatitis C virus (HCV), are currently not available or are ineffective. Understanding the mechanisms by which long-lived cellular immune responses are generated following vaccination should facilitate the development of safe and effective vaccines against these emerging diseases. Here, we review the current literature with respect to memory T cells and their implications to vaccine development.

  20. 78 FR 35645 - Certain Static Random Access Memories and Products Containing Same; Commission Determination...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-06-13

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-792] Certain Static Random Access Memories and Products Containing Same; Commission Determination Affirming a Final Initial Determination..., and the sale within the United States after importation of certain static random access memories and...

  1. All-optical clocked flip-flops and random access memory cells using the nonlinear polarization rotation effect of low-polarization-dependent semiconductor optical amplifiers

    NASA Astrophysics Data System (ADS)

    Wang, Yongjun; Liu, Xinyu; Tian, Qinghua; Wang, Lina; Xin, Xiangjun

    2018-03-01

    Basic configurations of various all-optical clocked flip-flops (FFs) and optical random access memory (RAM) based on the nonlinear polarization rotation (NPR) effect of low-polarization-dependent semiconductor optical amplifiers (SOA) are proposed. As the constituent elements, all-optical logic gates and all-optical SR latches are constructed by taking advantage of the SOA's NPR switch. Different all-optical FFs (AOFFs), including SR-, D-, T-, and JK-types as well as an optical RAM cell were obtained by the combination of the proposed all-optical SR latches and logic gates. The effectiveness of the proposed schemes were verified by simulation results and demonstrated by a D-FF and 1-bit RAM cell experimental system. The proposed all-optical clocked FFs and RAM cell are significant to all-optical signal processing.

  2. Effector CD8 T cells dedifferentiate into long-lived memory cells.

    PubMed

    Youngblood, Ben; Hale, J Scott; Kissick, Haydn T; Ahn, Eunseon; Xu, Xiaojin; Wieland, Andreas; Araki, Koichi; West, Erin E; Ghoneim, Hazem E; Fan, Yiping; Dogra, Pranay; Davis, Carl W; Konieczny, Bogumila T; Antia, Rustom; Cheng, Xiaodong; Ahmed, Rafi

    2017-12-21

    Memory CD8 T cells that circulate in the blood and are present in lymphoid organs are an essential component of long-lived T cell immunity. These memory CD8 T cells remain poised to rapidly elaborate effector functions upon re-exposure to pathogens, but also have many properties in common with naive cells, including pluripotency and the ability to migrate to the lymph nodes and spleen. Thus, memory cells embody features of both naive and effector cells, fuelling a long-standing debate centred on whether memory T cells develop from effector cells or directly from naive cells. Here we show that long-lived memory CD8 T cells are derived from a subset of effector T cells through a process of dedifferentiation. To assess the developmental origin of memory CD8 T cells, we investigated changes in DNA methylation programming at naive and effector cell-associated genes in virus-specific CD8 T cells during acute lymphocytic choriomeningitis virus infection in mice. Methylation profiling of terminal effector versus memory-precursor CD8 T cell subsets showed that, rather than retaining a naive epigenetic state, the subset of cells that gives rise to memory cells acquired de novo DNA methylation programs at naive-associated genes and became demethylated at the loci of classically defined effector molecules. Conditional deletion of the de novo methyltransferase Dnmt3a at an early stage of effector differentiation resulted in reduced methylation and faster re-expression of naive-associated genes, thereby accelerating the development of memory cells. Longitudinal phenotypic and epigenetic characterization of the memory-precursor effector subset of virus-specific CD8 T cells transferred into antigen-free mice revealed that differentiation to memory cells was coupled to erasure of de novo methylation programs and re-expression of naive-associated genes. Thus, epigenetic repression of naive-associated genes in effector CD8 T cells can be reversed in cells that develop into long

  3. Biocompatibility of nanoactuators: stem cell growth on laser-generated nickel-titanium shape memory alloy nanoparticles

    NASA Astrophysics Data System (ADS)

    Barcikowski, Stephan; Hahn, Anne; Guggenheim, Merlin; Reimers, Kerstin; Ostendorf, Andreas

    2010-06-01

    Nanoactuators made from nanoparticulate NiTi shape memory alloy show potential in the mechanical stimulation of bone tissue formation from stem cells. We demonstrate the fabrication of Ni, Ti, and NiTi shape memory alloy nanoparticles and their biocompatibility to human adipose-derived stem cells. The stoichiometry and phase transformation property of the bulk alloy is preserved during attrition by femtosecond laser ablation in liquid, giving access to colloidal nanoactuators. No adverse effect on cell growth and attachment is observed in proliferation assay and environmental electron scanning microscopy, making this material attractive for mechanical stimulation of stem cells.

  4. Overview of emerging nonvolatile memory technologies

    PubMed Central

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  5. Overview of emerging nonvolatile memory technologies.

    PubMed

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  6. Distinct T helper cell dependence of memory B-cell proliferation versus plasma cell differentiation.

    PubMed

    Zabel, Franziska; Fettelschoss, Antonia; Vogel, Monique; Johansen, Pål; Kündig, Thomas M; Bachmann, Martin F

    2017-03-01

    Several memory B-cell subclasses with distinct functions have been described, of which the most effective is the class-switched (CS) memory B-cell population. We have previously shown, using virus-like particles (VLPs), that the proliferative potential of these CS memory B cells is limited and they fail to re-enter germinal centres (GCs). However, VLP-specific memory B cells quickly differentiated into secondary plasma cells (PCs) with the virtue of elevated antibody production compared with primary PCs. Whereas the induction of VLP + memory B cells was strongly dependent on T helper cells, we were wondering whether re-stimulation of VLP + memory B cells and their differentiation into secondary PCs would also require T helper cells. Global absence of T helper cells led to strongly impaired memory B cell proliferation and PC differentiation. In contrast, lack of interleukin-21 receptor-dependent follicular T helper cells or CD40 ligand signalling strongly affected proliferation of memory B cells, but differentiation into mature secondary PCs exhibiting increased antibody production was essentially normal. This contrasts with primary B-cell responses, where a strong dependence on CD40 ligand but limited importance of interleukin-21 receptor was seen. Hence, T helper cell dependence differs between primary and secondary B-cell responses as well as between memory B-cell proliferation and PC differentiation. © 2016 John Wiley & Sons Ltd.

  7. 75 FR 44283 - In the Matter of Certain Dynamic Random Access Memory Semiconductors and Products Containing Same...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-28

    ... Random Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of a... importation of certain dynamic random access memory semiconductors and products containing same, including memory modules, by reason of infringement of certain claims of U.S. Patent Nos. 5,480,051; 5,422,309; 5...

  8. Making working memory work: The effects of extended practice on focus capacity and the processes of updating, forward access, and random access

    PubMed Central

    Price, John M.; Colflesh, Gregory J. H.; Cerella, John; Verhaeghen, Paul

    2014-01-01

    We investigated the effects of 10 hours of practice on variations of the N-Back task to investigate the processes underlying possible expansion of the focus of attention within working memory. Using subtractive logic, we showed that random access (i.e., Sternberg-like search) yielded a modest effect (a 50% increase in speed) whereas the processes of forward access (i.e., retrieval in order, as in a standard N-Back task) and updating (i.e., changing the contents of working memory) were executed about 5 times faster after extended practice. We additionally found that extended practice increased working memory capacity as measured by the size of the focus of attention for the forward-access task, but not for variations where probing was in random order. This suggests that working memory capacity may depend on the type of search process engaged, and that certain working-memory-related cognitive processes are more amenable to practice than others. PMID:24486803

  9. Making working memory work: the effects of extended practice on focus capacity and the processes of updating, forward access, and random access.

    PubMed

    Price, John M; Colflesh, Gregory J H; Cerella, John; Verhaeghen, Paul

    2014-05-01

    We investigated the effects of 10h of practice on variations of the N-Back task to investigate the processes underlying possible expansion of the focus of attention within working memory. Using subtractive logic, we showed that random access (i.e., Sternberg-like search) yielded a modest effect (a 50% increase in speed) whereas the processes of forward access (i.e., retrieval in order, as in a standard N-Back task) and updating (i.e., changing the contents of working memory) were executed about 5 times faster after extended practice. We additionally found that extended practice increased working memory capacity as measured by the size of the focus of attention for the forward-access task, but not for variations where probing was in random order. This suggests that working memory capacity may depend on the type of search process engaged, and that certain working-memory-related cognitive processes are more amenable to practice than others. Copyright © 2014 Elsevier B.V. All rights reserved.

  10. Aspects of GPU perfomance in algorithms with random memory access

    NASA Astrophysics Data System (ADS)

    Kashkovsky, Alexander V.; Shershnev, Anton A.; Vashchenkov, Pavel V.

    2017-10-01

    The numerical code for solving the Boltzmann equation on the hybrid computational cluster using the Direct Simulation Monte Carlo (DSMC) method showed that on Tesla K40 accelerators computational performance drops dramatically with increase of percentage of occupied GPU memory. Testing revealed that memory access time increases tens of times after certain critical percentage of memory is occupied. Moreover, it seems to be the common problem of all NVidia's GPUs arising from its architecture. Few modifications of the numerical algorithm were suggested to overcome this problem. One of them, based on the splitting the memory into "virtual" blocks, resulted in 2.5 times speed up.

  11. Memory vs memory-like: The different facets of CD8+ T-cell memory in HCV infection.

    PubMed

    Hofmann, Maike; Wieland, Dominik; Pircher, Hanspeter; Thimme, Robert

    2018-05-01

    Memory CD8 + T cells are essential in orchestrating protection from re-infection. Hallmarks of virus-specific memory CD8 + T cells are the capacity to mount recall responses with rapid induction of effector cell function and antigen-independent survival. Growing evidence reveals that even chronic infection does not preclude virus-specific CD8 + T-cell memory formation. However, whether this kind of CD8 + T-cell memory that is established during chronic infection is indeed functional and provides protection from re-infection is still unclear. Human chronic hepatitis C virus infection represents a unique model system to study virus-specific CD8 + T-cell memory formation during and after cessation of persisting antigen stimulation. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  12. Memory T and memory B cells share a transcriptional program of self-renewal with long-term hematopoietic stem cells

    PubMed Central

    Luckey, Chance John; Bhattacharya, Deepta; Goldrath, Ananda W.; Weissman, Irving L.; Benoist, Christophe; Mathis, Diane

    2006-01-01

    The only cells of the hematopoietic system that undergo self-renewal for the lifetime of the organism are long-term hematopoietic stem cells and memory T and B cells. To determine whether there is a shared transcriptional program among these self-renewing populations, we first compared the gene-expression profiles of naïve, effector and memory CD8+ T cells with those of long-term hematopoietic stem cells, short-term hematopoietic stem cells, and lineage-committed progenitors. Transcripts augmented in memory CD8+ T cells relative to naïve and effector T cells were selectively enriched in long-term hematopoietic stem cells and were progressively lost in their short-term and lineage-committed counterparts. Furthermore, transcripts selectively decreased in memory CD8+ T cells were selectively down-regulated in long-term hematopoietic stem cells and progressively increased with differentiation. To confirm that this pattern was a general property of immunologic memory, we turned to independently generated gene expression profiles of memory, naïve, germinal center, and plasma B cells. Once again, memory-enriched and -depleted transcripts were also appropriately augmented and diminished in long-term hematopoietic stem cells, and their expression correlated with progressive loss of self-renewal function. Thus, there appears to be a common signature of both up- and down-regulated transcripts shared between memory T cells, memory B cells, and long-term hematopoietic stem cells. This signature was not consistently enriched in neural or embryonic stem cell populations and, therefore, appears to be restricted to the hematopoeitic system. These observations provide evidence that the shared phenotype of self-renewal in the hematopoietic system is linked at the molecular level. PMID:16492737

  13. Pregnancy persistently affects memory T cell populations.

    PubMed

    Kieffer, Tom E C; Faas, Marijke M; Scherjon, Sicco A; Prins, Jelmer R

    2017-02-01

    Pregnancy is an immune challenge to the maternal immune system. The effects of pregnancy on maternal immunity and particularly on memory T cells during and after pregnancy are not fully known. This observational study aims to show the short term and the long term effects of pregnancy on the constitution, size and activation status of peripheral human memory T-lymphocyte populations. Effector memory (EM) and central memory (CM) T-lymphocytes were analyzed using flow cytometry of peripheral blood from 14 nulligravid, 12 primigravid and 15 parous women that were on average 18 months postpartum. The short term effects were shown by the significantly higher CD4+ EM cell and activated CD4+ memory cell proportions in primigravid women compared to nulligravid women. The persistent effects found in this study were the significantly higher proportions of CD4+ EM, CD4+ CM and activated memory T cells in parous women compared to nulligravid women. In contrast to CD4+ cells, activation status of CD8+ memory cells did not differ between the groups. This study shows that pregnancy persistently affects the pre-pregnancy CD4+ memory cell pool in human peripheral blood. During pregnancy, CD4+ T-lymphocytes might differentiate into EM cells followed by persistent higher proportions of CD4+ CM and EM cells postpartum. The persistent effects of pregnancy on memory T cells found in this study support the hypothesis that memory T cells are generated during pregnancy and that these cells could be involved in the lower complication risks in multiparous pregnancies in humans. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  14. BCH codes for large IC random-access memory systems

    NASA Technical Reports Server (NTRS)

    Lin, S.; Costello, D. J., Jr.

    1983-01-01

    In this report some shortened BCH codes for possible applications to large IC random-access memory systems are presented. These codes are given by their parity-check matrices. Encoding and decoding of these codes are discussed.

  15. 78 FR 25767 - Certain Static Random Access Memories and Products Containing Same; Commission Determination To...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-05-02

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-792] Certain Static Random Access Memories and Products Containing Same; Commission Determination To Review in Part a Final Initial... States after importation of certain static random access memories and products containing the same by...

  16. The evolving roles of memory immune cells in transplantation

    PubMed Central

    Chen, Wenhao; Ghobrial, Rafik M.; Li, Xian C.

    2015-01-01

    Memory cells are the products of immune responses but also exert significant impact on subsequent immunity and immune tolerance, thus placing them in a unique position in transplant research. Memory cells are heterogeneous, including not only memory T cells but also memory B cells and innate memory cells. Memory cells are a critical component of protective immunity against invading pathogens, especially in immunosuppressed patients, but they also mediate graft loss and tolerance resistance. Recent studies suggest that some memory cells unexpectedly act as regulatory cells, promoting rather than hindering transplant survival. This functional diversity makes therapeutic targeting of memory cells a challenging task in transplantation. In this article we highlight recent advances in our understanding of memory cells, focusing on diversity of memory cells and mechanisms involved in their induction and functions. We also provide a broad overview on the challenges and opportunities in targeting memory cells in the induction of transplant tolerance. PMID:26102615

  17. Development of Curie point switching for thin film, random access, memory device

    NASA Technical Reports Server (NTRS)

    Lewicki, G. W.; Tchernev, D. I.

    1967-01-01

    Managanese bismuthide films are used in the development of a random access memory device of high packing density and nondestructive readout capability. Memory entry is by Curie point switching using a laser beam. Readout is accomplished by microoptical or micromagnetic scanning.

  18. The effect of patterning options on embedded memory cells in logic technologies at iN10 and iN7

    NASA Astrophysics Data System (ADS)

    Appeltans, Raf; Weckx, Pieter; Raghavan, Praveen; Kim, Ryoung-Han; Kar, Gouri Sankar; Furnémont, Arnaud; Van der Perre, Liesbet; Dehaene, Wim

    2017-03-01

    Static Random Access Memory (SRAM) cells are used together with logic standard cells as the benchmark to develop the process flow for new logic technologies. In order to achieve successful integration of Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) as area efficient higher level embedded cache, it also needs to be included as a benchmark. The simple cell structure of STT-MRAM brings extra patterning challenges to achieve high density. The two memory types are compared in terms of minimum area and critical design rules in both the iN10 and iN7 node, with an extra focus on patterning options in iN7. Both the use of Self-Aligned Quadruple Patterning (SAQP) mandrel and spacer engineering, as well as multi-level via's are explored. These patterning options result in large area gains for the STT-MRAM cell and moreover determine which cell variant is the smallest.

  19. Complex dynamics of semantic memory access in reading

    PubMed Central

    Baggio, Giosué; Fonseca, André

    2012-01-01

    Understanding a word in context relies on a cascade of perceptual and conceptual processes, starting with modality-specific input decoding, and leading to the unification of the word's meaning into a discourse model. One critical cognitive event, turning a sensory stimulus into a meaningful linguistic sign, is the access of a semantic representation from memory. Little is known about the changes that activating a word's meaning brings about in cortical dynamics. We recorded the electroencephalogram (EEG) while participants read sentences that could contain a contextually unexpected word, such as ‘cold’ in ‘In July it is very cold outside’. We reconstructed trajectories in phase space from single-trial EEG time series, and we applied three nonlinear measures of predictability and complexity to each side of the semantic access boundary, estimated as the onset time of the N400 effect evoked by critical words. Relative to controls, unexpected words were associated with larger prediction errors preceding the onset of the N400. Accessing the meaning of such words produced a phase transition to lower entropy states, in which cortical processing becomes more predictable and more regular. Our study sheds new light on the dynamics of information flow through interfaces between sensory and memory systems during language processing. PMID:21715401

  20. Complex dynamics of semantic memory access in reading.

    PubMed

    Baggio, Giosué; Fonseca, André

    2012-02-07

    Understanding a word in context relies on a cascade of perceptual and conceptual processes, starting with modality-specific input decoding, and leading to the unification of the word's meaning into a discourse model. One critical cognitive event, turning a sensory stimulus into a meaningful linguistic sign, is the access of a semantic representation from memory. Little is known about the changes that activating a word's meaning brings about in cortical dynamics. We recorded the electroencephalogram (EEG) while participants read sentences that could contain a contextually unexpected word, such as 'cold' in 'In July it is very cold outside'. We reconstructed trajectories in phase space from single-trial EEG time series, and we applied three nonlinear measures of predictability and complexity to each side of the semantic access boundary, estimated as the onset time of the N400 effect evoked by critical words. Relative to controls, unexpected words were associated with larger prediction errors preceding the onset of the N400. Accessing the meaning of such words produced a phase transition to lower entropy states, in which cortical processing becomes more predictable and more regular. Our study sheds new light on the dynamics of information flow through interfaces between sensory and memory systems during language processing.

  1. IgG1 memory B cells keep the memory of IgE responses.

    PubMed

    He, Jin-Shu; Subramaniam, Sharrada; Narang, Vipin; Srinivasan, Kandhadayar; Saunders, Sean P; Carbajo, Daniel; Wen-Shan, Tsao; Hidayah Hamadee, Nur; Lum, Josephine; Lee, Andrea; Chen, Jinmiao; Poidinger, Michael; Zolezzi, Francesca; Lafaille, Juan J; Curotto de Lafaille, Maria A

    2017-09-21

    The unique differentiation of IgE cells suggests unconventional mechanisms of IgE memory. IgE germinal centre cells are transient, most IgE cells are plasma cells, and high affinity IgE is produced by the switching of IgG1 cells to IgE. Here we investigate the function of subsets of IgG1 memory B cells in IgE production and find that two subsets of IgG1 memory B cells, CD80 + CD73 + and CD80 - CD73 - , contribute distinctively to the repertoires of high affinity pathogenic IgE and low affinity non-pathogenic IgE. Furthermore, repertoire analysis indicates that high affinity IgE and IgG1 plasma cells differentiate from rare CD80 + CD73 + high affinity memory clones without undergoing further mutagenesis. By identifying the cellular origin of high affinity IgE and the clonal selection of high affinity memory B cells into the plasma cell fate, our findings provide fundamental insights into the pathogenesis of allergies, and on the mechanisms of antibody production in memory B cell responses.IgE is an important mediator of protective immunity as well as allergic reaction, but how high affinity IgE antibodies are produced in memory responses is not clear. Here the authors show that IgE can be generated via class-switch recombination in IgG1 memory B cells without additional somatic hypermutation.

  2. Adult Age Differences in Accessing and Retrieving Information from Long-Term Memory.

    ERIC Educational Resources Information Center

    Petros, Thomas V.; And Others

    1983-01-01

    Investigated adult age differences in accessing and retrieving information from long-term memory. Results showed that older adults (N=26) were slower than younger adults (N=35) at feature extraction, lexical access, and accessing category information. The age deficit was proportionally greater when retrieval of category information was required.…

  3. T inflammatory memory CD8 T cells participate to antiviral response and generate secondary memory cells with an advantage in XCL1 production.

    PubMed

    Jubin, Virginie; Ventre, Erwan; Leverrier, Yann; Djebali, Sophia; Mayol, Katia; Tomkowiak, Martine; Mafille, Julien; Teixeira, Marie; Teoh, Denise Y-L; Lina, Bruno; Walzer, Thierry; Arpin, Christophe; Marvel, Jacqueline

    2012-06-01

    Besides the classically described subsets of memory CD8 T cells generated under infectious conditions, are T inflammatory memory cells generated under sterile priming conditions, such as sensitization to allergens. Although not fully differentiated as pathogen-induced memory cells, they display memory properties that distinguish them from naive CD8 T cells. Given these memory cells are generated in an antigen-specific context that is devoid of pathogen-derived danger signals and CD4 T cell help, we herein questioned whether they maintained their activation and differentiation potential, could be recruited in an immune response directed against a pathogen expressing their cognate antigen and further differentiate in fully competent secondary memory cells. We show that T inflammatory memory cells can indeed take part to the immune response triggered by a viral infection, differentiate into secondary effectors and further generate typical central memory CD8 T cells and effector memory CD8 T cells. Furthermore, the secondary memory cells they generate display a functional advantage over primary memory cells in their capacity to produce TNF-α and the XCL1 chemokine. These results suggest that cross-reactive stimulations and differentiation of cells directed against allergens or self into fully competent pathogen-induced memory cells might have incidences in inflammatory immuno-pathologies.

  4. Artificial intelligence applications of fast optical memory access

    NASA Astrophysics Data System (ADS)

    Henshaw, P. D.; Todtenkopf, A. B.

    The operating principles and performance of rapid laser beam-steering (LBS) techniques are reviewed and illustrated with diagrams; their applicability to fast optical-memory (disk) access is evaluated; and the implications of fast access for the design of expert systems are discussed. LBS methods examined include analog deflection (source motion, wavefront tilt, and phased arrays), digital deflection (polarization modulation, reflectivity modulation, interferometric switching, and waveguide deflection), and photorefractive LBS. The disk-access problem is considered, and typical LBS requirements are listed as 38,000 beam positions, rotational latency 25 ms, one-sector rotation time 1.5 ms, and intersector space 87 microsec. The value of rapid access for increasing the power of expert systems (by permitting better organization of blocks of information) is illustrated by summarizing the learning process of the MVP-FORTH system (Park, 1983).

  5. Improving memory after interruption: exploiting soft constraints and manipulating information access cost.

    PubMed

    Morgan, Phillip L; Patrick, John; Waldron, Samuel M; King, Sophia L; Patrick, Tanya

    2009-12-01

    Forgetting what one was doing prior to interruption is an everyday problem. The recent soft constraints hypothesis (Gray, Sims, Fu, & Schoelles, 2006) emphasizes the strategic adaptation of information processing strategy to the task environment. It predicts that increasing information access cost (IAC: the time, and physical and mental effort involved in accessing information) encourages a more memory-intensive strategy. Like interruptions, access costs are also intrinsic to most work environments, such as when opening documents and e-mails. Three experiments investigated whether increasing IAC during a simple copying task can be an effective method for reducing forgetting following interruption. IAC was designated Low (all information permanently visible), Medium (a mouse movement to uncover target information), or High (an additional few seconds to uncover such information). Experiment 1 found that recall improved across all three levels of IAC. Subsequent experiments found that High IAC facilitated resumption after interruption, particularly when interruption occurred on half of all trials (Experiment 2), and improved prospective memory following two different interrupting tasks, even when one involved the disruptive effect of using the same type of resource as the primary task (Experiment 3). The improvement of memory after interruption with increased IAC supports the prediction of the soft constraints hypothesis. The main disadvantage of a high access cost was a reduction in speed of task completion. The practicality of manipulating IAC as a design method for inducing a memory-intensive strategy to protect against forgetting is discussed. Copyright 2009 APA

  6. Integrated, nonvolatile, high-speed analog random access memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Wu, Jiin-Chuan (Inventor); Stadler, Henry L. (Inventor)

    1994-01-01

    This invention provides an integrated, non-volatile, high-speed random access memory. A magnetically switchable ferromagnetic or ferrimagnetic layer is sandwiched between an electrical conductor which provides the ability to magnetize the magnetically switchable layer and a magneto resistive or Hall effect material which allows sensing the magnetic field which emanates from the magnetization of the magnetically switchable layer. By using this integrated three-layer form, the writing process, which is controlled by the conductor, is separated from the storage medium in the magnetic layer and from the readback process which is controlled by the magnetoresistive layer. A circuit for implementing the memory in CMOS or the like is disclosed.

  7. Mapping virtual addresses to different physical addresses for value disambiguation for thread memory access requests

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gala, Alan; Ohmacht, Martin

    A multiprocessor system includes nodes. Each node includes a data path that includes a core, a TLB, and a first level cache implementing disambiguation. The system also includes at least one second level cache and a main memory. For thread memory access requests, the core uses an address associated with an instruction format of the core. The first level cache uses an address format related to the size of the main memory plus an offset corresponding to hardware thread meta data. The second level cache uses a physical main memory address plus software thread meta data to store the memorymore » access request. The second level cache accesses the main memory using the physical address with neither the offset nor the thread meta data after resolving speculation. In short, this system includes mapping of a virtual address to a different physical addresses for value disambiguation for different threads.« less

  8. Genome-wide RNA profiling of long-lasting stem cell-like memory CD8 T cells induced by Yellow Fever vaccination in humans.

    PubMed

    Fuertes Marraco, Silvia A; Soneson, Charlotte; Delorenzi, Mauro; Speiser, Daniel E

    2015-09-01

    The live-attenuated Yellow Fever (YF) vaccine YF-17D induces a broad and polyfunctional CD8 T cell response in humans. Recently, we identified a population of stem cell-like memory CD8 T cells induced by YF-17D that persists at stable frequency for at least 25 years after vaccination. The YF-17D is thus a model system of human CD8 T cell biology that furthermore allows to track and study long-lasting and antigen-specific human memory CD8 T cells. Here, we describe in detail the sample characteristics and preparation of a microarray dataset acquired for genome-wide gene expression profiling of long-lasting YF-specific stem cell-like memory CD8 T cells, compared to the reference CD8 T cell differentiation subsets from total CD8 T cells. We also describe the quality controls, annotations and exploratory analyses of the dataset. The microarray data is available from the Gene Expression Omnibus (GEO) public repository with accession number GSE65804.

  9. Asymptomatic memory CD8+ T cells

    PubMed Central

    Khan, Arif Azam; Srivastava, Ruchi; Lopes, Patricia Prado; Wang, Christine; Pham, Thanh T; Cochrane, Justin; Thai, Nhi Thi Uyen; Gutierrez, Lucas; BenMohamed, Lbachir

    2014-01-01

    Generation and maintenance of high quantity and quality memory CD8+ T cells determine the level of protection from viral, bacterial, and parasitic re-infections, and hence constitutes a primary goal for T cell epitope-based human vaccines and immunotherapeutics. Phenotypically and functionally characterizing memory CD8+ T cells that provide protection against herpes simplex virus type 1 and type 2 (HSV-1 and HSV-2) infections, which cause blinding ocular herpes, genital herpes, and oro-facial herpes, is critical for better vaccine design. We have recently categorized 2 new major sub-populations of memory symptomatic and asymptomatic CD8+ T cells based on their phenotype, protective vs. pathogenic function, and anatomical locations. In this report we are discussing a new direction in developing T cell-based human herpes vaccines and immunotherapeutics based on the emerging new concept of “symptomatic and asymptomatic memory CD8+ T cells.” PMID:24499824

  10. Memory-like Responses of Natural Killer Cells

    PubMed Central

    Cooper, Megan A.; Yokoyama, Wayne M.

    2010-01-01

    Summary Natural killer (NK) cells are lymphocytes with the capacity to produce cytokines and kill target cells upon activation. NK cells have long been categorized as members of the innate immune system and as such have been thought to follow the ‘rules’ of innate immunity, including the principle that they have no immunologic memory, a property thought to be strictly limited to adaptive immunity. However, recent studies have suggested that NK cells have the capacity to alter their behavior based on prior activation. This property is analogous to adaptive immune memory; however, some NK cell memory-like functions are not strictly antigen-dependent and can be demonstrated following cytokine stimulation. Here we discuss the recent evidence that NK cells can exhibit properties of immunologic memory, focusing on the ability of cytokines to non-specifically induce memory-like NK cells with enhanced responses to restimulation. PMID:20536571

  11. CD4 T-Cell Memory Generation and Maintenance

    PubMed Central

    Gasper, David J.; Tejera, Melba Marie; Suresh, M.

    2014-01-01

    Immunologic memory is the adaptive immune system's powerful ability to remember a previous antigen encounter and react with accelerated vigor upon antigen re-exposure. It provides durable protection against reinfection with pathogens and is the foundation for vaccine-induced immunity. Unlike the relatively restricted immunologic purview of memory B cells and CD8 T cells, the field of CD4 T-cell memory must account for multiple distinct lineages with diverse effector functions, the issue of lineage commitment and plasticity, and the variable distribution of memory cells within each lineage. Here, we discuss the evidence for lineage-specific CD4 T-cell memory and summarize the known factors contributing to memory-cell generation, plasticity, and long-term maintenance. PMID:24940912

  12. Boosting the FM-Index on the GPU: Effective Techniques to Mitigate Random Memory Access.

    PubMed

    Chacón, Alejandro; Marco-Sola, Santiago; Espinosa, Antonio; Ribeca, Paolo; Moure, Juan Carlos

    2015-01-01

    The recent advent of high-throughput sequencing machines producing big amounts of short reads has boosted the interest in efficient string searching techniques. As of today, many mainstream sequence alignment software tools rely on a special data structure, called the FM-index, which allows for fast exact searches in large genomic references. However, such searches translate into a pseudo-random memory access pattern, thus making memory access the limiting factor of all computation-efficient implementations, both on CPUs and GPUs. Here, we show that several strategies can be put in place to remove the memory bottleneck on the GPU: more compact indexes can be implemented by having more threads work cooperatively on larger memory blocks, and a k-step FM-index can be used to further reduce the number of memory accesses. The combination of those and other optimisations yields an implementation that is able to process about two Gbases of queries per second on our test platform, being about 8 × faster than a comparable multi-core CPU version, and about 3 × to 5 × faster than the FM-index implementation on the GPU provided by the recently announced Nvidia NVBIO bioinformatics library.

  13. Accessing Information in Working Memory: Can the Focus of Attention Grasp Two Elements at the Same Time?

    ERIC Educational Resources Information Center

    Oberauer, Klaus; Bialkova, Svetlana

    2009-01-01

    Processing information in working memory requires selective access to a subset of working-memory contents by a focus of attention. Complex cognition often requires joint access to 2 items in working memory. How does the focus select 2 items? Two experiments with an arithmetic task and 1 with a spatial task investigate time demands for successive…

  14. 76 FR 2336 - Dynamic Random Access Memory Semiconductors From the Republic of Korea: Final Results of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-01-13

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-580-851] Dynamic Random Access Memory... administrative review of the countervailing duty order on dynamic random access memory semiconductors from the... following events have occurred since the publication of the preliminary results of this review. See Dynamic...

  15. Stroma: the forgotten cells of innate immune memory.

    PubMed

    Crowley, Thomas; Buckley, Christopher D; Clark, Andrew R

    2018-05-05

    All organisms are constantly exposed to a variety of infectious and injurious stimuli. These induce inflammatory responses tailored to the threat posed. Whilst the innate immune system is the front line of response to each stimulant, it has been traditionally considered to lack memory, acting in a generic fashion until the adaptive immune arm can take over. This outmoded simplification of the roles of innate and acquired arms of the immune system has been challenged by evidence of myeloid cells altering their response to subsequent encounters based on earlier exposure. This concept of "innate immune memory" has been known for nearly a century, and is accepted amongst myeloid biologists. In recent years, other innate immune cells, such as natural killer cells, have been shown to display memory, suggesting innate immune memory is a trait common to several cell types. Over the last thirty years, evidence has slowly accumulated in favour of not only haematopoietic cells, but also stromal cells, being imbued with memory following inflammatory episodes. A recent publication showing this also to be true in epithelial cells suggests innate immune memory to be widespread, if underappreciated, in non-haematopoietic cells. In this review, we will examine the evidence supporting the existence of innate immune memory in stromal cells. We will also discuss the ramifications of memory in long-lived tissue-resident cells. Finally, we will pose questions we feel to be important in the understanding of these forgotten cells in the field of innate memory. This article is protected by copyright. All rights reserved. © 2018 British Society for Immunology.

  16. Realisation of all 16 Boolean logic functions in a single magnetoresistance memory cell

    NASA Astrophysics Data System (ADS)

    Gao, Shuang; Yang, Guang; Cui, Bin; Wang, Shouguo; Zeng, Fei; Song, Cheng; Pan, Feng

    2016-06-01

    Stateful logic circuits based on next-generation nonvolatile memories, such as magnetoresistance random access memory (MRAM), promise to break the long-standing von Neumann bottleneck in state-of-the-art data processing devices. For the successful commercialisation of stateful logic circuits, a critical step is realizing the best use of a single memory cell to perform logic functions. In this work, we propose a method for implementing all 16 Boolean logic functions in a single MRAM cell, namely a magnetoresistance (MR) unit. Based on our experimental results, we conclude that this method is applicable to any MR unit with a double-hump-like hysteresis loop, especially pseudo-spin-valve magnetic tunnel junctions with a high MR ratio. Moreover, after simply reversing the correspondence between voltage signals and output logic values, this method could also be applicable to any MR unit with a double-pit-like hysteresis loop. These results may provide a helpful solution for the final commercialisation of MRAM-based stateful logic circuits in the near future.Stateful logic circuits based on next-generation nonvolatile memories, such as magnetoresistance random access memory (MRAM), promise to break the long-standing von Neumann bottleneck in state-of-the-art data processing devices. For the successful commercialisation of stateful logic circuits, a critical step is realizing the best use of a single memory cell to perform logic functions. In this work, we propose a method for implementing all 16 Boolean logic functions in a single MRAM cell, namely a magnetoresistance (MR) unit. Based on our experimental results, we conclude that this method is applicable to any MR unit with a double-hump-like hysteresis loop, especially pseudo-spin-valve magnetic tunnel junctions with a high MR ratio. Moreover, after simply reversing the correspondence between voltage signals and output logic values, this method could also be applicable to any MR unit with a double-pit-like hysteresis

  17. What versus where: Investigating how autobiographical memory retrieval differs when accessed with thematic versus spatial information.

    PubMed

    Sheldon, Signy; Chu, Sonja

    2017-09-01

    Autobiographical memory research has investigated how cueing distinct aspects of a past event can trigger different recollective experiences. This research has stimulated theories about how autobiographical knowledge is accessed and organized. Here, we test the idea that thematic information organizes multiple autobiographical events whereas spatial information organizes individual past episodes by investigating how retrieval guided by these two forms of information differs. We used a novel autobiographical fluency task in which participants accessed multiple memory exemplars to event theme and spatial (location) cues followed by a narrative description task in which they described the memories generated to these cues. Participants recalled significantly more memory exemplars to event theme than to spatial cues; however, spatial cues prompted faster access to past memories. Results from the narrative description task revealed that memories retrieved via event theme cues compared to spatial cues had a higher number of overall details, but those recalled to the spatial cues were recollected with a greater concentration on episodic details than those retrieved via event theme cues. These results provide evidence that thematic information organizes and integrates multiple memories whereas spatial information prompts the retrieval of specific episodic content from a past event.

  18. Taxing Working Memory during Retrieval of Emotional Memories Does Not Reduce Memory Accessibility When Cued with Reminders

    PubMed Central

    van Schie, Kevin; Engelhard, Iris M.; van den Hout, Marcel A.

    2015-01-01

    Earlier studies have shown that when individuals recall an emotional memory while simultaneously doing a demanding dual-task [e.g., playing Tetris, mental arithmetic, making eye movements (EM)], this reduces self-reported vividness and emotionality of the memory. These effects have been found up to 1 week later, but have largely been confined to self-report ratings. This study examined whether this dual-tasking intervention reduces memory performance (i.e., accessibility of emotional memories). Undergraduates (N = 60) studied word-image pairs and rated the retrieved image on vividness and emotionality when cued with the word. Then they viewed the cues and recalled the images with or without making EM. Finally, they re-rated the images on vividness and emotionality. Additionally, fragments from images from all conditions were presented and participants identified which fragment was paired earlier with which cue. Findings showed no effect of the dual-task manipulation on self-reported ratings and latency responses. Several possible explanations for the lack of effects are discussed, but the cued recall procedure in our experiment seems to explain the absence of effects best. The study demonstrates boundaries to the effects of the “dual-tasking” procedure. PMID:25729370

  19. CD8 T cell memory: it takes all kinds

    PubMed Central

    Hamilton, Sara E.; Jameson, Stephen C.

    2012-01-01

    Understanding the mechanisms that regulate the differentiation and maintenance of CD8+ memory T cells is fundamental to the development of effective T cell-based vaccines. Memory cell differentiation is influenced by the cytokines that accompany T cell priming, the history of previous antigen encounters, and the tissue sites into which memory cells migrate. These cues combine to influence the developing CD8+ memory pool, and recent work has revealed the importance of multiple transcription factors, metabolic molecules, and surface receptors in revealing the type of memory cell that is generated. Paired with increasingly meticulous subsetting and sorting of memory populations, we now know the CD8+ memory pool to be phenotypically and functionally heterogeneous in nature. This includes both recirculating and tissue-resident memory populations, and cells with varying degrees of inherent longevity and protective function. These data point to the importance of tailored vaccine design. Here we discuss how the diversity of the memory CD8+ T cell pool challenges the notion that “one size fits all” for pathogen control, and how distinct memory subsets may be suited for distinct aspects of protective immunity. PMID:23230436

  20. SONOS Nonvolatile Memory Cell Programming Characteristics

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Phillips, Thomas A.; Ho, Fat D.

    2010-01-01

    Silicon-oxide-nitride-oxide-silicon (SONOS) nonvolatile memory is gaining favor over conventional EEPROM FLASH memory technology. This paper characterizes the SONOS write operation using a nonquasi-static MOSFET model. This includes floating gate charge and voltage characteristics as well as tunneling current, voltage threshold and drain current characterization. The characterization of the SONOS memory cell predicted by the model closely agrees with experimental data obtained from actual SONOS memory cells. The tunnel current, drain current, threshold voltage and read drain current all closely agreed with empirical data.

  1. Memory T cells maintain protracted protection against malaria.

    PubMed

    Krzych, Urszula; Zarling, Stasya; Pichugin, Alexander

    2014-10-01

    Immunologic memory is one of the cardinal features of antigen-specific immune responses, and the persistence of memory cells contributes to prophylactic immunizations against infectious agents. Adequately maintained memory T and B cell pools assure a fast, effective and specific response against re-infections. However, many aspects of immunologic memory are still poorly understood, particularly immunologic memory inducible by parasites, for example, Plasmodium spp., the causative agents of malaria. For example, memory responses to Plasmodium antigens amongst residents of malaria endemic areas appear to be either inadequately developed or maintained, because persons who survive episodes of childhood malaria remain vulnerable to intermittent malaria infections. By contrast, multiple exposures of humans and laboratory rodents to radiation-attenuated Plasmodium sporozoites (γ-spz) induce sterile and long-lasting protection against experimental sporozoite challenge. Multifactorial immune mechanisms maintain this protracted and sterile protection. While the presence of memory CD4 T cell subsets has been associated with lasting protection in humans exposed to multiple bites from Anopheles mosquitoes infected with attenuated Plasmodium falciparum, memory CD8 T cells maintain protection induced with Plasmodium yoelii and Plasmodium berghei γ-spz in murine models. In this review, we discuss our observations that show memory CD8 T cells specific for antigens expressed by P. berghei liver stage parasites as an indispensable component for the maintenance of protracted protective immunity against experimental malaria infection; moreover, the provision of an Ag-depot assures a quick recall of memory T cells as IFN-γ-producing effector CD8 T cells and IL-4- producing CD4 T cells that collaborate with B cells for an effective antibody response. Published by Elsevier B.V.

  2. Large Capacity of Conscious Access for Incidental Memories in Natural Scenes.

    PubMed

    Kaunitz, Lisandro N; Rowe, Elise G; Tsuchiya, Naotsugu

    2016-09-01

    When searching a crowd, people can detect a target face only by direct fixation and attention. Once the target is found, it is consciously experienced and remembered, but what is the perceptual fate of the fixated nontarget faces? Whereas introspection suggests that one may remember nontargets, previous studies have proposed that almost no memory should be retained. Using a gaze-contingent paradigm, we asked subjects to visually search for a target face within a crowded natural scene and then tested their memory for nontarget faces, as well as their confidence in those memories. Subjects remembered up to seven fixated, nontarget faces with more than 70% accuracy. Memory accuracy was correlated with trial-by-trial confidence ratings, which implies that the memory was consciously maintained and accessed. When the search scene was inverted, no more than three nontarget faces were remembered. These findings imply that incidental memory for faces, such as those recalled by eyewitnesses, is more reliable than is usually assumed. © The Author(s) 2016.

  3. Light sensitivity of a one transistor-one capacitor memory cell when used as a micromirror actuator in projector applications

    NASA Astrophysics Data System (ADS)

    Huffman, James Douglas

    2001-11-01

    The most important issue facing the future business success of the Digital Micromirror Device or DMD™ produced by Texas Instruments is the cost of the actual device. As the business and consumer markets call for higher resolution displays, the array size will have to be increased to incorporate more pixels. The manufacturing costs associated with building these higher resolution displays follow an exponential relation with the number of pixels due to yield loss and reduced number of chips per silicon wafer. Each pixel is actuated by electrostatics that are provided by a memory cell that is built in the underlying silicon substrate. One way to decrease cost of the wafer is to change the memory cell architecture from a static random access configuration or SRAM to a dynamic random access configuration or DRAM. This change has the benefits of having fewer components per area and a lower metal density. This reduction in the component count and metal density has a dramatic effect on the yield of the memory array by reducing the particle sensitivity of the underlying cell. The main drawback to using a DRAM configuration in a display application is the light sensitivity of a charge storage device built in the silicon substrate. As the photons pass through the mechanical micromirrors and illuminate the DRAM cell, the effective electrostatic potential of the memory element used for the mirror actuation is reduced. This dissertation outlines the issues associated with the light sensitivity of a DRAM memory cell as the actuation element for a micromirror. The concept of charge depletion on a silicon capacitor due to recombination of photogenerated carriers is explored and experimentally verified. The effects of the reduced potential on the capacitor on the micromirror are also explored. Optical modeling is used to determine the incoming photon flux to determine the benefits of adding a charge recombination region as part of the DRAM memory cell. Several options are explored

  4. 75 FR 20564 - Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-04-20

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-580-851] Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit for Preliminary Results of Countervailing Duty... access memory semiconductors from the Republic of Korea, covering the period January 1, 2008 through...

  5. Secondary immunization generates clonally related antigen-specific plasma cells and memory B cells.

    PubMed

    Frölich, Daniela; Giesecke, Claudia; Mei, Henrik E; Reiter, Karin; Daridon, Capucine; Lipsky, Peter E; Dörner, Thomas

    2010-09-01

    Rechallenge with T cell-dependent Ags induces memory B cells to re-enter germinal centers (GCs) and undergo further expansion and differentiation into plasma cells (PCs) and secondary memory B cells. It is currently not known whether the expanded population of memory B cells and PCs generated in secondary GCs are clonally related, nor has the extent of proliferation and somatic hypermutation of their precursors been delineated. In this study, after secondary tetanus toxoid (TT) immunization, TT-specific PCs increased 17- to 80-fold on days 6-7, whereas TT-specific memory B cells peaked (delayed) on day 14 with a 2- to 22-fold increase. Molecular analyses of V(H)DJ(H) rearrangements of individual cells revealed no major differences of gene usage and CDR3 length between TT-specific PCs and memory B cells, and both contained extensive evidence of somatic hypermutation with a pattern consistent with GC reactions. This analysis identified clonally related TT-specific memory B cells and PCs. Within clusters of clonally related cells, sequences shared a number of mutations but also could contain additional base pair changes. The data indicate that although following secondary immunization PCs can derive from memory B cells without further somatic hypermutation, in some circumstances, likely within GC reactions, asymmetric mutation can occur. These results suggest that after the fate decision to differentiate into secondary memory B cells or PCs, some committed precursors continue to proliferate and mutate their V(H) genes.

  6. Children's Access to Public Library Services: Prince George's County Memorial Public Library, Maryland, 1980.

    ERIC Educational Resources Information Center

    Gerhardt, Lillian N.

    1981-01-01

    Evaluates the Prince George's County Memorial Public Library's approach to providing access to its services for children, and examines policies, regulations, practices, and conditions that affect such access. Six references are cited. (FM)

  7. Generation of memory B cells and their reactivation.

    PubMed

    Inoue, Takeshi; Moran, Imogen; Shinnakasu, Ryo; Phan, Tri Giang; Kurosaki, Tomohiro

    2018-05-01

    The successful establishment of humoral memory response depends on at least two layers of defense. Pre-existing protective antibodies secreted by long-lived plasma cells act as a first line of defense against reinfection ("constitutive humoral memory"). Previously, a second line of defense in which pathogen-experienced memory B cells are rapidly reactivated to produce antibodies ("reactive humoral memory"), was considered as simply a back-up system for the first line (particularly for re-infection with homologous viruses). However, in the case of re-infection with similar but different strains of viruses, or in response to viral escape mutants, the reactive humoral memory plays a crucial role. Here, we review recent progress in our understanding of how memory B cells are generated in the pre-GC stage and during the GC reaction, and how these memory B cells are robustly reactivated with the help of memory Tfh cells to generate the secondary antibody response. In addition, we discuss how these advances may be relevant to the quest for a vaccine that can induce broadly reactive antibodies against influenza and HIV. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  8. Memory access in shared virtual memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berrendorf, R.

    1992-01-01

    Shared virtual memory (SVM) is a virtual memory layer with a single address space on top of a distributed real memory on parallel computers. We examine the behavior and performance of SVM running a parallel program with medium-grained, loop-level parallelism on top of it. A simulator for the underlying parallel architecture can be used to examine the behavior of SVM more deeply. The influence of several parameters, such as the number of processors, page size, cold or warm start, and restricted page replication, is studied.

  9. Memory access in shared virtual memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berrendorf, R.

    1992-09-01

    Shared virtual memory (SVM) is a virtual memory layer with a single address space on top of a distributed real memory on parallel computers. We examine the behavior and performance of SVM running a parallel program with medium-grained, loop-level parallelism on top of it. A simulator for the underlying parallel architecture can be used to examine the behavior of SVM more deeply. The influence of several parameters, such as the number of processors, page size, cold or warm start, and restricted page replication, is studied.

  10. A Memory B Cell Crossmatch Assay for Quantification of Donor-Specific Memory B Cells in the Peripheral Blood of HLA-Immunized Individuals.

    PubMed

    Karahan, G E; de Vaal, Y J H; Krop, J; Wehmeier, C; Roelen, D L; Claas, F H J; Heidt, S

    2017-10-01

    Humoral responses against mismatched donor HLA are routinely measured as serum HLA antibodies, which are mainly produced by bone marrow-residing plasma cells. Individuals with a history of alloimmunization but lacking serum antibodies may harbor circulating dormant memory B cells, which may rapidly become plasma cells on antigen reencounter. Currently available methods to detect HLA-specific memory B cells are scarce and insufficient in quantifying the complete donor-specific memory B cell response due to their dependence on synthetic HLA molecules. We present a highly sensitive and specific tool for quantifying donor-specific memory B cells in peripheral blood of individuals using cell lysates covering the complete HLA class I and class II repertoire of an individual. Using this enzyme-linked immunospot (ELISpot) assay, we found a median frequency of 31 HLA class I and 89 HLA class II-specific memory B cells per million IgG-producing cells directed at paternal HLA in peripheral blood samples from women (n = 22) with a history of pregnancy, using cell lysates from spouses. The donor-specific memory B cell ELISpot can be used in HLA diagnostic laboratories as a cross-match assay to quantify donor-specific memory B cells in patients with a history of sensitizing events. © 2017 The American Society of Transplantation and the American Society of Transplant Surgeons.

  11. Memory B cells in Guillain-Barré syndrome.

    PubMed

    Wang, Qian; Xing, Chunye; Hao, Yanlei; Shi, Qiguang; Qi, Ziyou; Lv, Zhanyun; Song, Yan; Xu, Peng; Feng, Xungang; Zhang, Lili; Zhang, Yong; Wang, Yuzhong; Yuki, Nobuhiro

    2017-04-15

    IgG autoantibodies against gangliosides show the highest titers at the disease onset of axonal Guillain-Barré syndrome (GBS), in which there are no IgM anti-ganglioside antibodies. We hypothesized that memory B cells take part in the development of producing IgG autoantibodies. In this study, we analyzed the memory B cells in patients with GBS using flow cytometry. There was significantly higher percentage of memory B cells in patients with GBS than the healthy controls. The Spearman correlation analysis demonstrated that increased percentage of memory B cells was positively correlated with the clinical severity of the patients with GBS. Our study provides the evidences that memory B cells may be involved in mechanism of GBS. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Naive T-cell receptor transgenic T cells help memory B cells produce antibody

    PubMed Central

    Duffy, Darragh; Yang, Chun-Ping; Heath, Andrew; Garside, Paul; Bell, Eric B

    2006-01-01

    Injection of the same antigen following primary immunization induces a classic secondary response characterized by a large quantity of high-affinity antibody of an immunoglobulin G class produced more rapidly than in the initial response – the products of memory B cells are qualitatively distinct from that of the original naive B lymphocytes. Very little is known of the help provided by the CD4 T cells that stimulate memory B cells. Using antigen-specific T-cell receptor transgenic CD4 T cells (DO11.10) as a source of help, we found that naive transgenic T cells stimulated memory B cells almost as well (in terms of quantity and speed) as transgenic T cells that had been recently primed. There was a direct correlation between serum antibody levels and the number of naive transgenic T cells transferred. Using T cells from transgenic interleukin-2-deficient mice we showed that interleukin-2 was not required for a secondary response, although it was necessary for a primary response. The results suggested that the signals delivered by CD4 T cells and required by memory B cells for their activation were common to both antigen-primed and naive CD4 T cells. PMID:17067314

  13. Analysis of antigen-specific B-cell memory directly ex vivo.

    PubMed

    McHeyzer-Williams, Louise J; McHeyzer-Williams, Michael G

    2004-01-01

    Helper T-cell-regulated B-cell memory develops in response to initial antigen priming as a cellular product of the germinal center (GC) reaction. On antigen recall, memory response precursors expand rapidly with exaggerated differentiation into plasma cells to produce the high-titer, high-affinity antibody(Ab) that typifies the memory B-cell response in vivo. We have devised a high-resolution flow cytometric strategy to quantify the emergence and maintenance of antigen-specific memory B cells directly ex vivo. Extended cell surface phenotype establishes a level of cellular diversity not previously appreciated for the memory B-cell compartment. Using an "exclusion transfer" strategy, we ascertain the capacity of two distinct memory B-cell populations to transfer antigen-specific memory into naive adoptive hosts. Finally, we sequence expressed messenger ribonucleic acid (mRNA) from single cells within the population to estimate the level of somatic hypermutation as the best molecular indicator of B-cell memory. In this chapter, we describe the methods used in each of these four sections that serve to provide high-resolution quantification of antigen-specific B-cell memory responses directly ex vivo.

  14. Memory CD8+ T Cells Protect Dendritic Cells from CTL Killing1

    PubMed Central

    Watchmaker, Payal B.; Urban, Julie A.; Berk, Erik; Nakamura, Yutaro; Mailliard, Robbie B.; Watkins, Simon C.; van Ham, S. Marieke; Kalinski, Pawel

    2010-01-01

    CD8+ T cells have been shown to be capable of either suppressing or promoting immune responses. To reconcile these contrasting regulatory functions, we compared the ability of human effector and memory CD8+ T cells to regulate survival and functions of dendritic cells (DC). We report that, in sharp contrast to the effector cells (CTLs) that kill DCs in a granzyme B- and perforin-dependent mechanism, memory CD8+ T cells enhance the ability of DCs to produce IL-12 and to induce functional Th1 and CTL responses in naive CD4+ and CD8+ T cell populations. Moreover, memory CD8+ T cells that release the DC-activating factor TNF-α before the release of cytotoxic granules induce DC expression of an endogenous granzyme B inhibitor PI-9 and protect DCs from CTL killing with similar efficacy as CD4+ Th cells. The currently identified DC-protective function of memory CD8+ T cells helps to explain the phenomenon of CD8+ T cell memory, reduced dependence of recall responses on CD4+ T cell help, and the importance of delayed administration of booster doses of vaccines for the optimal outcome of immunization. PMID:18322193

  15. Dynamics of the stress-mediated magnetoelectric memory cell N×(TbCo2/FeCo)/PMN-PT

    NASA Astrophysics Data System (ADS)

    Preobrazhensky, Vladimir; Klimov, Alexey; Tiercelin, Nicolas; Dusch, Yannick; Giordano, Stefano; Churbanov, Anton; Mathurin, Theo; Pernod, Philippe; Sigov, Alexander

    2018-08-01

    Stress-mediated magnetoelectric heterostructures represent a very promising approach for the realization of ultra-low energy Random Access Memories. The magnetoelectric writing of information has been extensively studied in the past, but it was demonstrated only recently that the magnetoelectric effect can also provide means for reading the stored information. We hereby theoretically study the dynamic behaviour of a magnetoelectric random access memory cell (MELRAM) typically composed of a magnetostrictive multilayer N × (TbCo2 / FeCo) that is elastically coupled with a 〈0 1 1〉 PMN-PT ferroelectric crystal and placed in a Wheatstone bridge-like configuration. The numerical resolution of the LLG and electrodynamics equation system demonstrates high speed write and read operations with an associated extra-low energy consumption. In this model, the reading energy for a 50 nm cell size is estimated to be less than 5 aJ/bit.

  16. Set statistics in conductive bridge random access memory device with Cu/HfO{sub 2}/Pt structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meiyun; Long, Shibing, E-mail: longshibing@ime.ac.cn; Wang, Guoming

    2014-11-10

    The switching parameter variation of resistive switching memory is one of the most important challenges in its application. In this letter, we have studied the set statistics of conductive bridge random access memory with a Cu/HfO{sub 2}/Pt structure. The experimental distributions of the set parameters in several off resistance ranges are shown to nicely fit a Weibull model. The Weibull slopes of the set voltage and current increase and decrease logarithmically with off resistance, respectively. This experimental behavior is perfectly captured by a Monte Carlo simulator based on the cell-based set voltage statistics model and the Quantum Point Contact electronmore » transport model. Our work provides indications for the improvement of the switching uniformity.« less

  17. Memory T cells in organ transplantation: progress and challenges

    PubMed Central

    Espinosa, Jaclyn R.; Samy, Kannan P.; Kirk, Allan D.

    2017-01-01

    Antigen-experienced T cells, also known as memory T cells, are functionally and phenotypically distinct from naive T cells. Their enhanced expression of adhesion molecules and reduced requirement for co-stimulation enables them to mount potent and rapid recall responses to subsequent antigen encounters. Memory T cells generated in response to prior antigen exposures can cross-react with other nonidentical, but similar, antigens. This heterologous cross-reactivity not only enhances protective immune responses, but also engenders de novo alloimmunity. This latter characteristic is increasingly recognized as a potential barrier to allograft acceptance that is worthy of immunotherapeutic intervention, and several approaches have been investigated. Calcineurin inhibition effectively controls memory T-cell responses to allografts, but this benefit comes at the expense of increased infectious morbidity. Lymphocyte depletion eliminates allospecific T cells but spares memory T cells to some extent, such that patients do not completely lose protective immunity. Co-stimulation blockade is associated with reduced adverse-effect profiles and improved graft function relative to calcineurin inhibition, but lacks efficacy in controlling memory T-cell responses. Targeting the adhesion molecules that are upregulated on memory T cells might offer additional means to control co-stimulation-blockade-resistant memory T-cell responses. PMID:26923209

  18. Allograft dendritic cell p40 homodimers activate donor-reactive memory CD8+ T cells

    PubMed Central

    Tsuda, Hidetoshi; Su, Charles A.; Tanaka, Toshiaki; Ayasoufi, Katayoun; Min, Booki; Valujskikh, Anna; Fairchild, Robert L.

    2018-01-01

    Recipient endogenous memory T cells with donor reactivity pose an important barrier to successful transplantation and costimulatory blockade–induced graft tolerance. Longer ischemic storage times prior to organ transplantation increase early posttransplant inflammation and negatively impact early graft function and long-term graft outcome. Little is known about the mechanisms enhancing endogenous memory T cell activation to mediate tissue injury within the increased inflammatory environment of allografts subjected to prolonged cold ischemic storage (CIS). Endogenous memory CD4+ and CD8+ T cell activation is markedly increased within complete MHC-mismatched cardiac allografts subjected to prolonged versus minimal CIS, and the memory CD8+ T cells directly mediate CTLA-4Ig–resistant allograft rejection. Memory CD8+ T cell activation within allografts subjected to prolonged CIS requires memory CD4+ T cell stimulation of graft DCs to produce p40 homodimers, but not IL-12 p40/p35 heterodimers. Targeting p40 abrogates memory CD8+ T cell proliferation within the allografts and their ability to mediate CTLA-4Ig–resistant allograft rejection. These findings indicate a critical role for memory CD4+ T cell–graft DC interactions to increase the intensity of endogenous memory CD8+ T cell activation needed to mediate rejection of higher-risk allografts subjected to increased CIS. PMID:29467328

  19. Evaluation of Data Retention Characteristics for Ferroelectric Random Access Memories (FRAMs)

    NASA Technical Reports Server (NTRS)

    Sharma, Ashok K.; Teverovsky, Alexander

    2001-01-01

    Data retention and fatigue characteristics of 64 Kb lead zirconate titanate (PZT)-based Ferroelectric Random Access Memories (FRAMs) microcircuits manufactured by Ramtron were examined over temperature range from -85 C to +310 C for ceramic packaged parts and from -85 C to +175 C for plastic parts, during retention periods up to several thousand hours. Intrinsic failures, which were caused by a thermal degradation of the ferroelectric cells, occurred in ceramic parts after tens or hundreds hours of aging at temperatures above 200 C. The activation energy of the retention test failures was 1.05 eV and the extrapolated mean-time-to-failure (MTTF) at room temperature was estimated to be more than 280 years. Multiple write-read cycling (up to 3x10(exp 7)) during the fatigue testing of plastic and ceramic parts did not result in any parametric or functional failures. However, operational currents linearly decreased with the logarithm of number of cycles thus indicating fatigue process in PZT films. Plastic parts, that had more recent date code as compared to ceramic parts, appeared to be using die with improved process technology and showed significantly smaller changes in operational currents and data access times.

  20. Cytokine activation induces human memory-like NK cells.

    PubMed

    Romee, Rizwan; Schneider, Stephanie E; Leong, Jeffrey W; Chase, Julie M; Keppel, Catherine R; Sullivan, Ryan P; Cooper, Megan A; Fehniger, Todd A

    2012-12-06

    Natural killer (NK) cells are lymphocytes that play an important role in the immune response to infection and malignancy. Recent studies in mice have shown that stimulation of NK cells with cytokines or in the context of a viral infection results in memory-like properties. We hypothesized that human NK cells exhibit such memory-like properties with an enhanced recall response after cytokine preactivation. In the present study, we show that human NK cells preactivated briefly with cytokine combinations including IL-12, IL-15, and IL-18 followed by a 7- to 21-day rest have enhanced IFN-γ production after restimulation with IL-12 + IL-15, IL-12 + IL-18, or K562 leukemia cells. This memory-like phenotype was retained in proliferating NK cells. In CD56(dim) NK cells, the memory-like IFN-γ response was correlated with the expression of CD94, NKG2A, NKG2C, and CD69 and a lack of CD57 and KIR. Therefore, human NK cells have functional memory-like properties after cytokine activation, which provides a novel rationale for integrating preactivation with combinations of IL-12, IL-15, and IL-18 into NK cell immunotherapy strategies.

  1. Remote direct memory access over datagrams

    DOEpatents

    Grant, Ryan Eric; Rashti, Mohammad Javad; Balaji, Pavan; Afsahi, Ahmad

    2014-12-02

    A communication stack for providing remote direct memory access (RDMA) over a datagram network is disclosed. The communication stack has a user level interface configured to accept datagram related input and communicate with an RDMA enabled network interface card (NIC) via an NIC driver. The communication stack also has an RDMA protocol layer configured to supply one or more data transfer primitives for the datagram related input of the user level. The communication stack further has a direct data placement (DDP) layer configured to transfer the datagram related input from a user storage to a transport layer based on the one or more data transfer primitives by way of a lower layer protocol (LLP) over the datagram network.

  2. Test Procedures for Semiconductor Random Access Memories

    DTIC Science & Technology

    1979-11-01

    of each cell exactly complement to each other, the read operations on the base cell in (g) of step 2 following operations ko S odd and in (p) of step...contents of Sko (these cells this address. Furthermore, when more than one contained I at test time and even if the con- cell is accessed then the output

  3. Influence of carbon content on the copper-telluride phase formation and on the resistive switching behavior of carbon alloyed Cu-Te conductive bridge random access memory cells

    NASA Astrophysics Data System (ADS)

    Devulder, Wouter; Opsomer, Karl; Franquet, Alexis; Meersschaut, Johan; Belmonte, Attilio; Muller, Robert; De Schutter, Bob; Van Elshocht, Sven; Jurczak, Malgorzata; Goux, Ludovic; Detavernier, Christophe

    2014-02-01

    In this paper, we investigate the influence of the carbon content on the Cu-Te phase formation and on the resistive switching behavior in carbon alloyed Cu0.6Te0.4 based conductive bridge random access memory (CBRAM) cells. Carbon alloying of copper-tellurium inhibits the crystallization, while attractive switching behavior is preserved when using the material as Cu-supply layer in CBRAM cells. The phase formation is first investigated in a combinatorial way. With increasing carbon content, an enlargement of the temperature window in which the material stays amorphous was observed. Moreover, if crystalline phases are formed, subsequent phase transformations are inhibited. The electrical switching behavior of memory cells with different carbon contents is then investigated by implementing them in 580 μm diameter dot TiN/Cu0.6Te0.4-C/Al2O3/Si memory cells. Reliable switching behavior is observed for carbon contents up to 40 at. %, with a resistive window of more than 2 orders of magnitude, whereas for 50 at. % carbon, a higher current in the off state and only a small resistive window are present after repeated cycling. This degradation can be ascribed to the higher thermal and lower drift contribution to the reset operation due to a lower Cu affinity towards the supply layer, leading cycle-after-cycle to an increasing amount of Cu in the switching layer, which contributes to the current. The thermal diffusion of Cu into Al2O3 under annealing also gives an indication of the Cu affinity of the source layer. Time of flight secondary ion mass spectroscopy was used to investigate this migration depth in Al2O3 before and after annealing, showing a higher Cu, Te, and C migration for high carbon contents.

  4. Inflammatory memory sensitizes skin epithelial stem cells to tissue damage.

    PubMed

    Naik, Shruti; Larsen, Samantha B; Gomez, Nicholas C; Alaverdyan, Kirill; Sendoel, Ataman; Yuan, Shaopeng; Polak, Lisa; Kulukian, Anita; Chai, Sophia; Fuchs, Elaine

    2017-10-26

    The skin barrier is the body's first line of defence against environmental assaults, and is maintained by epithelial stem cells (EpSCs). Despite the vulnerability of EpSCs to inflammatory pressures, neither the primary response to inflammation nor its enduring consequences are well understood. Here we report a prolonged memory to acute inflammation that enables mouse EpSCs to hasten barrier restoration after subsequent tissue damage. This functional adaptation does not require skin-resident macrophages or T cells. Instead, EpSCs maintain chromosomal accessibility at key stress response genes that are activated by the primary stimulus. Upon a secondary challenge, genes governed by these domains are transcribed rapidly. Fuelling this memory is Aim2, which encodes an activator of the inflammasome. The absence of AIM2 or its downstream effectors, caspase-1 and interleukin-1β, erases the ability of EpSCs to recollect inflammation. Although EpSCs benefit from inflammatory tuning by heightening their responsiveness to subsequent stressors, this enhanced sensitivity probably increases their susceptibility to autoimmune and hyperproliferative disorders, including cancer.

  5. Is There Natural Killer Cell Memory and Can It Be Harnessed by Vaccination? Vaccination Strategies Based on NK Cell and ILC Memory.

    PubMed

    Cooper, Megan A; Fehniger, Todd A; Colonna, Marco

    2017-12-18

    Studies over the last decade have decisively shown that innate immune natural killer (NK) cells exhibit enhanced long-lasting functional responses following a single activation event. With the increased recognition of memory and memory-like properties of NK cells, questions have arisen with regard to their ability to effectively mediate vaccination responses in humans. Moreover, recently discovered innate lymphoid cells (ILCs) could also potentially exhibit memory-like functions. Here, we review different forms of NK cell memory, and speculate about the ability of these cells and ILCs to meaningfully contribute to vaccination responses. Copyright © 2017 Cold Spring Harbor Laboratory Press; all rights reserved.

  6. Interconnected subsets of memory follicular helper T cells have different effector functions.

    PubMed

    Asrir, Assia; Aloulou, Meryem; Gador, Mylène; Pérals, Corine; Fazilleau, Nicolas

    2017-10-10

    Follicular helper T cells regulate high-affinity antibody production. Memory follicular helper T cells can be local in draining lymphoid organs and circulate in the blood, but the underlying mechanisms of this subdivision are unresolved. Here we show that both memory follicular helper T subsets sustain B-cell responses after reactivation. Local cells promote more plasma cell differentiation, whereas circulating cells promote more secondary germinal centers. In parallel, local memory B cells are homogeneous and programmed to become plasma cells, whereas circulating memory B cells are able to rediversify. Local memory follicular helper T cells have higher affinity T-cell receptors, which correlates with expression of peptide MHC-II at the surface of local memory B cells only. Blocking T-cell receptor-peptide MHC-II interactions induces the release of local memory follicular helper T cells in the circulating compartment. Our studies show that memory follicular helper T localization is highly intertwined with memory B cells, a finding that has important implications for vaccine design.Tfh cells can differentiate into memory cells. Here the authors describe distinct functional and phenotypic profiles of these memory Tfh cells dependent on their anatomical localization to the lymphoid organs or to the circulation.

  7. Soft-error tolerance and energy consumption evaluation of embedded computer with magnetic random access memory in practical systems using computer simulations

    NASA Astrophysics Data System (ADS)

    Nebashi, Ryusuke; Sakimura, Noboru; Sugibayashi, Tadahiko

    2017-08-01

    We evaluated the soft-error tolerance and energy consumption of an embedded computer with magnetic random access memory (MRAM) using two computer simulators. One is a central processing unit (CPU) simulator of a typical embedded computer system. We simulated the radiation-induced single-event-upset (SEU) probability in a spin-transfer-torque MRAM cell and also the failure rate of a typical embedded computer due to its main memory SEU error. The other is a delay tolerant network (DTN) system simulator. It simulates the power dissipation of wireless sensor network nodes of the system using a revised CPU simulator and a network simulator. We demonstrated that the SEU effect on the embedded computer with 1 Gbit MRAM-based working memory is less than 1 failure in time (FIT). We also demonstrated that the energy consumption of the DTN sensor node with MRAM-based working memory can be reduced to 1/11. These results indicate that MRAM-based working memory enhances the disaster tolerance of embedded computers.

  8. Conductance Quantization in Resistive Random Access Memory

    NASA Astrophysics Data System (ADS)

    Li, Yang; Long, Shibing; Liu, Yang; Hu, Chen; Teng, Jiao; Liu, Qi; Lv, Hangbing; Suñé, Jordi; Liu, Ming

    2015-10-01

    The intrinsic scaling-down ability, simple metal-insulator-metal (MIM) sandwich structure, excellent performances, and complementary metal-oxide-semiconductor (CMOS) technology-compatible fabrication processes make resistive random access memory (RRAM) one of the most promising candidates for the next-generation memory. The RRAM device also exhibits rich electrical, thermal, magnetic, and optical effects, in close correlation with the abundant resistive switching (RS) materials, metal-oxide interface, and multiple RS mechanisms including the formation/rupture of nanoscale to atomic-sized conductive filament (CF) incorporated in RS layer. Conductance quantization effect has been observed in the atomic-sized CF in RRAM, which provides a good opportunity to deeply investigate the RS mechanism in mesoscopic dimension. In this review paper, the operating principles of RRAM are introduced first, followed by the summarization of the basic conductance quantization phenomenon in RRAM and the related RS mechanisms, device structures, and material system. Then, we discuss the theory and modeling of quantum transport in RRAM. Finally, we present the opportunities and challenges in quantized RRAM devices and our views on the future prospects.

  9. Conductance Quantization in Resistive Random Access Memory.

    PubMed

    Li, Yang; Long, Shibing; Liu, Yang; Hu, Chen; Teng, Jiao; Liu, Qi; Lv, Hangbing; Suñé, Jordi; Liu, Ming

    2015-12-01

    The intrinsic scaling-down ability, simple metal-insulator-metal (MIM) sandwich structure, excellent performances, and complementary metal-oxide-semiconductor (CMOS) technology-compatible fabrication processes make resistive random access memory (RRAM) one of the most promising candidates for the next-generation memory. The RRAM device also exhibits rich electrical, thermal, magnetic, and optical effects, in close correlation with the abundant resistive switching (RS) materials, metal-oxide interface, and multiple RS mechanisms including the formation/rupture of nanoscale to atomic-sized conductive filament (CF) incorporated in RS layer. Conductance quantization effect has been observed in the atomic-sized CF in RRAM, which provides a good opportunity to deeply investigate the RS mechanism in mesoscopic dimension. In this review paper, the operating principles of RRAM are introduced first, followed by the summarization of the basic conductance quantization phenomenon in RRAM and the related RS mechanisms, device structures, and material system. Then, we discuss the theory and modeling of quantum transport in RRAM. Finally, we present the opportunities and challenges in quantized RRAM devices and our views on the future prospects.

  10. Realisation of all 16 Boolean logic functions in a single magnetoresistance memory cell.

    PubMed

    Gao, Shuang; Yang, Guang; Cui, Bin; Wang, Shouguo; Zeng, Fei; Song, Cheng; Pan, Feng

    2016-07-07

    Stateful logic circuits based on next-generation nonvolatile memories, such as magnetoresistance random access memory (MRAM), promise to break the long-standing von Neumann bottleneck in state-of-the-art data processing devices. For the successful commercialisation of stateful logic circuits, a critical step is realizing the best use of a single memory cell to perform logic functions. In this work, we propose a method for implementing all 16 Boolean logic functions in a single MRAM cell, namely a magnetoresistance (MR) unit. Based on our experimental results, we conclude that this method is applicable to any MR unit with a double-hump-like hysteresis loop, especially pseudo-spin-valve magnetic tunnel junctions with a high MR ratio. Moreover, after simply reversing the correspondence between voltage signals and output logic values, this method could also be applicable to any MR unit with a double-pit-like hysteresis loop. These results may provide a helpful solution for the final commercialisation of MRAM-based stateful logic circuits in the near future.

  11. Loss of memory B cells impairs maintenance of long-term serologic memory during HIV-1 infection.

    PubMed

    Titanji, Kehmia; De Milito, Angelo; Cagigi, Alberto; Thorstensson, Rigmor; Grützmeier, Sven; Atlas, Ann; Hejdeman, Bo; Kroon, Frank P; Lopalco, Lucia; Nilsson, Anna; Chiodi, Francesca

    2006-09-01

    Circulating memory B cells are severely reduced in the peripheral blood of HIV-1-infected patients. We investigated whether dysfunctional serologic memory to non-HIV antigens is related to disease progression by evaluating the frequency of memory B cells, plasma IgG, plasma levels of antibodies to measles, and Streptococcus pneumoniae, and enumerating measles-specific antibody-secreting cells in patients with primary, chronic, and long-term nonprogressive HIV-1 infection. We also evaluated the in vitro production of IgM and IgG antibodies against measles and S pneumoniae antigens following polyclonal activation of peripheral blood mononuclear cells (PBMCs) from patients. The percentage of memory B cells correlated with CD4+ T-cell counts in patients, thus representing a marker of disease progression. While patients with primary and chronic infection had severe defects in serologic memory, long-term nonprogressors had memory B-cell frequency and levels of antigen-specific antibodies comparable with controls. We also evaluated the effect of antiretroviral therapy on these serologic memory defects and found that antiretroviral therapy did not restore serologic memory in primary or in chronic infection. We suggest that HIV infection impairs maintenance of long-term serologic immunity to HIV-1-unrelated antigens and this defect is initiated early in infection. This may have important consequences for the response of HIV-infected patients to immunizations.

  12. Diversity in T cell memory: An embarrassment of riches

    PubMed Central

    Jameson, Stephen C.; Masopust, David

    2010-01-01

    The adaptive immune response meets the needs of the organism to generate effector cells capable of controlling pathogens, but also leads to production of memory cells, which mediate more effective protection during rechallenge. In this review we focus on the generation, maintenance and function of memory T cells, with a special emphasis on the increasing evidence for great diversity among functional memory T cell subsets. PMID:20064446

  13. Design of Unstructured Adaptive (UA) NAS Parallel Benchmark Featuring Irregular, Dynamic Memory Accesses

    NASA Technical Reports Server (NTRS)

    Feng, Hui-Yu; VanderWijngaart, Rob; Biswas, Rupak; Biegel, Bryan (Technical Monitor)

    2001-01-01

    We describe the design of a new method for the measurement of the performance of modern computer systems when solving scientific problems featuring irregular, dynamic memory accesses. The method involves the solution of a stylized heat transfer problem on an unstructured, adaptive grid. A Spectral Element Method (SEM) with an adaptive, nonconforming mesh is selected to discretize the transport equation. The relatively high order of the SEM lowers the fraction of wall clock time spent on inter-processor communication, which eases the load balancing task and allows us to concentrate on the memory accesses. The benchmark is designed to be three-dimensional. Parallelization and load balance issues of a reference implementation will be described in detail in future reports.

  14. Direct memory access transfer completion notification

    DOEpatents

    Chen, Dong; Giampapa, Mark E.; Heidelberger, Philip; Kumar, Sameer; Parker, Jeffrey J.; Steinmacher-Burow, Burkhard D.; Vranas, Pavlos

    2010-07-27

    Methods, compute nodes, and computer program products are provided for direct memory access (`DMA`) transfer completion notification. Embodiments include determining, by an origin DMA engine on an origin compute node, whether a data descriptor for an application message to be sent to a target compute node is currently in an injection first-in-first-out (`FIFO`) buffer in dependence upon a sequence number previously associated with the data descriptor, the total number of descriptors currently in the injection FIFO buffer, and the current sequence number for the newest data descriptor stored in the injection FIFO buffer; and notifying a processor core on the origin DMA engine that the message has been sent if the data descriptor for the message is not currently in the injection FIFO buffer.

  15. Parallel Optical Random Access Memory (PORAM)

    NASA Technical Reports Server (NTRS)

    Alphonse, G. A.

    1989-01-01

    It is shown that the need to minimize component count, power and size, and to maximize packing density require a parallel optical random access memory to be designed in a two-level hierarchy: a modular level and an interconnect level. Three module designs are proposed, in the order of research and development requirements. The first uses state-of-the-art components, including individually addressed laser diode arrays, acousto-optic (AO) deflectors and magneto-optic (MO) storage medium, aimed at moderate size, moderate power, and high packing density. The next design level uses an electron-trapping (ET) medium to reduce optical power requirements. The third design uses a beam-steering grating surface emitter (GSE) array to reduce size further and minimize the number of components.

  16. Interregional synaptic maps among engram cells underlie memory formation.

    PubMed

    Choi, Jun-Hyeok; Sim, Su-Eon; Kim, Ji-Il; Choi, Dong Il; Oh, Jihae; Ye, Sanghyun; Lee, Jaehyun; Kim, TaeHyun; Ko, Hyoung-Gon; Lim, Chae-Seok; Kaang, Bong-Kiun

    2018-04-27

    Memory resides in engram cells distributed across the brain. However, the site-specific substrate within these engram cells remains theoretical, even though it is generally accepted that synaptic plasticity encodes memories. We developed the dual-eGRASP (green fluorescent protein reconstitution across synaptic partners) technique to examine synapses between engram cells to identify the specific neuronal site for memory storage. We found an increased number and size of spines on CA1 engram cells receiving input from CA3 engram cells. In contextual fear conditioning, this enhanced connectivity between engram cells encoded memory strength. CA3 engram to CA1 engram projections strongly occluded long-term potentiation. These results indicate that enhanced structural and functional connectivity between engram cells across two directly connected brain regions forms the synaptic correlate for memory formation. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  17. Vaccination Expands Antigen-Specific CD4+ Memory T Cells and Mobilizes Bystander Central Memory T Cells

    PubMed Central

    Li Causi, Eleonora; Parikh, Suraj C.; Chudley, Lindsey; Layfield, David M.; Ottensmeier, Christian H.; Stevenson, Freda K.; Di Genova, Gianfranco

    2015-01-01

    CD4+ T helper memory (Thmem) cells influence both natural and vaccine-boosted immunity, but mechanisms for their maintenance remain unclear. Pro-survival signals from the common gamma-chain cytokines, in particular IL-7, appear important. Previously we showed in healthy volunteers that a booster vaccination with tetanus toxoid (TT) expanded peripheral blood TT-specific Thmem cells as expected, but was accompanied by parallel increase of Thmem cells specific for two unrelated and non cross-reactive common recall antigens. Here, in a new cohort of healthy human subjects, we compare blood vaccine-specific and bystander Thmem cells in terms of differentiation stage, function, activation and proliferative status. Both responses peaked 1 week post-vaccination. Vaccine-specific cytokine-producing Thmem cells were predominantly effector memory, whereas bystander cells were mainly of central memory phenotype. Importantly, TT-specific Thmem cells were activated (CD38High HLA-DR+), cycling or recently divided (Ki-67+), and apparently vulnerable to death (IL-7RαLow and Bcl-2 Low). In contrast, bystander Thmem cells were resting (CD38Low HLA-DR- Ki-67-) with high expression of IL-7Rα and Bcl-2. These findings allow a clear distinction between vaccine-specific and bystander Thmem cells, suggesting the latter do not derive from recent proliferation but from cells mobilized from as yet undefined reservoirs. Furthermore, they reveal the interdependent dynamics of specific and bystander T-cell responses which will inform assessments of responses to vaccines. PMID:26332995

  18. Germinal-center development of memory B cells driven by IL-9 from follicular helper T cells.

    PubMed

    Wang, Yifeng; Shi, Jingwen; Yan, Jiacong; Xiao, Zhengtao; Hou, Xiaoxiao; Lu, Peiwen; Hou, Shiyue; Mao, Tianyang; Liu, Wanli; Ma, Yuanwu; Zhang, Lianfeng; Yang, Xuerui; Qi, Hai

    2017-08-01

    Germinal centers (GCs) support high-affinity, long-lived humoral immunity. How memory B cells develop in GCs is not clear. Through the use of a cell-cycle-reporting system, we identified GC-derived memory precursor cells (GC-MP cells) that had quit cycling and reached G0 phase while in the GC, exhibited memory-associated phenotypes with signs of affinity maturation and localized toward the GC border. After being transferred into adoptive hosts, GC-MP cells reconstituted a secondary response like genuine memory B cells. GC-MP cells expressed the interleukin 9 (IL-9) receptor and responded to IL-9. Acute treatment with IL-9 or antibody to IL-9 accelerated or retarded the positioning of GC-MP cells toward the GC edge and exit from the GC, and enhanced or inhibited the development of memory B cells, which required B cell-intrinsic responsiveness to IL-9. Follicular helper T cells (T FH cells) produced IL-9, and deletion of IL-9 from T cells or, more specifically, from GC T FH cells led to impaired memory formation of B cells. Therefore, the GC development of memory B cells is promoted by T FH cell-derived IL-9.

  19. Electrically-controlled nonlinear switching and multi-level storage characteristics in WOx film-based memory cells

    NASA Astrophysics Data System (ADS)

    Duan, W. J.; Wang, J. B.; Zhong, X. L.

    2018-05-01

    Resistive switching random access memory (RRAM) is considered as a promising candidate for the next generation memory due to its scalability, high integration density and non-volatile storage characteristics. Here, the multiple electrical characteristics in Pt/WOx/Pt cells are investigated. Both of the nonlinear switching and multi-level storage can be achieved by setting different compliance current in the same cell. The correlations among the current, time and temperature are analyzed by using contours and 3D surfaces. The switching mechanism is explained in terms of the formation and rupture of conductive filament which is related to oxygen vacancies. The experimental results show that the non-stoichiometric WOx film-based device offers a feasible way for the applications of oxide-based RRAMs.

  20. Development of highly reliable static random access memory for 40-nm embedded split gate-MONOS flash memory

    NASA Astrophysics Data System (ADS)

    Okamoto, Shin-ichi; Maekawa, Kei-ichi; Kawashima, Yoshiyuki; Shiba, Kazutoshi; Sugiyama, Hideki; Inoue, Masao; Nishida, Akio

    2015-04-01

    High quality static random access memory (SRAM) for 40-nm embedded MONOS flash memory with split gate (SG-MONOS) was developed. Marginal failure, which results in threshold voltage/drain current tailing and outliers of SRAM transistors, occurs when using a conventional SRAM structure. These phenomena can be explained by not only gate depletion but also partial depletion and percolation path formation in the MOS channel. A stacked poly-Si gate structure can suppress these phenomena and achieve high quality SRAM without any defects in the 6σ level and with high affinity to the 40-nm SG-MONOS process was developed.

  1. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    NASA Astrophysics Data System (ADS)

    Ando, K.; Fujita, S.; Ito, J.; Yuasa, S.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.; Yoda, H.

    2014-05-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed.

  2. Humans with chronic granulomatous disease maintain humoral immunologic memory despite low frequencies of circulating memory B cells.

    PubMed

    Moir, Susan; De Ravin, Suk See; Santich, Brian H; Kim, Jin Young; Posada, Jacqueline G; Ho, Jason; Buckner, Clarisa M; Wang, Wei; Kardava, Lela; Garofalo, Mary; Marciano, Beatriz E; Manischewitz, Jody; King, Lisa R; Khurana, Surender; Chun, Tae-Wook; Golding, Hana; Fauci, Anthony S; Malech, Harry L

    2012-12-06

    CD27(+) memory B cells are reduced in the blood of patients with chronic granulomatous disease (CGD) for reasons and consequences that remain unclear. Here we confirm not only decreased CD27(+) but also IgG(+) B cells in the blood of CGD patients compared with healthy donors (HDs). However, among IgG(+) B cells, the ratio of CD27(-) to CD27(+) was significantly higher in CGD patients compared with HDs. Similar to conventional memory B cells, CD27(-)IgG(+) B cells of CGD patients expressed activation markers and had undergone somatic hypermutation, albeit at levels lower than their CD27(+) counterparts. Functional analyses revealed slight reductions in frequencies of total IgG but not influenza-specific memory B-cell responses, as measured by Elispot in CGD patients compared with HDs. Serum IgG levels and influenza-specific antibodies were also normal in these CGD patients. Finally, we provide evidence that influenza-specific memory B cells can be present within the CD27(-)IgG(+) B-cell compartment. Together, these findings show that, despite reduced circulating CD27(+) memory B cells, CGD patients maintain an intact humoral immunologic memory, with potential contribution from CD27(-) B cells.

  3. Design of a memory-access controller with 3.71-times-enhanced energy efficiency for Internet-of-Things-oriented nonvolatile microcontroller unit

    NASA Astrophysics Data System (ADS)

    Natsui, Masanori; Hanyu, Takahiro

    2018-04-01

    In realizing a nonvolatile microcontroller unit (MCU) for sensor nodes in Internet-of-Things (IoT) applications, it is important to solve the data-transfer bottleneck between the central processing unit (CPU) and the nonvolatile memory constituting the MCU. As one circuit-oriented approach to solving this problem, we propose a memory access minimization technique for magnetoresistive-random-access-memory (MRAM)-embedded nonvolatile MCUs. In addition to multiplexing and prefetching of memory access, the proposed technique realizes efficient instruction fetch by eliminating redundant memory access while considering the code length of the instruction to be fetched and the transition of the memory address to be accessed. As a result, the performance of the MCU can be improved while relaxing the performance requirement for the embedded MRAM, and compact and low-power implementation can be performed as compared with the conventional cache-based one. Through the evaluation using a system consisting of a general purpose 32-bit CPU and embedded MRAM, it is demonstrated that the proposed technique increases the peak efficiency of the system up to 3.71 times, while a 2.29-fold area reduction is achieved compared with the cache-based one.

  4. Scarcity of autoreactive human blood IgA+ memory B cells

    PubMed Central

    Prigent, Julie; Lorin, Valérie; Kök, Ayrin; Hieu, Thierry; Bourgeau, Salomé

    2016-01-01

    Class‐switched memory B cells are key components of the “reactive” humoral immunity, which ensures a fast and massive secretion of high‐affinity antigen‐specific antibodies upon antigenic challenge. In humans, IgA class‐switched (IgA+) memory B cells and IgA antibodies are abundant in the blood. Although circulating IgA+ memory B cells and their corresponding secreted immunoglobulins likely possess major protective and/or regulatory immune roles, little is known about their specificity and function. Here, we show that IgA+ and IgG+ memory B‐cell antibodies cloned from the same healthy humans share common immunoglobulin gene features. IgA and IgG memory antibodies have comparable lack of reactivity to vaccines, common mucosa‐tropic viruses and commensal bacteria. However, the IgA+ memory B‐cell compartment contains fewer polyreactive clones and importantly, only rare self‐reactive clones compared to IgG+ memory B cells. Self‐reactivity of IgAs is acquired following B‐cell affinity maturation but not antibody class switching. Together, our data suggest the existence of different regulatory mechanisms for removing autoreactive clones from the IgG+ and IgA+ memory B‐cell repertoires, and/or different maturation pathways potentially reflecting the distinct nature and localization of the cognate antigens recognized by individual B‐cell populations. PMID:27469325

  5. The special role of item-context associations in the direct-access region of working memory.

    PubMed

    Campoy, Guillermo

    2017-09-01

    The three-embedded-component model of working memory (WM) distinguishes three representational states corresponding to three WM regions: activated long-term memory, direct-access region (DAR), and focus of attention. Recent neuroimaging research has revealed that access to the DAR is associated with enhanced hippocampal activity. Because the hippocampus mediates the encoding and retrieval of item-context associations, it has been suggested that this hippocampal activation is a consequence of the fact that item-context associations are particularly strong and accessible in the DAR. This study provides behavioral evidence for this view using an item-recognition task to assess the effect of non-intentional encoding and maintenance of item-location associations across WM regions. Five pictures of human faces were sequentially presented in different screen locations followed by a recognition probe. Visual cues immediately preceding the probe indicated the location thereof. When probe stimuli appeared in the same location that they had been presented within the memory set, the presentation of the cue was expected to elicit the activation of the corresponding WM representation through the just-established item-location association, resulting in faster recognition. Results showed this same-location effect, but only for items that, according to their serial position within the memory set, were held in the DAR.

  6. Regulation of Memory T Cells by Interleukin-23.

    PubMed

    Li, Yanchun; Wang, Hongbo; Lu, Honghua; Hua, Shucheng

    2016-01-01

    Interleukin-23 (IL-23), a member of the IL-12 family of cytokines, is a heterodimeric cytokine. It is composed of subunits p40 (shared with IL-12) and p19 (an IL-12 p35-related subunit) and is secreted by several types of immune cells, such as natural killer cells and dendritic cells. The IL-23 receptor is composed of the subunit IL-12Rβ1 and the IL-23-specific subunit IL-23R. The binding of IL-23 to its specific cell surface receptor regulates a number of functions, including proliferation and differentiation of cells and secretion of cell factors. Memory T cells are a subset of T cells that secrete numerous important cell factors, and they function in the immune response to infection and diseases like cancer, autoimmune disease and bronchial asthma. IL-23R is expressed on the surface of memory T cells, which suggests that it can specifically regulate memory T cell function. IL-23 has been widely used as a clinical indicator in immune-related diseases and shows potential for use in disease treatment. Here we review the current progress in the study of the role of IL-23 in the regulation of memory T cells. © 2016 S. Karger AG, Basel.

  7. Hoxb4 overexpression in CD4 memory phenotype T cells increases the central memory population upon homeostatic proliferation.

    PubMed

    Frison, Héloïse; Giono, Gloria; Thébault, Paméla; Fournier, Marilaine; Labrecque, Nathalie; Bijl, Janet J

    2013-01-01

    Memory T cell populations allow a rapid immune response to pathogens that have been previously encountered and thus form the basis of success in vaccinations. However, the molecular pathways underlying the development and maintenance of these cells are only starting to be unveiled. Memory T cells have the capacity to self renew as do hematopoietic stem cells, and overlapping gene expression profiles suggested that these cells might use the same self-renewal pathways. The transcription factor Hoxb4 has been shown to promote self-renewal divisions of hematopoietic stem cells resulting in an expansion of these cells. In this study we investigated whether overexpression of Hoxb4 could provide an advantage to CD4 memory phenotype T cells in engrafting the niche of T cell deficient mice following adoptive transfer. Competitive transplantation experiments demonstrated that CD4 memory phenotype T cells derived from mice transgenic for Hoxb4 contributed overall less to the repopulation of the lymphoid organs than wild type CD4 memory phenotype T cells after two months. These proportions were relatively maintained following serial transplantation in secondary and tertiary mice. Interestingly, a significantly higher percentage of the Hoxb4 CD4 memory phenotype T cell population expressed the CD62L and Ly6C surface markers, characteristic for central memory T cells, after homeostatic proliferation. Thus Hoxb4 favours the maintenance and increase of the CD4 central memory phenotype T cell population. These cells are more stem cell like and might eventually lead to an advantage of Hoxb4 T cells after subjecting the cells to additional rounds of proliferation.

  8. Remote direct memory access

    DOEpatents

    Archer, Charles J.; Blocksome, Michael A.

    2012-12-11

    Methods, parallel computers, and computer program products are disclosed for remote direct memory access. Embodiments include transmitting, from an origin DMA engine on an origin compute node to a plurality target DMA engines on target compute nodes, a request to send message, the request to send message specifying a data to be transferred from the origin DMA engine to data storage on each target compute node; receiving, by each target DMA engine on each target compute node, the request to send message; preparing, by each target DMA engine, to store data according to the data storage reference and the data length, including assigning a base storage address for the data storage reference; sending, by one or more of the target DMA engines, an acknowledgment message acknowledging that all the target DMA engines are prepared to receive a data transmission from the origin DMA engine; receiving, by the origin DMA engine, the acknowledgement message from the one or more of the target DMA engines; and transferring, by the origin DMA engine, data to data storage on each of the target compute nodes according to the data storage reference using a single direct put operation.

  9. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems.

    PubMed

    Shehzad, Danish; Bozkuş, Zeki

    2016-01-01

    Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI) is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA) by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models.

  10. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems

    PubMed Central

    Bozkuş, Zeki

    2016-01-01

    Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI) is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA) by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models. PMID:27413363

  11. Generation of effector CD8+ T cells and their conversion to memory T cells

    PubMed Central

    Cui, Weiguo; Kaech, Susan M.

    2015-01-01

    Summary Immunological memory is a cardinal feature of adaptive immunity. We are now beginning to elucidate the mechanisms that govern the formation of memory T cells and their ability to acquire longevity, survive the effector-to-memory transition, and mature into multipotent, functional memory T cells that self-renew. Here, we discuss the recent findings in this area and highlight extrinsic and intrinsic factors that regulate the cellular fate of activated CD8+ T cells. PMID:20636815

  12. Humans with chronic granulomatous disease maintain humoral immunologic memory despite low frequencies of circulating memory B cells

    PubMed Central

    Santich, Brian H.; Kim, Jin Young; Posada, Jacqueline G.; Ho, Jason; Buckner, Clarisa M.; Wang, Wei; Kardava, Lela; Garofalo, Mary; Marciano, Beatriz E.; Manischewitz, Jody; King, Lisa R.; Khurana, Surender; Chun, Tae-Wook; Golding, Hana; Fauci, Anthony S.; Malech, Harry L.

    2012-01-01

    CD27+ memory B cells are reduced in the blood of patients with chronic granulomatous disease (CGD) for reasons and consequences that remain unclear. Here we confirm not only decreased CD27+ but also IgG+ B cells in the blood of CGD patients compared with healthy donors (HDs). However, among IgG+ B cells, the ratio of CD27− to CD27+ was significantly higher in CGD patients compared with HDs. Similar to conventional memory B cells, CD27−IgG+ B cells of CGD patients expressed activation markers and had undergone somatic hypermutation, albeit at levels lower than their CD27+ counterparts. Functional analyses revealed slight reductions in frequencies of total IgG but not influenza-specific memory B-cell responses, as measured by Elispot in CGD patients compared with HDs. Serum IgG levels and influenza-specific antibodies were also normal in these CGD patients. Finally, we provide evidence that influenza-specific memory B cells can be present within the CD27−IgG+ B-cell compartment. Together, these findings show that, despite reduced circulating CD27+ memory B cells, CGD patients maintain an intact humoral immunologic memory, with potential contribution from CD27− B cells. PMID:23074274

  13. Correlative transmission electron microscopy and electrical properties study of switchable phase-change random access memory line cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oosthoek, J. L. M.; Kooi, B. J., E-mail: B.J.Kooi@rug.nl; Voogt, F. C.

    2015-02-14

    Phase-change memory line cells, where the active material has a thickness of 15 nm, were prepared for transmission electron microscopy (TEM) observation such that they still could be switched and characterized electrically after the preparation. The result of these observations in comparison with detailed electrical characterization showed (i) normal behavior for relatively long amorphous marks, resulting in a hyperbolic dependence between SET resistance and SET current, indicating a switching mechanism based on initially long and thin nanoscale crystalline filaments which thicken gradually, and (ii) anomalous behavior, which holds for relatively short amorphous marks, where initially directly a massive crystalline filament ismore » formed that consumes most of the width of the amorphous mark only leaving minor residual amorphous regions at its edges. The present results demonstrate that even in (purposely) thick TEM samples, the TEM sample preparation hampers the probability to observe normal behavior and it can be debated whether it is possible to produce electrically switchable TEM specimen in which the memory cells behave the same as in their original bulk embedded state.« less

  14. Correlative transmission electron microscopy and electrical properties study of switchable phase-change random access memory line cells

    NASA Astrophysics Data System (ADS)

    Oosthoek, J. L. M.; Voogt, F. C.; Attenborough, K.; Verheijen, M. A.; Hurkx, G. A. M.; Gravesteijn, D. J.; Kooi, B. J.

    2015-02-01

    Phase-change memory line cells, where the active material has a thickness of 15 nm, were prepared for transmission electron microscopy (TEM) observation such that they still could be switched and characterized electrically after the preparation. The result of these observations in comparison with detailed electrical characterization showed (i) normal behavior for relatively long amorphous marks, resulting in a hyperbolic dependence between SET resistance and SET current, indicating a switching mechanism based on initially long and thin nanoscale crystalline filaments which thicken gradually, and (ii) anomalous behavior, which holds for relatively short amorphous marks, where initially directly a massive crystalline filament is formed that consumes most of the width of the amorphous mark only leaving minor residual amorphous regions at its edges. The present results demonstrate that even in (purposely) thick TEM samples, the TEM sample preparation hampers the probability to observe normal behavior and it can be debated whether it is possible to produce electrically switchable TEM specimen in which the memory cells behave the same as in their original bulk embedded state.

  15. Identification and Manipulation of Memory Engram Cells.

    PubMed

    Liu, Xu; Ramirez, Steve; Redondo, Roger L; Tonegawa, Susumu

    2014-01-01

    How memories are formed and stored in the brain remains a fascinating question in neuroscience. Here we discuss the memory engram theory, our recent attempt to identify and manipulate memory engram cells in the brain with optogenetics, and how these methods are used to address questions such as how false memory is formed and how the valence of a memory can be changed in the brain. Copyright © 2014 Cold Spring Harbor Laboratory Press; all rights reserved.

  16. Switched memory B cells maintain specific memory independently of serum antibodies: the hepatitis B example.

    PubMed

    Rosado, M Manuela; Scarsella, Marco; Pandolfi, Elisabetta; Cascioli, Simona; Giorda, Ezio; Chionne, Paola; Madonne, Elisabetta; Gesualdo, Francesco; Romano, Mariateresa; Ausiello, Clara M; Rapicetta, Maria; Zanetti, Alessandro R; Tozzi, Alberto; Carsetti, Rita

    2011-06-01

    The immunogenicity of a vaccine is conventionally measured through the level of serum Abs early after immunization, but to ensure protection specific Abs should be maintained long after primary vaccination. For hepatitis B, protective levels often decline over time, but breakthrough infections do not seem to occur. The aim of this study was to demonstrate whether, after hepatitis B vaccination, B-cell memory persists even when serum Abs decline. We compared the frequency of anti-hepatitis-specific memory B cells that remain in the blood of 99 children five years after priming with Infanrix -hexa (GlaxoSmithKline) (n=34) or with Hexavac (Sanofi Pasteur MSD) (n=65). These two vaccines differ in their ability to generate protective levels of IgG. Children with serum Abs under the protective level, <10 mIU/mL, received a booster dose of hepatitis B vaccine, and memory B cells and serum Abs were measured 2 wk later. We found that specific memory B cells had a similar frequency in all children independently of primary vaccine. Booster injection resulted in the increase of memory B cell frequencies (from 11.3 in 10(6) cells to 28.2 in 10(6) cells, p<0.01) and serum Abs (geometric mean concentration, GMC from 2.9 to 284 mIU/mL), demonstrating that circulating memory B cells effectively respond to Ag challenge even when specific Abs fall under the protective threshold. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Shape-memory surfaces for cell mechanobiology

    PubMed Central

    Ebara, Mitsuhiro

    2015-01-01

    Shape-memory polymers (SMPs) are a new class of smart materials, which have the capability to change from a temporary shape ‘A’ to a memorized permanent shape ‘B’ upon application of an external stimulus. In recent years, SMPs have attracted much attention from basic and fundamental research to industrial and practical applications due to the cheap and efficient alternative to well-known metallic shape-memory alloys. Since the shape-memory effect in SMPs is not related to a specific material property of single polymers, the control of nanoarchitecture of polymer networks is particularly important for the smart functions of SMPs. Such nanoarchitectonic approaches have enabled us to further create shape-memory surfaces (SMSs) with tunable surface topography at nano scale. The present review aims to bring together the exciting design of SMSs and the ever-expanding range of their uses as tools to control cell functions. The goal for these endeavors is to mimic the surrounding mechanical cues of extracellular environments which have been considered as critical parameters in cell fate determination. The untapped potential of SMSs makes them one of the most exciting interfaces of materials science and cell mechanobiology. PMID:27877747

  18. A hybrid ferroelectric-flash memory cells

    NASA Astrophysics Data System (ADS)

    Park, Jae Hyo; Byun, Chang Woo; Seok, Ki Hwan; Kim, Hyung Yoon; Chae, Hee Jae; Lee, Sol Kyu; Son, Se Wan; Ahn, Donghwan; Joo, Seung Ki

    2014-09-01

    A ferroelectric-flash (F-flash) memory cells having a metal-ferroelectric-nitride-oxynitride-silicon structure are demonstrated, and the ferroelectric materials were perovskite-dominated Pb(Zr,Ti)O3 (PZT) crystallized by Pt gate electrode. The PZT thin-film as a blocking layer improves electrical and memorial performance where programming and erasing mechanism are different from the metal-ferroelectric-insulator-semiconductor device or the conventional silicon-oxide-nitride-oxide-silicon device. F-flash cells exhibit not only the excellent electrical transistor performance, having 442.7 cm2 V-1 s-1 of field-effect mobility, 190 mV dec-1 of substhreshold slope, and 8 × 105 on/off drain current ratio, but also a high reliable memory characteristics, having a large memory window (6.5 V), low-operating voltage (0 to -5 V), faster P/E switching speed (50/500 μs), long retention time (>10 years), and excellent fatigue P/E cycle (>105) due to the boosting effect, amplification effect, and energy band distortion of nitride from the large polarization. All these characteristics correspond to the best performances among conventional flash cells reported so far.

  19. Increased numbers of preexisting memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells.

    PubMed

    Joshi, Nikhil S; Cui, Weiguo; Dominguez, Claudia X; Chen, Jonathan H; Hand, Timothy W; Kaech, Susan M

    2011-10-15

    Memory CD8 T cells acquire effector memory cell properties after reinfection and may reach terminally differentiated, senescent states ("Hayflick limit") after multiple infections. The signals controlling this process are not well understood, but we found that the degree of secondary effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and preexisting memory CD8 T cell number (i.e., primary memory CD8 T cell precursor frequency) present during secondary infection. Compared with naive cells, memory CD8 T cells were predisposed toward terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of Ag. TE cell formation after secondary (2°) or tertiary infections was dependent on increased T-bet expression because T-bet(+/-) cells were resistant to these phenotypic changes. Larger numbers of preexisting memory CD8 T cells limited the duration of 2° infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2° TE CD8 T cells that formed. Together, these data show that over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with Ag or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by preexisting memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies.

  20. Memory Engram Cells Have Come of Age.

    PubMed

    Tonegawa, Susumu; Liu, Xu; Ramirez, Steve; Redondo, Roger

    2015-09-02

    The idea that memory is stored in the brain as physical alterations goes back at least as far as Plato, but further conceptualization of this idea had to wait until the 20(th) century when two guiding theories were presented: the "engram theory" of Richard Semon and Donald Hebb's "synaptic plasticity theory." While a large number of studies have been conducted since, each supporting some aspect of each of these theories, until recently integrative evidence for the existence of engram cells and circuits as defined by the theories was lacking. In the past few years, the combination of transgenics, optogenetics, and other technologies has allowed neuroscientists to begin identifying memory engram cells by detecting specific populations of cells activated during specific learning epochs and by engineering them not only to evoke recall of the original memory, but also to alter the content of the memory. Copyright © 2015 Elsevier Inc. All rights reserved.

  1. The chromatin accessibility signature of human immune aging stems from CD8+ T cells.

    PubMed

    Ucar, Duygu; Márquez, Eladio J; Chung, Cheng-Han; Marches, Radu; Rossi, Robert J; Uyar, Asli; Wu, Te-Chia; George, Joshy; Stitzel, Michael L; Palucka, A Karolina; Kuchel, George A; Banchereau, Jacques

    2017-10-02

    Aging is linked to deficiencies in immune responses and increased systemic inflammation. To unravel the regulatory programs behind these changes, we applied systems immunology approaches and profiled chromatin accessibility and the transcriptome in PBMCs and purified monocytes, B cells, and T cells. Analysis of samples from 77 young and elderly donors revealed a novel and robust aging signature in PBMCs, with simultaneous systematic chromatin closing at promoters and enhancers associated with T cell signaling and a potentially stochastic chromatin opening mostly found at quiescent and repressed sites. Combined analyses of chromatin accessibility and the transcriptome uncovered immune molecules activated/inactivated with aging and identified the silencing of the IL7R gene and the IL-7 signaling pathway genes as potential biomarkers. This signature is borne by memory CD8 + T cells, which exhibited an aging-related loss in binding of NF-κB and STAT factors. Thus, our study provides a unique and comprehensive approach to identifying candidate biomarkers and provides mechanistic insights into aging-associated immunodeficiency. © 2017 Ucar et al.

  2. The chromatin accessibility signature of human immune aging stems from CD8+ T cells

    PubMed Central

    Marches, Radu; Rossi, Robert J.; Uyar, Asli; Wu, Te-Chia; Stitzel, Michael L.; Palucka, A. Karolina

    2017-01-01

    Aging is linked to deficiencies in immune responses and increased systemic inflammation. To unravel the regulatory programs behind these changes, we applied systems immunology approaches and profiled chromatin accessibility and the transcriptome in PBMCs and purified monocytes, B cells, and T cells. Analysis of samples from 77 young and elderly donors revealed a novel and robust aging signature in PBMCs, with simultaneous systematic chromatin closing at promoters and enhancers associated with T cell signaling and a potentially stochastic chromatin opening mostly found at quiescent and repressed sites. Combined analyses of chromatin accessibility and the transcriptome uncovered immune molecules activated/inactivated with aging and identified the silencing of the IL7R gene and the IL-7 signaling pathway genes as potential biomarkers. This signature is borne by memory CD8+ T cells, which exhibited an aging-related loss in binding of NF-κB and STAT factors. Thus, our study provides a unique and comprehensive approach to identifying candidate biomarkers and provides mechanistic insights into aging-associated immunodeficiency. PMID:28904110

  3. Keeping STATs on memory CD8+ T cells.

    PubMed

    Olson, Janelle A; Jameson, Stephen C

    2011-11-23

    The CD8(+) T cell response is characterized by generation of a population of effector cells and establishment of a persistent memory pool. In this issue, Cui et al. (2011) and Siegel et al. (2011) show that cytokine receptor signaling through the transcription factor STAT3 establishes stable memory CD8(+) T cells. Copyright © 2011 Elsevier Inc. All rights reserved.

  4. Associative memory cells and their working principle in the brain

    PubMed Central

    Wang, Jin-Hui; Cui, Shan

    2018-01-01

    The acquisition, integration and storage of exogenous associated signals are termed as associative learning and memory. The consequences and processes of associative thinking and logical reasoning based on these stored exogenous signals can be memorized as endogenous signals, which are essential for decision making, intention, and planning. Associative memory cells recruited in these primary and secondary associative memories are presumably the foundation for the brain to fulfill cognition events and emotional reactions in life, though the plasticity of synaptic connectivity and neuronal activity has been believed to be involved in learning and memory. Current reports indicate that associative memory cells are recruited by their mutual synapse innervations among co-activated brain regions to fulfill the integration, storage and retrieval of associated signals. The activation of these associative memory cells initiates information recall in the mind, and the successful activation of their downstream neurons endorses memory presentations through behaviors and emotion reactions. In this review, we aim to draw a comprehensive diagram for associative memory cells, working principle and modulation, as well as propose their roles in cognition, emotion and behaviors. PMID:29487741

  5. Administering an epoch initiated for remote memory access

    DOEpatents

    Blocksome, Michael A; Miller, Douglas R

    2014-03-18

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  6. Administering an epoch initiated for remote memory access

    DOEpatents

    Blocksome, Michael A; Miller, Douglas R

    2012-10-23

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  7. Administering an epoch initiated for remote memory access

    DOEpatents

    Blocksome, Michael A.; Miller, Douglas R.

    2013-01-01

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  8. Blanket Gate Would Address Blocks Of Memory

    NASA Technical Reports Server (NTRS)

    Lambe, John; Moopenn, Alexander; Thakoor, Anilkumar P.

    1988-01-01

    Circuit-chip area used more efficiently. Proposed gate structure selectively allows and restricts access to blocks of memory in electronic neural-type network. By breaking memory into independent blocks, gate greatly simplifies problem of reading from and writing to memory. Since blocks not used simultaneously, share operational amplifiers that prompt and read information stored in memory cells. Fewer operational amplifiers needed, and chip area occupied reduced correspondingly. Cost per bit drops as result.

  9. Mutation in the Fas Pathway Impairs CD8+ T Cell Memory1

    PubMed Central

    Dudani, Renu; Russell, Marsha; van Faassen, Henk; Krishnan, Lakshmi; Sad, Subash

    2014-01-01

    Fas death pathway is important for lymphocyte homeostasis, but the role of Fas pathway in T cell memory development is not clear. We show that whereas the expansion and contraction of CD8+ T cell response against Listeria monocytogenes were similar for wild-type (WT) and Fas ligand (FasL) mutant mice, the majority of memory CD8+ T cells in FasL mutant mice displayed an effector memory phenotype in the long-term in comparison with the mainly central memory phenotype displayed by memory CD8+ T cells in WT mice. Memory CD8+ T cells in FasL mutant mice expressed reduced levels of IFN-γ and displayed poor homeostatic and Ag-induced proliferation. Impairment in CD8+ T cell memory in FasL mutant hosts was not due to defective programming or the expression of mutant FasL on CD8+ T cells, but was caused by perturbed cytokine environment in FasL mutant mice. Although adoptively transferred WT memory CD8+ T cells mediated protection against L. monocytogenes in either the WT or FasL mutant hosts, FasL mutant memory CD8+ T cells failed to mediate protection even in WT hosts. Thus, in individuals with mutation in Fas pathway, impairment in the function of the memory CD8+ T cells may increase their susceptibility to recurrent/latent infections. PMID:18292515

  10. PathwayAccess: CellDesigner plugins for pathway databases.

    PubMed

    Van Hemert, John L; Dickerson, Julie A

    2010-09-15

    CellDesigner provides a user-friendly interface for graphical biochemical pathway description. Many pathway databases are not directly exportable to CellDesigner models. PathwayAccess is an extensible suite of CellDesigner plugins, which connect CellDesigner directly to pathway databases using respective Java application programming interfaces. The process is streamlined for creating new PathwayAccess plugins for specific pathway databases. Three PathwayAccess plugins, MetNetAccess, BioCycAccess and ReactomeAccess, directly connect CellDesigner to the pathway databases MetNetDB, BioCyc and Reactome. PathwayAccess plugins enable CellDesigner users to expose pathway data to analytical CellDesigner functions, curate their pathway databases and visually integrate pathway data from different databases using standard Systems Biology Markup Language and Systems Biology Graphical Notation. Implemented in Java, PathwayAccess plugins run with CellDesigner version 4.0.1 and were tested on Ubuntu Linux, Windows XP and 7, and MacOSX. Source code, binaries, documentation and video walkthroughs are freely available at http://vrac.iastate.edu/~jlv.

  11. Tolerance induction of IgG+ memory B cells by T cell-independent type II antigens.

    PubMed

    Haniuda, Kei; Nojima, Takuya; Ohyama, Kyosuke; Kitamura, Daisuke

    2011-05-15

    Memory B cells generated during a T cell-dependent immune response rapidly respond to a secondary immunization by producing abundant IgG Abs that bind cognate Ag with high affinity. It is currently unclear whether this heightened recall response by memory B cells is due to augmented IgG-BCR signaling, which has only been demonstrated in the context of naive transgenic B cells. To address this question, we examined whether memory B cells can respond in vivo to Ags that stimulate only through BCR, namely T cell-independent type II (TI-II) Ags. In this study, we show that the TI-II Ag (4-hydroxy-3-nitrophenyl) acetyl (NP)-Ficoll cannot elicit the recall response in mice first immunized with the T cell-dependent Ag NP-chicken γ-globulin. Moreover, the NP-Ficoll challenge in vivo as well as in vitro significantly inhibits a subsequent recall response to NP-chicken γ-globulin in a B cell-intrinsic manner. This NP-Ficoll-mediated tolerance is caused by the preferential elimination of IgG(+) memory B cells binding to NP with high affinity. These data indicate that BCR cross-linking with a TI-II Ag does not activate IgG(+) memory B cells, but rather tolerizes them, identifying a terminal checkpoint of memory B cell differentiation that may prevent autoimmunity.

  12. A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories

    NASA Astrophysics Data System (ADS)

    Nakamura, Kazuyuki; Sasao, Tsutomu; Matsuura, Munehiro; Tanaka, Katsumasa; Yoshizumi, Kenichi; Nakahara, Hiroki; Iguchi, Yukihiro

    2006-04-01

    A large-scale memory-technology-based programmable logic device (PLD) using a look-up table (LUT) cascade is developed in the 0.35-μm standard complementary metal oxide semiconductor (CMOS) logic process. Eight 64 K-bit synchronous SRAMs are connected to form an LUT cascade with a few additional circuits. The features of the LUT cascade include: 1) a flexible cascade connection structure, 2) multi phase pseudo asynchronous operations with synchronous static random access memory (SRAM) cores, and 3) LUT-bypass redundancy. This chip operates at 33 MHz in 8-LUT cascades at 122 mW. Benchmark results show that it achieves a comparable performance to field programmable gate array (FPGAs).

  13. The role of cytokines in T-cell memory in health and disease.

    PubMed

    Raeber, Miro E; Zurbuchen, Yves; Impellizzieri, Daniela; Boyman, Onur

    2018-05-01

    Upon stimulation with their cognate antigen, naive T cells undergo proliferation and differentiation into effector cells, followed by apoptosis or survival as precursors of long-lived memory cells. These phases of a T-cell response and the ensuing maintenance of memory T cells are shaped by cytokines, most notably interleukin-2 (IL-2), IL-7, and IL-15 that share the common γ chain (γ c ) cytokine receptor. Steady-state production of IL-7 and IL-15 is necessary for background proliferation and homeostatic survival of CD4 + and CD8 + memory T cells. During immune responses, augmented levels of IL-2, IL-15, IL-21, IL-12, IL-18, and type-I interferons determine the memory potential of antigen-specific effector CD8 + cells, while increased IL-2 and IL-15 cause bystander proliferation of heterologous CD4 + and CD8 + memory T cells. Limiting availability of γ c cytokines, reduction in regulatory T cells or IL-10, and persistence of inflammation or cognate antigen can result in memory T cells, which fail to become cytokine-dependent long-lived cells. Conversely, increased IL-7 and IL-15 can expand memory T cells, including pathogenic tissue-resident memory T cells, as seen in lymphopenia and certain chronic-inflammatory disorders and malignancies. These abovementioned factors impact immunotherapy and vaccines directed at memory T cells in cancer and chronic infection. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  14. Random Telegraph Signal-Like Fluctuation Created by Fowler-Nordheim Stress in Gate Induced Drain Leakage Current of the Saddle Type Dynamic Random Access Memory Cell Transistor

    NASA Astrophysics Data System (ADS)

    Kim, Heesang; Oh, Byoungchan; Kim, Kyungdo; Cha, Seon-Yong; Jeong, Jae-Goan; Hong, Sung-Joo; Lee, Jong-Ho; Park, Byung-Gook; Shin, Hyungcheol

    2010-09-01

    We generated traps inside gate oxide in gate-drain overlap region of recess channel type dynamic random access memory (DRAM) cell transistor through Fowler-Nordheim (FN) stress, and observed gate induced drain leakage (GIDL) current both in time domain and in frequency domain. It was found that the trap inside gate oxide could generate random telegraph signal (RTS)-like fluctuation in GIDL current. The characteristics of that fluctuation were similar to those of RTS-like fluctuation in GIDL current observed in the non-stressed device. This result shows the possibility that the trap causing variable retention time (VRT) in DRAM data retention time can be located inside gate oxide like channel RTS of metal-oxide-semiconductor field-effect transistors (MOSFETs).

  15. White Adipose Tissue Is a Reservoir for Memory T Cells and Promotes Protective Memory Responses to Infection.

    PubMed

    Han, Seong-Ji; Glatman Zaretsky, Arielle; Andrade-Oliveira, Vinicius; Collins, Nicholas; Dzutsev, Amiran; Shaik, Jahangheer; Morais da Fonseca, Denise; Harrison, Oliver J; Tamoutounour, Samira; Byrd, Allyson L; Smelkinson, Margery; Bouladoux, Nicolas; Bliska, James B; Brenchley, Jason M; Brodsky, Igor E; Belkaid, Yasmine

    2017-12-19

    White adipose tissue bridges body organs and plays a fundamental role in host metabolism. To what extent adipose tissue also contributes to immune surveillance and long-term protective defense remains largely unknown. Here, we have shown that at steady state, white adipose tissue contained abundant memory lymphocyte populations. After infection, white adipose tissue accumulated large numbers of pathogen-specific memorycells, including tissue-resident cells. Memorycells in white adipose tissue expressed a distinct metabolic profile, and white adipose tissue from previously infected mice was sufficient to protect uninfected mice from lethal pathogen challenge. Induction of recall responses within white adipose tissue was associated with the collapse of lipid metabolism in favor of antimicrobial responses. Our results suggest that white adipose tissue represents a memorycell reservoir that provides potent and rapid effector memory responses, positioning this compartment as a potential major contributor to immunological memory. Published by Elsevier Inc.

  16. Inducible nitric oxide synthase in T cells regulates T cell death and immune memory

    PubMed Central

    Vig, Monika; Srivastava, Smita; Kandpal, Usha; Sade, Hadassah; Lewis, Virginia; Sarin, Apurva; George, Anna; Bal, Vineeta; Durdik, Jeannine M.; Rath, Satyajit

    2004-01-01

    The progeny of T lymphocytes responding to immunization mostly die rapidly, leaving a few long-lived survivors functioning as immune memory. Thus, control of this choice of death versus survival is critical for immune memory. There are indications that reactive radicals may be involved in this death pathway. We now show that, in mice lacking inducible nitric oxide synthase (iNOS), higher frequencies of both CD4 and CD8 memory T cells persist in response to immunization, even when iNOS+/+ APCs are used for immunization. Postactivation T cell death by neglect is reduced in iNOS–/– T cells, and levels of the antiapoptotic proteins Bcl-2 and Bcl-xL are increased. Inhibitors of the iNOS-peroxynitrite pathway also enhance memory responses and block postactivation death by neglect in both mouse and human T cells. However, early primary immune responses are not enhanced, which suggests that altered survival, rather than enhanced activation, is responsible for the persistent immunity observed. Thus, in primary immune responses, iNOS in activated T cells autocrinely controls their susceptibility to death by neglect to determine the level of persisting CD4 and CD8 T cell memory, and modulation of this pathway can enhance the persistence of immune memory in response to vaccination. PMID:15199408

  17. Endogenous Memory CD8 T Cells Directly Mediate Cardiac Allograft Rejection

    PubMed Central

    Su, C. A.; Iida, S.; Abe, T.; Fairchild, R. L.

    2014-01-01

    Differences in levels of environmentally induced memory T cells that cross-react with donor MHC molecules are postulated to account for the efficacy of allograft tolerance inducing strategies in rodents versus their failure in nonhuman primates and human transplant patients. Strategies to study the impact of donor-reactive memory T cells on allografts in rodents have relied on the pre-transplant induction of memory T cells cross-reactive with donor allogeneic MHC molecules through recipient viral infection, priming directly with donor antigen, or adoptive transfer of donor-antigen primed memory T cells. Each approach accelerates allograft rejection and confers resistance to tolerance induction, but also biases the T cell repertoire to strong donor-reactivity. The ability of endogenous memory T cells within unprimed mice to directly reject an allograft is unknown. Here we show a direct association between increased duration of cold ischemic allograft storage and numbers and enhanced functions of early graft infiltrating endogenous CD8 memory T cells. These T cells directly mediate rejection of allografts subjected to prolonged ischemia and this rejection is resistant to costimulatory blockade. These findings recapitulate the clinically significant impact of endogenous memory T cells with donor reactivity in a mouse transplant model in the absence of prior recipient priming. PMID:24502272

  18. Optical interconnection network for parallel access to multi-rank memory in future computing systems.

    PubMed

    Wang, Kang; Gu, Huaxi; Yang, Yintang; Wang, Kun

    2015-08-10

    With the number of cores increasing, there is an emerging need for a high-bandwidth low-latency interconnection network, serving core-to-memory communication. In this paper, aiming at the goal of simultaneous access to multi-rank memory, we propose an optical interconnection network for core-to-memory communication. In the proposed network, the wavelength usage is delicately arranged so that cores can communicate with different ranks at the same time and broadcast for flow control can be achieved. A distributed memory controller architecture that works in a pipeline mode is also designed for efficient optical communication and transaction address processes. The scaling method and wavelength assignment for the proposed network are investigated. Compared with traditional electronic bus-based core-to-memory communication, the simulation results based on the PARSEC benchmark show that the bandwidth enhancement and latency reduction are apparent.

  19. Soft errors in commercial off-the-shelf static random access memories

    NASA Astrophysics Data System (ADS)

    Dilillo, L.; Tsiligiannis, G.; Gupta, V.; Bosser, A.; Saigne, F.; Wrobel, F.

    2017-01-01

    This article reviews state-of-the-art techniques for the evaluation of the effect of radiation on static random access memory (SRAM). We detailed irradiation test techniques and results from irradiation experiments with several types of particles. Two commercial SRAMs, in 90 and 65 nm technology nodes, were considered as case studies. Besides the basic static and dynamic test modes, advanced stimuli for the irradiation tests were introduced, as well as statistical post-processing techniques allowing for deeper analysis of the correlations between bit-flip cross-sections and design/architectural characteristics of the memory device. Further insight is provided on the response of irradiated stacked layer devices and on the use of characterized SRAM devices as particle detectors.

  20. Cell-autonomous CCL5 transcription by memory CD8 T cells is regulated by IL-4.

    PubMed

    Marçais, Antoine; Coupet, Charles-Antoine; Walzer, Thierry; Tomkowiak, Martine; Ghittoni, Raffaella; Marvel, Jacqueline

    2006-10-01

    Immunological memory is associated with the display of improved effector functions. The maintenance by CD8 memory cells of high levels of untranslated CCL5 mRNA allows these cells to immediately secrete this chemokine upon Ag stimulation. Untranslated mRNA storage is a newly described process supporting the immediate display of an effector function by memory lymphocytes. We have tested the capacity of different cytokines to regulate the memorization of CCL5 by memory CD8 T cells. We found that IL-4 treatment of murine CD8 T cells impairs immediate CCL5 secretion capacity by inhibiting CCL5 mRNA transcription through a STAT6-dependent pathway. The inhibition by IL-4 is reversible, as memory CD8 T cells reconstitute their CCL5 mRNA stores and reacquire their immediate CCL5 secretion capacity when IL-4 is withdrawn. This recovery is cell autonomous because it proceeds in culture medium in the absence of exogenous growth factors, suggesting that CCL5 expression by memory CD8 T cells is a default process. Overall, these results indicate that the expression of CCL5 is an intrinsic property acquired by memory CD8 T cells that is regulated by environmental factors.

  1. Optically Addressable, Ferroelectric Memory With NDRO

    NASA Technical Reports Server (NTRS)

    Thakoor, Sarita

    1994-01-01

    For readout, memory cells addressed via on-chip semiconductor lasers. Proposed thin-film ferroelectric memory device features nonvolatile storage, optically addressable, nondestructive readout (NDRO) with fast access, and low vulnerability to damage by ionizing radiation. Polarization switched during recording and erasure, but not during readout. As result, readout would not destroy contents of memory, and operating life in specific "read-intensive" applications increased up to estimated 10 to the 16th power cycles.

  2. Modeling of SONOS Memory Cell Erase Cycle

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; MacLeod, Todd C.; Ho, Fat H.

    2011-01-01

    Utilization of Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) nonvolatile semiconductor memories as a flash memory has many advantages. These electrically erasable programmable read-only memories (EEPROMs) utilize low programming voltages, have a high erase/write cycle lifetime, are radiation hardened, and are compatible with high-density scaled CMOS for low power, portable electronics. In this paper, the SONOS memory cell erase cycle was investigated using a nonquasi-static (NQS) MOSFET model. Comparisons were made between the model predictions and experimental data.

  3. Single-Cell Memory Regulates a Neural Circuit for Sensory Behavior.

    PubMed

    Kobayashi, Kyogo; Nakano, Shunji; Amano, Mutsuki; Tsuboi, Daisuke; Nishioka, Tomoki; Ikeda, Shingo; Yokoyama, Genta; Kaibuchi, Kozo; Mori, Ikue

    2016-01-05

    Unveiling the molecular and cellular mechanisms underlying memory has been a challenge for the past few decades. Although synaptic plasticity is proven to be essential for memory formation, the significance of "single-cell memory" still remains elusive. Here, we exploited a primary culture system for the analysis of C. elegans neurons and show that a single thermosensory neuron has an ability to form, retain, and reset a temperature memory. Genetic and proteomic analyses found that the expression of the single-cell memory exhibits inter-individual variability, which is controlled by the evolutionarily conserved CaMKI/IV and Raf pathway. The variable responses of a sensory neuron influenced the neural activity of downstream interneurons, suggesting that modulation of the sensory neurons ultimately determines the behavioral output in C. elegans. Our results provide proof of single-cell memory and suggest that the individual differences in neural responses at the single-cell level can confer individuality. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  4. 9. ENGINE TEST CELL BUILDING INTERIOR. CELL ACCESS ELEVATOR, CELLS ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    9. ENGINE TEST CELL BUILDING INTERIOR. CELL ACCESS ELEVATOR, CELLS 2 AND 4, BASEMENT LEVEL. LOOKING SOUTHEAST. - Fairchild Air Force Base, Engine Test Cell Building, Near intersection of Arnold Street & George Avenue, Spokane, Spokane County, WA

  5. CD4+ virtual memory: Antigen-inexperienced T cells reside in the naïve, regulatory, and memory T cell compartments at similar frequencies, implications for autoimmunity.

    PubMed

    Marusina, Alina I; Ono, Yoko; Merleev, Alexander A; Shimoda, Michiko; Ogawa, Hiromi; Wang, Elizabeth A; Kondo, Kayo; Olney, Laura; Luxardi, Guillaume; Miyamura, Yoshinori; Yilma, Tilahun D; Villalobos, Itzel Bustos; Bergstrom, Jennifer W; Kronenberg, Daniel G; Soulika, Athena M; Adamopoulos, Iannis E; Maverakis, Emanual

    2017-02-01

    It is widely accepted that central and effector memory CD4 + T cells originate from naïve T cells after they have encountered their cognate antigen in the setting of appropriate co-stimulation. However, if this were true the diversity of T cell receptor (TCR) sequences within the naïve T cell compartment should be far greater than that of the memory T cell compartment, which is not supported by TCR sequencing data. Here we demonstrate that aged mice with far fewer naïve T cells, respond to the model antigen, hen eggwhite lysozyme (HEL), by utilizing the same TCR sequence as their younger counterparts. CD4 + T cell repertoire analysis of highly purified T cell populations from naive animals revealed that the HEL-specific clones displayed effector and central "memory" cell surface phenotypes even prior to having encountered their cognate antigen. Furthermore, HEL-inexperienced CD4 + T cells were found to reside within the naïve, regulatory, central memory, and effector memory T cell populations at similar frequencies and the majority of the CD4 + T cells within the regulatory and memory populations were unexpanded. These findings support a new paradigm for CD4 + T cell maturation in which a specific clone can undergo a differentiation process to exhibit a "memory" or regulatory phenotype without having undergone a clonal expansion event. It also demonstrates that a foreign-specific T cell is just as likely to reside within the regulatory T cell compartment as it would the naïve compartment, arguing against the specificity of the regulatory T cell compartment being skewed towards self-reactive T cell clones. Finally, we demonstrate that the same set of foreign and autoreactive CD4 + T cell clones are repetitively generated throughout adulthood. The latter observation argues against T cell-depleting strategies or autologous stem cell transplantation as therapies for autoimmunity-as the immune system has the ability to regenerate pathogenic clones. Published by

  6. The differential effects of ecstasy/polydrug use on executive components: shifting, inhibition, updating and access to semantic memory.

    PubMed

    Montgomery, Catharine; Fisk, John E; Newcombe, Russell; Murphy, Phillip N

    2005-10-01

    Recent theoretical models suggest that the central executive may not be a unified structure. The present study explored the nature of central executive deficits in ecstasy users. In study 1, 27 ecstasy users and 34 non-users were assessed using tasks to tap memory updating (computation span; letter updating) and access to long-term memory (a semantic fluency test and the Chicago Word Fluency Test). In study 2, 51 ecstasy users and 42 non-users completed tasks that assess mental set switching (number/letter and plus/minus) and inhibition (random letter generation). MANOVA revealed that ecstasy users performed worse on both tasks used to assess memory updating and on tasks to assess access to long-term memory (C- and S-letter fluency). However, notwithstanding the significant ecstasy group-related effects, indices of cocaine and cannabis use were also significantly correlated with most of the executive measures. Unexpectedly, in study 2, ecstasy users performed significantly better on the inhibition task, producing more letters than non-users. No group differences were observed on the switching tasks. Correlations between indices of ecstasy use and number of letters produced were significant. The present study provides further support for ecstasy/polydrug-related deficits in memory updating and in access to long-term memory. The surplus evident on the inhibition task should be treated with some caution, as this was limited to a single measure and has not been supported by our previous work.

  7. Cell-assembly coding in several memory processes.

    PubMed

    Sakurai, Y

    1998-01-01

    The present paper discusses why the cell assembly, i.e., an ensemble population of neurons with flexible functional connections, is a tenable view of the basic code for information processes in the brain. The main properties indicating the reality of cell-assembly coding are neurons overlaps among different assemblies and connection dynamics within and among the assemblies. The former can be detected as multiple functions of individual neurons in processing different kinds of information. Individual neurons appear to be involved in multiple information processes. The latter can be detected as changes of functional synaptic connections in processing different kinds of information. Correlations of activity among some of the recorded neurons appear to change in multiple information processes. Recent experiments have compared several different memory processes (tasks) and detected these two main properties, indicating cell-assembly coding of memory in the working brain. The first experiment compared different types of processing of identical stimuli, i.e., working memory and reference memory of auditory stimuli. The second experiment compared identical processes of different types of stimuli, i.e., discriminations of simple auditory, simple visual, and configural auditory-visual stimuli. The third experiment compared identical processes of different types of stimuli with or without temporal processing of stimuli, i.e., discriminations of elemental auditory, configural auditory-visual, and sequential auditory-visual stimuli. Some possible features of the cell-assembly coding, especially "dual coding" by individual neurons and cell assemblies, are discussed for future experimental approaches. Copyright 1998 Academic Press.

  8. Thermal characterization and analysis of phase change random access memory

    NASA Astrophysics Data System (ADS)

    Giraud, V.; Cluzel, J.; Sousa, V.; Jacquot, A.; Dauscher, A.; Lenoir, B.; Scherrer, H.; Romer, S.

    2005-07-01

    The cross-plane thermal conductivity of Ge2Sb2Te5, either in its amorphous state or fcc crystallized state, and titanium nitride (TiN) thin films has been measured at room temperature by the 3ω method. These materials are involved in the fabrication of phase change random access memory (PC-RAM), Ge2Sb2Te5 and TiN being the PC and pseudoelectrode materials, respectively. The thermal conductivity of insulating SiO2 and ZnS :SiO2 layers was determined too. Each thermal conductivity measurement was performed by the means of at least two strip widths in order to check both the measurement self-consistency and the measurement accuracy. The performance of PC-RAM cells, i.e., the time needed to reach the melting temperature of the PC material and the cooling speed, has been evaluated as a function of both the measured thermal conductivity of the PC material and the reset current intensity independently of the thermal properties of the pseudoelectrodes by the way of analytical formula. The influence of the thickness and the thermal properties of the pseudoelectrodes on the performances have been determined by numerical simulations.

  9. Requirement for CD4 T Cell Help in Generating Functional CD8 T Cell Memory

    NASA Astrophysics Data System (ADS)

    Shedlock, Devon J.; Shen, Hao

    2003-04-01

    Although primary CD8 responses to acute infections are independent of CD4 help, it is unknown whether a similar situation applies to secondary responses. We show that depletion of CD4 cells during the recall response has minimal effect, whereas depletion during the priming phase leads to reduced responses by memory CD8 cells to reinfection. Memory CD8 cells generated in CD4+/+ mice responded normally when transferred into CD4-/- hosts, whereas memory CD8 cells generated in CD4-/- mice mounted defective recall responses in CD4+/+ adoptive hosts. These results demonstrate a previously undescribed role for CD4 help in the development of functional CD8 memory.

  10. Memory CD4 T cell subsets are kinetically heterogeneous and replenished from naive T cells at high levels.

    PubMed

    Gossel, Graeme; Hogan, Thea; Cownden, Daniel; Seddon, Benedict; Yates, Andrew J

    2017-03-10

    Characterising the longevity of immunological memory requires establishing the rules underlying the renewal and death of peripheral T cells. However, we lack knowledge of the population structure and how self-renewal and de novo influx contribute to the maintenance of memory compartments. Here, we characterise the kinetics and structure of murine CD4 T cell memory subsets by measuring the rates of influx of new cells and using detailed timecourses of DNA labelling that also distinguish the behaviour of recently divided and quiescent cells. We find that both effector and central memory CD4 T cells comprise subpopulations with highly divergent rates of turnover, and show that inflows of new cells sourced from the naive pool strongly impact estimates of memory cell lifetimes and division rates. We also demonstrate that the maintenance of CD4 T cell memory subsets in healthy mice is unexpectedly and strikingly reliant on this replenishment.

  11. CD4+CD62L+ Central Memory T Cells Can Be Converted to Foxp3+ T Cells

    PubMed Central

    Zhang, Xiaolong; Chang Li, Xian; Xiao, Xiang; Sun, Rui; Tian, Zhigang; Wei, Haiming

    2013-01-01

    The peripheral Foxp3+ Treg pool consists of naturally arising Treg (nTreg) and adaptive Treg cells (iTreg). It is well known that naive CD4+ T cells can be readily converted to Foxp3+ iTreg in vitro, and memory CD4+ T cells are resistant to conversion. In this study, we investigated the induction of Foxp3+ T cells from various CD4+ T-cell subsets in human peripheral blood. Though naive CD4+ T cells were readily converted to Foxp3+ T cells with TGF-β and IL-2 treatment in vitro, such Foxp3+ T cells did not express the memory marker CD45RO as do Foxp3+ T cells induced in the peripheral blood of Hepatitis B Virus (HBV) patients. Interestingly, a subset of human memory CD4+ T cells, defined as CD62L+ central memory T cells, could be induced by TGF-β to differentiate into Foxp3+ T cells. It is well known that Foxp3+ T cells derived from human CD4+CD25- T cells in vitro are lack suppressive functions. Our data about the suppressive functions of CD4+CD62L+ central memory T cell-derived Foxp3+ T cells support this conception, and an epigenetic analysis of these cells showed a similar methylation pattern in the FOXP3 Treg-specific demethylated region as the naive CD4+ T cell-derived Foxp3+ T cells. But further research showed that mouse CD4+ central memory T cells also could be induced to differentiate into Foxp3+ T cells, such Foxp3+ T cells could suppress the proliferation of effector T cells. Thus, our study identified CD4+CD62L+ central memory T cells as a novel potential source of iTreg. PMID:24155942

  12. Dual operation characteristics of resistance random access memory in indium-gallium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Chun; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.

    2014-04-01

    In this study, indium-gallium-zinc-oxide thin film transistors can be operated either as transistors or resistance random access memory devices. Before the forming process, current-voltage curve transfer characteristics are observed, and resistance switching characteristics are measured after a forming process. These resistance switching characteristics exhibit two behaviors, and are dominated by different mechanisms. The mode 1 resistance switching behavior is due to oxygen vacancies, while mode 2 is dominated by the formation of an oxygen-rich layer. Furthermore, an easy approach is proposed to reduce power consumption when using these resistance random access memory devices with the amorphous indium-gallium-zinc-oxide thin film transistor.

  13. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    DOEpatents

    Blocksome, Michael A.; Mamidala, Amith R.

    2013-09-03

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  14. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    DOEpatents

    Blocksome, Michael A; Mamidala, Amith R

    2014-02-11

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  15. Retention of Ag-specific memory CD4+ T cells in the draining lymph node indicates lymphoid tissue resident memory populations.

    PubMed

    Marriott, Clare L; Dutton, Emma E; Tomura, Michio; Withers, David R

    2017-05-01

    Several different memory T-cell populations have now been described based upon surface receptor expression and migratory capabilities. Here we have assessed murine endogenous memory CD4 + T cells generated within a draining lymph node and their subsequent migration to other secondary lymphoid tissues. Having established a model response targeting a specific peripheral lymph node, we temporally labelled all the cells within draining lymph node using photoconversion. Tracking of photoconverted and non-photoconverted Ag-specific CD4 + T cells revealed the rapid establishment of a circulating memory population in all lymph nodes within days of immunisation. Strikingly, a resident memory CD4 + T cell population became established in the draining lymph node and persisted for several months in the absence of detectable migration to other lymphoid tissue. These cells most closely resembled effector memory T cells, usually associated with circulation through non-lymphoid tissue, but here, these cells were retained in the draining lymph node. These data indicate that lymphoid tissue resident memory CD4 + T-cell populations are generated in peripheral lymph nodes following immunisation. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Human Stem Cell-like Memory T Cells Are Maintained in a State of Dynamic Flux.

    PubMed

    Ahmed, Raya; Roger, Laureline; Costa Del Amo, Pedro; Miners, Kelly L; Jones, Rhiannon E; Boelen, Lies; Fali, Tinhinane; Elemans, Marjet; Zhang, Yan; Appay, Victor; Baird, Duncan M; Asquith, Becca; Price, David A; Macallan, Derek C; Ladell, Kristin

    2016-12-13

    Adaptive immunity requires the generation of memorycells from naive precursors selected in the thymus. The key intermediaries in this process are stem cell-like memory T (T SCM ) cells, multipotent progenitors that can both self-renew and replenish more differentiated subsets of memorycells. In theory, antigen specificity within the T SCM pool may be imprinted statically as a function of largely dormant cells and/or retained dynamically by more transitory subpopulations. To explore the origins of immunological memory, we measured the turnover of T SCM cells in vivo using stable isotope labeling with heavy water. The data indicate that T SCM cells in both young and elderly subjects are maintained by ongoing proliferation. In line with this finding, T SCM cells displayed limited telomere length erosion coupled with high expression levels of active telomerase and Ki67. Collectively, these observations show that T SCM cells exist in a state of perpetual flux throughout the human lifespan. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  17. Cell-adhesion molecules in memory formation.

    PubMed

    Schmidt, R

    1995-01-23

    After learning events the CNS of higher organisms selects, which acquired informations are permanently stored as a memory trace. This period of memory consolidation is susceptible to interference by biochemical inhibitors of transcription and translation. Ependymin is a specific CNS glycoprotein functionally involved in memory consolidation in goldfish: after active shock-avoidance conditioning ependymin mRNA is rapidly induced in meningeal fibroblasts followed by enhanced synthesis and secretion of several closely related forms of the protein. Intracranial injections of anti-ependymin antisera or antisense oligodeoxynucleotides interfere specifically with memory consolidation, indicating that only de novo synthesized ependymin molecules are involved. Ependymin is capable of directing the growth of central axons in vitro and participates in neuronal regeneration in situ, presumably by its HNK-1 cell-adhesion epitope. Experiments reviewed in this article suggest a model that involves two regulation mechanisms for the function of ependymin in behavioural plasticity: while hormones appear to determine, how much of this cell adhesion molecule is synthesized after learning, local changes of metal cation concentrations in the micro-environment of activated neurons may polymerize ependymin at those synapses, that have to be consolidated to improve their efficacy for future use.

  18. Memory CD4+ T cells: beyond “helper” functions

    PubMed Central

    Boonnak, Kobporn; Subbarao, Kanta

    2012-01-01

    In influenza virus infection, antibodies, memory CD8+ T cells, and CD4+ T cells have all been shown to mediate immune protection, but how they operate and interact with one another to mediate efficient immune responses against virus infection is not well understood. In this issue of the JCI, McKinstry et al. have identified unique functions of memory CD4+ T cells beyond providing “help” for B cell and CD8+ T cell responses during influenza virus infection. PMID:22820285

  19. The dynamics of access to groups in working memory.

    PubMed

    Farrell, Simon; Lelièvre, Anna

    2012-11-01

    The finding that participants leave a pause between groups when attempting serial recall of temporally grouped lists has been taken to indicate access to a hierarchical representation of the list in working memory. An alternative explanation is that the dynamics of serial recall solely reflect output (rather than memorial) processes, with the temporal pattern at input merely suggesting a basis for the pattern of output buffering. Three experiments are presented here that disentangle input structure from output buffering in serial recall. In Experiment 1, participants were asked to recall a subset of visually presented digits from a temporally grouped list in their original order, where either within-group position or group position was kept constant. In Experiment 2, participants performed more standard serial recall of spoken digits, and input and output position were dissociated by asking participants to initiate recall from a post-cued position in the list. In Experiment 3, participants were asked to serially recall temporally grouped lists of visually presented digits where the grouping structure was unpredictable, under either articulatory suppression or silent conditions. The 3 experiments point to a tight linkage between implied memorial structures (i.e., the pattern of grouping at encoding) and the output structure implied by retrieval times and call into question a purely motoric account of the dynamics of recall.

  20. Induction of CD4 T cell memory by local cellular collectivity.

    PubMed

    Polonsky, Michal; Rimer, Jacob; Kern-Perets, Amos; Zaretsky, Irina; Miller, Stav; Bornstein, Chamutal; David, Eyal; Kopelman, Naama Meira; Stelzer, Gil; Porat, Ziv; Chain, Benjamin; Friedman, Nir

    2018-06-15

    Cell differentiation is directed by signals driving progenitors into specialized cell types. This process can involve collective decision-making, when differentiating cells determine their lineage choice by interacting with each other. We used live-cell imaging in microwell arrays to study collective processes affecting differentiation of naïve CD4 + T cells into memory precursors. We found that differentiation of precursor memory T cells sharply increases above a threshold number of locally interacting cells. These homotypic interactions involve the cytokines interleukin-2 (IL-2) and IL-6, which affect memory differentiation orthogonal to their effect on proliferation and survival. Mathematical modeling suggests that the differentiation rate is continuously modulated by the instantaneous number of locally interacting cells. This cellular collectivity can prioritize allocation of immune memory to stronger responses. Copyright © 2018, American Association for the Advancement of Science.

  1. Making Physical Activity Accessible to Older Adults with Memory Loss: A Feasibility Study

    ERIC Educational Resources Information Center

    Logsdon, Rebecca G.; McCurry, Susan M.; Pike, Kenneth C.; Teri, Linda

    2009-01-01

    Purpose: For individuals with mild cognitive impairment (MCI), memory loss may prevent successful engagement in exercise, a key factor in preventing additional disability. The Resources and Activities for Life Long Independence (RALLI) program uses behavioral principles to make exercise more accessible for these individuals. Exercises are broken…

  2. Memory CD4 T cell subsets are kinetically heterogeneous and replenished from naive T cells at high levels

    PubMed Central

    Gossel, Graeme; Hogan, Thea; Cownden, Daniel

    2017-01-01

    Characterising the longevity of immunological memory requires establishing the rules underlying the renewal and death of peripheral T cells. However, we lack knowledge of the population structure and how self-renewal and de novo influx contribute to the maintenance of memory compartments. Here, we characterise the kinetics and structure of murine CD4 T cell memory subsets by measuring the rates of influx of new cells and using detailed timecourses of DNA labelling that also distinguish the behaviour of recently divided and quiescent cells. We find that both effector and central memory CD4 T cells comprise subpopulations with highly divergent rates of turnover, and show that inflows of new cells sourced from the naive pool strongly impact estimates of memory cell lifetimes and division rates. We also demonstrate that the maintenance of CD4 T cell memory subsets in healthy mice is unexpectedly and strikingly reliant on this replenishment. DOI: http://dx.doi.org/10.7554/eLife.23013.001 PMID:28282024

  3. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation

    PubMed Central

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J.; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L.; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D.; Weninger, Wolfgang

    2015-01-01

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8+ T cells. During influenza virus infection in vivo, naive T cells enter a CD62Lintermediate state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62Lhi central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62Lhi memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways. PMID:25709008

  4. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation.

    PubMed

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D; Weninger, Wolfgang

    2015-02-24

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8(+) T cells. During influenza virus infection in vivo, naive T cells enter a CD62L(intermediate) state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62L(hi) central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62L(hi) memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways.

  5. Immigration, Language Proficiency, and Autobiographical Memories: Lifespan Distribution and Second-Language Access

    PubMed Central

    Esposito, Alena G.; Baker-Ward, Lynne

    2015-01-01

    This investigation examined two controversies in the autobiographical literature: how cross-language immigration affects the distribution of autobiographical memories across the lifespan and under what circumstances language-dependent recall is observed. Both Spanish/English bilingual immigrants and English monolingual non-immigrants participated in a cue word study, with the bilingual sample taking part in a within-subject language manipulation. The expected bump in the number of memories from early life was observed for non-immigrants but not immigrants, who reported more memories for events surrounding immigration. Aspects of the methodology addressed possible reasons for past discrepant findings. Language-dependent recall was influenced by second-language proficiency. Results were interpreted as evidence that bilinguals with high second-language proficiency, in contrast to those with lower second-language proficiency, access a single conceptual store through either language. The final multi-level model predicting language-dependent recall, including second-language proficiency, age of immigration, internal language, and cue word language, explained ¾ of the between-person variance and ⅕ of the within-person variance. We arrive at two conclusions. First, major life transitions influence the distribution of memories. Second, concept representation across multiple languages follows a developmental model. In addition, the results underscore the importance of considering language experience in research involving memory reports. PMID:26274061

  6. Immigration, language proficiency, and autobiographical memories: Lifespan distribution and second-language access.

    PubMed

    Esposito, Alena G; Baker-Ward, Lynne

    2016-08-01

    This investigation examined two controversies in the autobiographical literature: how cross-language immigration affects the distribution of autobiographical memories across the lifespan and under what circumstances language-dependent recall is observed. Both Spanish/English bilingual immigrants and English monolingual non-immigrants participated in a cue word study, with the bilingual sample taking part in a within-subject language manipulation. The expected bump in the number of memories from early life was observed for non-immigrants but not immigrants, who reported more memories for events surrounding immigration. Aspects of the methodology addressed possible reasons for past discrepant findings. Language-dependent recall was influenced by second-language proficiency. Results were interpreted as evidence that bilinguals with high second-language proficiency, in contrast to those with lower second-language proficiency, access a single conceptual store through either language. The final multi-level model predicting language-dependent recall, including second-language proficiency, age of immigration, internal language, and cue word language, explained ¾ of the between-person variance and (1)/5 of the within-person variance. We arrive at two conclusions. First, major life transitions influence the distribution of memories. Second, concept representation across multiple languages follows a developmental model. In addition, the results underscore the importance of considering language experience in research involving memory reports.

  7. Distinct Effects of Saracatinib on Memory CD8+ T-cell Differentiation

    PubMed Central

    Takai, Shinji; Sabzevari, Helen; Farsaci, Benedetto; Schlom, Jeffrey; Greiner, John W.

    2012-01-01

    Immunologic memory involving CD8+ T-cells is a hallmark of an adaptive antigen-specific immune response and comprises a critical component of protective immunity. Designing approaches that enhance long-term T-cell memory would, for the most part, fortify vaccines and enhance host protection against infectious diseases and, perhaps, cancer immunotherapy. A better understanding of the cellular programs involved in the antigen-specific T-cell response has led to new approaches that target the magnitude and quality of the memory T-cell response. Here we show that T-cells from T-cell receptor transgenic mice for the nucleoprotein of influenza virus NP68 exhibit the distinct phases priming, expansion, contraction, memory - of an antigen-specific T-cell response when exposed in vitro to the cognate peptide. Saracatinib, a specific inhibitor of Src family kinases, administered at low doses during the expansion or contraction phases, increased CD62Lhigh/CD44high central memory CD8+ T-cells and IFN-γ production, while suppressing immunity when added during the priming phase. These effects by saracatinib were not accompanied by the expected decline of Src family kinases, but were accompanied by Akt-mTOR suppression and/or mediated via another pathway. Increased central memory cells by saracatinib were recapitulated in mice using a poxvirus-based influenza vaccine, thus underscoring the importance of dose and timing of the inhibitor in the context of memory T-cell differentiation. Finally, vaccine plus saracatinib treatment showed better protection against tumor challenge. The immune-potentiating effects on CD8+ T-cells by a low dose of saracatinib might afford better protection from pathogen or cancer when combined with vaccine. PMID:22450814

  8. Constraints on Access: Costs and Benefits (Spontaneous Memory for Relevant Experiences)

    DTIC Science & Technology

    1989-05-01

    F. I. M. Craik (Eds.), Levels of processing and human memory. Hillsdale, NJ: Erlbaum. Dewey, J. (1963). How we think. Portions published in R. M...transfer. Pictures (vs. words) and levels of processing and elaborative encoding manipulations are shown to affect directed access but are found to have...includes most 5 6 list-learning experiments, research on schema/script abstraction, and studies of remembering which might manipulate levels of processing

  9. Quiescence of Memory CD8(+) T Cells Is Mediated by Regulatory T Cells through Inhibitory Receptor CTLA-4.

    PubMed

    Kalia, Vandana; Penny, Laura Anne; Yuzefpolskiy, Yevgeniy; Baumann, Florian Martin; Sarkar, Surojit

    2015-06-16

    Immune memory cells are poised to rapidly expand and elaborate effector functions upon reinfection yet exist in a functionally quiescent state. The paradigm is that memorycells remain inactive due to lack of T cell receptor (TCR) stimuli. Here, we report that regulatory T (Treg) cells orchestrate memorycell quiescence by suppressing effector and proliferation programs through inhibitory receptor, cytotoxic-T-lymphocyte-associated protein-4 (CTLA-4). Loss of Treg cells resulted in activation of genome-wide transcriptional programs characteristic of effector T cells and drove transitioning as well as established memory CD8(+) T cells toward terminally differentiated KLRG-1(hi)IL-7Rα(lo)GzmB(hi) phenotype, with compromised metabolic fitness, longevity, polyfunctionality, and protective efficacy. CTLA-4 functionally replaced Treg cells in trans to rescue memorycell defects and restore homeostasis. These studies present the CTLA-4-CD28-CD80/CD86 axis as a potential target to accelerate vaccine-induced immunity and improve T cell memory quality in current cancer immunotherapies proposing transient Treg cell ablation. Copyright © 2015 Elsevier Inc. All rights reserved.

  10. Electrical Evaluation of RCA MWS5501D Random Access Memory, Volume 2, Appendix a

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    The electrical characterization and qualification test results are presented for the RCA MWS5001D random access memory. The tests included functional tests, AC and DC parametric tests, AC parametric worst-case pattern selection test, determination of worst-case transition for setup and hold times, and a series of schmoo plots. The address access time, address readout time, the data hold time, and the data setup time are some of the results surveyed.

  11. Disturbance characteristics of half-selected cells in a cross-point resistive switching memory array

    NASA Astrophysics Data System (ADS)

    Chen, Zhe; Li, Haitong; Chen, Hong-Yu; Chen, Bing; Liu, Rui; Huang, Peng; Zhang, Feifei; Jiang, Zizhen; Ye, Hongfei; Gao, Bin; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng; Wong, H.-S. Philip; Yu, Shimeng

    2016-05-01

    Disturbance characteristics of cross-point resistive random access memory (RRAM) arrays are comprehensively studied in this paper. An analytical model is developed to quantify the number of pulses (#Pulse) the cell can bear before disturbance occurs under various sub-switching voltage stresses based on physical understanding. An evaluation methodology is proposed to assess the disturb behavior of half-selected (HS) cells in cross-point RRAM arrays by combining the analytical model and SPICE simulation. The characteristics of cross-point RRAM arrays such as energy consumption, reliable operating cycles and total error bits are evaluated by the methodology. A possible solution to mitigate disturbance is proposed.

  12. γδ T cells exhibit multifunctional and protective memory in intestinal tissues

    PubMed Central

    Sheridan, Brian S.; Romagnoli, Pablo A.; Pham, Quynh-Mai; Fu, Han-Hsuan; Alonzo, Francis; Schubert, Wolf-Dieter; Freitag, Nancy E.; Lefrançois, Leo

    2013-01-01

    Summary The study of T cell memory and the target of vaccine design has focused on memory subsumed by T cells bearing the αβ T cell receptor. Alternatively, γδ T cells are thought to provide rapid immunity particularly at mucosal borders. Here we have shown that a distinct subset of mucosal γδ T cells mounts an immune response to oral Listeria monocytogenes (Lm) infection leading to the development of multifunctional memory T cells in the murine intestinal mucosa that is capable of simultaneously producing interferon-γ and interleukin-17A. Challenge infection with oral Lm, but not oral Salmonella or intravenous Lm, induced rapid expansion of memory γδ T cells suggesting contextual specificity to the priming pathogen. Importantly, memory γδ T cells were able to provide enhanced protection against infection. These findings illustrate a previously unrecognized role for γδ T cells with hallmarks of adaptive immunity in the intestinal mucosa. PMID:23890071

  13. Identification of Nascent Memory CD8 T Cells and Modeling of Their Ontogeny.

    PubMed

    Crauste, Fabien; Mafille, Julien; Boucinha, Lilia; Djebali, Sophia; Gandrillon, Olivier; Marvel, Jacqueline; Arpin, Christophe

    2017-03-22

    Primary immune responses generate short-term effectors and long-term protective memory cells. The delineation of the genealogy linking naive, effector, and memory cells has been complicated by the lack of phenotypes discriminating effector from memory differentiation stages. Using transcriptomics and phenotypic analyses, we identify Bcl2 and Mki67 as a marker combination that enables the tracking of nascent memory cells within the effector phase. We then use a formal approach based on mathematical models describing the dynamics of population size evolution to test potential progeny links and demonstrate that most cells follow a linear naive→early effector→late effector→memory pathway. Moreover, our mathematical model allows long-term prediction of memory cell numbers from a few early experimental measurements. Our work thus provides a phenotypic means to identify effector and memory cells, as well as a mathematical framework to investigate their genealogy and to predict the outcome of immunization regimens in terms of memory cell numbers generated. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  14. Modeling of Sonos Memory Cell Erase Cycle

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; MacLeond, Todd C.; Ho, Fat D.

    2010-01-01

    Silicon-oxide-nitride-oxide-silicon (SONOS) nonvolatile semiconductor memories (NVSMS) have many advantages. These memories are electrically erasable programmable read-only memories (EEPROMs). They utilize low programming voltages, endure extended erase/write cycles, are inherently resistant to radiation, and are compatible with high-density scaled CMOS for low power, portable electronics. The SONOS memory cell erase cycle was investigated using a nonquasi-static (NQS) MOSFET model. The SONOS floating gate charge and voltage, tunneling current, threshold voltage, and drain current were characterized during an erase cycle. Comparisons were made between the model predictions and experimental device data.

  15. Is There Natural Killer Cell Memory and Can It Be Harnessed by Vaccination? Natural Killer Cells in Vaccination.

    PubMed

    Neely, Harold R; Mazo, Irina B; Gerlach, Carmen; von Andrian, Ulrich H

    2017-12-18

    Natural killer (NK) cells have historically been considered to be a part of the innate immune system, exerting a rapid response against pathogens and tumors in an antigen (Ag)-independent manner. However, over the past decade, evidence has accumulated suggesting that at least some NK cells display certain characteristics of adaptive immune cells. Indeed, NK cells can learn and remember encounters with a variety of Ags, including chemical haptens and viruses. Upon rechallenge, memory NK cells mount potent recall responses selectively to those Ags. This phenomenon, traditionally termed "immunological memory," has been reported in mice, nonhuman primates, and even humans and appears to be concentrated in discrete NK cell subsets. Because immunological memory protects against recurrent infections and is the central goal of active vaccination, it is crucial to define the mechanisms and consequences of NK cell memory. Here, we summarize the different kinds of memory responses that have been attributed to specific NK cell subsets and discuss the possibility to harness NK cell memory for vaccination purposes. Copyright © 2017 Cold Spring Harbor Laboratory Press; all rights reserved.

  16. Encoding and Retrieval Processes Involved in the Access of Source Information in the Absence of Item Memory

    ERIC Educational Resources Information Center

    Ball, B. Hunter; DeWitt, Michael R.; Knight, Justin B.; Hicks, Jason L.

    2014-01-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were "related" to the target item but never actually studied.…

  17. Increased numbers of pre-existing memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells1

    PubMed Central

    Joshi, Nikhil S.; Cui, Weiguo; Dominguez, Claudia; Chen, Jonathan H.; Hand, Timothy W.; Kaech, Susan M.

    2011-01-01

    Memory CD8 T cells acquire TEM properties following reinfection, and may reach terminally differentiated, senescent states (“Hayflick limit”) after multiple infections. The signals controlling this process are not well understood, but we found that the degree of 2o effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and pre-existing memory CD8 T cell number (i.e., 1o memory CD8 T cell precursor frequency) present during secondary infection. Compared to naïve cells, memory CD8 T cells were predisposed towards terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of antigen. TE cell formation following 2o or 3o infections was dependent on increased T-bet expression because T-bet+/− cells were resistant to these phenotypic changes. Larger numbers of pre-existing memory CD8 T cells limited the duration of 2o infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2o TE CD8 T cells that formed. Together, these data show that, over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with antigen or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by pre-existing memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies. PMID:21930973

  18. ViSA: a neurodynamic model for visuo-spatial working memory, attentional blink, and conscious access.

    PubMed

    Simione, Luca; Raffone, Antonino; Wolters, Gezinus; Salmas, Paola; Nakatani, Chie; Belardinelli, Marta Olivetti; van Leeuwen, Cees

    2012-10-01

    Two separate lines of study have clarified the role of selectivity in conscious access to visual information. Both involve presenting multiple targets and distracters: one simultaneously in a spatially distributed fashion, the other sequentially at a single location. To understand their findings in a unified framework, we propose a neurodynamic model for Visual Selection and Awareness (ViSA). ViSA supports the view that neural representations for conscious access and visuo-spatial working memory are globally distributed and are based on recurrent interactions between perceptual and access control processors. Its flexible global workspace mechanisms enable a unitary account of a broad range of effects: It accounts for the limited storage capacity of visuo-spatial working memory, attentional cueing, and efficient selection with multi-object displays, as well as for the attentional blink and associated sparing and masking effects. In particular, the speed of consolidation for storage in visuo-spatial working memory in ViSA is not fixed but depends adaptively on the input and recurrent signaling. Slowing down of consolidation due to weak bottom-up and recurrent input as a result of brief presentation and masking leads to the attentional blink. Thus, ViSA goes beyond earlier 2-stage and neuronal global workspace accounts of conscious processing limitations. PsycINFO Database Record (c) 2012 APA, all rights reserved.

  19. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    PubMed

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  20. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blocksome, Michael A.; Mamidala, Amith R.

    2013-09-03

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segmentmore » of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.« less

  1. Physical principles and current status of emerging non-volatile solid state memories

    NASA Astrophysics Data System (ADS)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  2. Deciding with the eye: how the visually manipulated accessibility of information in memory influences decision behavior.

    PubMed

    Platzer, Christine; Bröder, Arndt; Heck, Daniel W

    2014-05-01

    Decision situations are typically characterized by uncertainty: Individuals do not know the values of different options on a criterion dimension. For example, consumers do not know which is the healthiest of several products. To make a decision, individuals can use information about cues that are probabilistically related to the criterion dimension, such as sugar content or the concentration of natural vitamins. In two experiments, we investigated how the accessibility of cue information in memory affects which decision strategy individuals rely on. The accessibility of cue information was manipulated by means of a newly developed paradigm, the spatial-memory-cueing paradigm, which is based on a combination of the looking-at-nothing phenomenon and the spatial-cueing paradigm. The results indicated that people use different decision strategies, depending on the validity of easily accessible information. If the easily accessible information is valid, people stop information search and decide according to a simple take-the-best heuristic. If, however, information that comes to mind easily has a low predictive validity, people are more likely to integrate all available cue information in a compensatory manner.

  3. A Novel Metal-Ferroelectric-Semiconductor Field-Effect Transistor Memory Cell Design

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; Bailey, Mark; Ho, Fat Duen

    2004-01-01

    The use of a Metal-Ferroelectric-Semiconductor Field-Effect Transistor (MFSFET) in a resistive-load SRAM memory cell has been investigated A typical two-transistor resistive-load SRAM memory cell architecture is modified by replacing one of the NMOS transistors with an n-channel MFSFET. The gate of the MFSFET is connected to a polling voltage pulse instead of the other NMOS transistor drain. The polling voltage pulses are of sufficient magnitude to saturate the ferroelectric gate material and force the MFSFET into a particular logic state. The memory cell circuit is further modified by the addition of a PMOS transistor and a load resistor in order to improve the retention characteristics of the memory cell. The retention characteristics of both the "1" and "0" logic states are simulated. The simulations show that the MFSFET memory cell design can maintain both the "1" and "0" logic states for a long period of time.

  4. Spin-transfer torque switched magnetic tunnel junctions in magnetic random access memory

    NASA Astrophysics Data System (ADS)

    Sun, Jonathan Z.

    2016-10-01

    Spin-transfer torque (or spin-torque, or STT) based magnetic tunnel junction (MTJ) is at the heart of a new generation of magnetism-based solid-state memory, the so-called spin-transfer-torque magnetic random access memory, or STT-MRAM. Over the past decades, STT-based switchable magnetic tunnel junction has seen progress on many fronts, including the discovery of (001) MgO as the most favored tunnel barrier, which together with (bcc) Fe or FeCo alloy are yielding best demonstrated tunnel magneto-resistance (TMR); the development of perpendicularly magnetized ultrathin CoFeB-type of thin films sufficient to support high density memories with junction sizes demonstrated down to 11nm in diameter; and record-low spin-torque switching threshold current, giving best reported switching efficiency over 5 kBT/μA. Here we review the basic device properties focusing on the perpendicularly magnetized MTJs, both in terms of switching efficiency as measured by sub-threshold, quasi-static methods, and of switching speed at super-threshold, forced switching. We focus on device behaviors important for memory applications that are rooted in fundamental device physics, which highlights the trade-off of device parameters for best suitable system integration.

  5. Integration of SrBi2Ta2O9 thin films for high density ferroelectric random access memory

    NASA Astrophysics Data System (ADS)

    Wouters, D. J.; Maes, D.; Goux, L.; Lisoni, J. G.; Paraschiv, V.; Johnson, J. A.; Schwitters, M.; Everaert, J.-L.; Boullart, W.; Schaekers, M.; Willegems, M.; Vander Meeren, H.; Haspeslagh, L.; Artoni, C.; Caputa, C.; Casella, P.; Corallo, G.; Russo, G.; Zambrano, R.; Monchoix, H.; Vecchio, G.; Van Autryve, L.

    2006-09-01

    Ferroelectric random access memory (FeRAM) is an attractive candidate technology for embedded nonvolatile memory, especially in applications where low power and high program speed are important. Market introduction of high-density FeRAM is, however, lagging behind standard complementary metal-oxide semiconductor (CMOS) because of the difficult integration technology. This paper discusses the major integration issues for high-density FeRAM, based on SrBi2Ta2O9 (strontium bismuth tantalate or SBT), in relation to the fabrication of our stacked cell structure. We have worked in the previous years on the development of SBT-FeRAM integration technology, based on a so-called pseudo-three-dimensional (3D) cell, with a capacitor that can be scaled from quasi two-dimensional towards a true three-dimensional capacitor where the sidewalls will importantly contribute to the signal. In the first phase of our integration development, we integrated our FeRAM cell in a 0.35μm CMOS technology. In a second phase, then, possibility of scaling of our cell is demonstrated in 0.18μm technology. The excellent electrical and reliability properties of the small integrated ferroelectric capacitors prove the feasibility of the technology, while the verification of the potential 3D effect confirms the basic scaling potential of our concept beyond that of the single-mask capacitor. The paper outlines the different material and technological challenges, and working solutions are demonstrated. While some issues are specific to our own cell, many are applicable to different stacked FeRAM cell concepts, or will become more general concerns when more developments are moving into 3D structures.

  6. Immunologic considerations for generating memory CD8 T cells through vaccination.

    PubMed

    Butler, Noah S; Nolz, Jeffrey C; Harty, John T

    2011-07-01

    Following infection or vaccination, naïve CD8 T cells that receive the appropriate integration of antigenic, co-stimulatory and inflammatory signals undergo a programmed series of biological changes that ultimately results in the generation of memory cells. Memory CD8 T cells, in contrast to naïve cells, more effectively limit or prevent pathogen re-infection because of both qualitative and quantitative changes that occur following their induction. Unlike vaccination strategies aimed at generating antibody production, the ability to generate protective memory CD8 T cells has proven more complicated and problematic. However, recent experimental results have revealed important principles regarding the molecular and genetic basis for memory CD8 T cell formation, as well as identified ways to manipulate their development through vaccination, resulting in potential new avenues to enhance protective immunity. © 2011 Blackwell Publishing Ltd.

  7. Differences in Mouse and Human Non-Memory B Cell Pools1

    PubMed Central

    Benitez, Abigail; Weldon, Abby J.; Tatosyan, Lynnette; Velkuru, Vani; Lee, Steve; Milford, Terry-Ann; Francis, Olivia L.; Hsu, Sheri; Nazeri, Kavoos; Casiano, Carlos M.; Schneider, Rebekah; Gonzalez, Jennifer; Su, Rui-Jun; Baez, Ineavely; Colburn, Keith; Moldovan, Ioana; Payne, Kimberly J.

    2014-01-01

    Identifying cross-species similarities and differences in immune development and function is critical for maximizing the translational potential of animal models. Co-expression of CD21 and CD24 distinguishes transitional and mature B cell subsets in mice. Here, we validate these markers for identifying analogous subsets in humans and use them to compare the non-memory B cell pools in mice and humans, across tissues, during fetal/neonatal and adult life. Among human CD19+IgM+ B cells, the CD21/CD24 schema identifies distinct populations that correspond to T1 (transitional 1), T2 (transitional 2), FM (follicular mature), and MZ (marginal zone) subsets identified in mice. Markers specific to human B cell development validate the identity of MZ cells and the maturation status of human CD21/CD24 non-memory B cell subsets. A comparison of the non-memory B cell pools in bone marrow (BM), blood, and spleen in mice and humans shows that transitional B cells comprise a much smaller fraction in adult humans than mice. T1 cells are a major contributor to the non-memory B cell pool in mouse BM where their frequency is more than twice that in humans. Conversely, in spleen the T1:T2 ratio shows that T2 cells are proportionally ∼8 fold higher in humans than mouse. Despite the relatively small contribution of transitional B cells to the human non-memory pool, the number of naïve FM cells produced per transitional B cell is 3-6 fold higher across tissues than in mouse. These data suggest differing dynamics or mechanisms produce the non-memory B cell compartments in mice and humans. PMID:24719464

  8. Working memory capacity and controlled serial memory search.

    PubMed

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. The CD8+ memory T-cell state of readiness is actively maintained and reversible

    PubMed Central

    Allam, Atef; Conze, Dietrich B.; Giardino Torchia, Maria Letizia; Munitic, Ivana; Yagita, Hideo; Sowell, Ryan T.; Marzo, Amanda L.

    2009-01-01

    The ability of the adaptive immune system to respond rapidly and robustly upon repeated antigen exposure is known as immunologic memory, and it is thought that acquisition of memory T-cell function is an irreversible differentiation event. In this study, we report that many phenotypic and functional characteristics of antigen-specific CD8 memory T cells are lost when they are deprived of contact with dendritic cells. Under these circumstances, memory T cells reverted from G1 to the G0 cell-cycle state and responded to stimulation like naive T cells, as assessed by proliferation, dependence upon costimulation, and interferon-γ production, without losing cell surface markers associated with memory. The memory state was maintained by signaling via members of the tumor necrosis factor receptor superfamily, CD27 and 4-1BB. Foxo1, a transcription factor involved in T-cell quiescence, was reduced in memory cells, and stimulation of naive CD8 cells via CD27 caused Foxo1 to be phosphorylated and emigrate from the nucleus in a phosphatidylinositol-3 kinase–dependent manner. Consistent with these results, maintenance of G1 in vivo was compromised in antigen-specific memory T cells in vesicular stomatitis virus-infected CD27-deficient mice. Therefore, sustaining the functional phenotype of T memory cells requires active signaling and maintenance. PMID:19617575

  10. Strong homeostatic TCR signals induce formation of self-tolerant virtual memory CD8 T cells.

    PubMed

    Drobek, Ales; Moudra, Alena; Mueller, Daniel; Huranova, Martina; Horkova, Veronika; Pribikova, Michaela; Ivanek, Robert; Oberle, Susanne; Zehn, Dietmar; McCoy, Kathy D; Draber, Peter; Stepanek, Ondrej

    2018-05-11

    Virtual memory T cells are foreign antigen-inexperienced T cells that have acquired memory-like phenotype and constitute 10-20% of all peripheral CD8 + T cells in mice. Their origin, biological roles, and relationship to naïve and foreign antigen-experienced memory T cells are incompletely understood. By analyzing T-cell receptor repertoires and using retrogenic monoclonal T-cell populations, we demonstrate that the virtual memory T-cell formation is a so far unappreciated cell fate decision checkpoint. We describe two molecular mechanisms driving the formation of virtual memory T cells. First, virtual memory T cells originate exclusively from strongly self-reactive T cells. Second, the stoichiometry of the CD8 interaction with Lck regulates the size of the virtual memory T-cell compartment via modulating the self-reactivity of individual T cells. Although virtual memory T cells descend from the highly self-reactive clones and acquire a partial memory program, they are not more potent in inducing experimental autoimmune diabetes than naïve T cells. These data underline the importance of the variable level of self-reactivity in polyclonal T cells for the generation of functional T-cell diversity. © 2018 The Authors. Published under the terms of the CC BY 4.0 license.

  11. IL-15 regulates memory CD8+ T cell O-glycan synthesis and affects trafficking

    PubMed Central

    Nolz, Jeffrey C.; Harty, John T.

    2014-01-01

    Memory and naive CD8+ T cells exhibit distinct trafficking patterns. Specifically, memory but not naive CD8+ T cells are recruited to inflamed tissues in an antigen-independent manner. However, the molecular mechanisms that regulate memory CD8+ T cell trafficking are largely unknown. Here, using murine models of infection and T cell transfer, we found that memory but not naive CD8+ T cells dynamically regulate expression of core 2 O-glycans, which interact with P- and E-selectins to modulate trafficking to inflamed tissues. Following infection, antigen-specific effector CD8+ T cells strongly expressed core 2 O-glycans, but this glycosylation pattern was lost by most memory CD8+ T cells. After unrelated infection or inflammatory challenge, memory CD8+ T cells synthesized core 2 O-glycans independently of antigen restimulation. The presence of core 2 O-glycans subsequently directed these cells to inflamed tissue. Memory and naive CD8+ T cells exhibited the opposite pattern of epigenetic modifications at the Gcnt1 locus, which encodes the enzyme that initiates core 2 O-glycan synthesis. The open chromatin configuration in memory CD8+ T cells permitted de novo generation of core 2 O-glycans in a TCR-independent, but IL-15–dependent, manner. Thus, IL-15 stimulation promotes antigen-experienced memory CD8+ T cells to generate core 2 O-glycans, which subsequently localize them to inflamed tissues. These findings suggest that CD8+ memory T cell trafficking potentially can be manipulated to improve host defense and immunotherapy. PMID:24509081

  12. Radioactive hot cell access hole decontamination machine

    DOEpatents

    Simpson, William E.

    1982-01-01

    Radioactive hot cell access hole decontamination machine. A mobile housing has an opening large enough to encircle the access hole and has a shielding door, with a door opening and closing mechanism, for uncovering and covering the opening. The housing contains a shaft which has an apparatus for rotating the shaft and a device for independently translating the shaft from the housing through the opening and access hole into the hot cell chamber. A properly sized cylindrical pig containing wire brushes and cloth or other disks, with an arrangement for releasably attaching it to the end of the shaft, circumferentially cleans the access hole wall of radioactive contamination and thereafter detaches from the shaft to fall into the hot cell chamber.

  13. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    NASA Astrophysics Data System (ADS)

    Jovanović, B.; Brum, R. M.; Torres, L.

    2014-04-01

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption.

  14. Liver-primed memory T cells generated under noninflammatory conditions provide anti-infectious immunity.

    PubMed

    Böttcher, Jan P; Schanz, Oliver; Wohlleber, Dirk; Abdullah, Zeinab; Debey-Pascher, Svenja; Staratschek-Jox, Andrea; Höchst, Bastian; Hegenbarth, Silke; Grell, Jessica; Limmer, Andreas; Atreya, Imke; Neurath, Markus F; Busch, Dirk H; Schmitt, Edgar; van Endert, Peter; Kolanus, Waldemar; Kurts, Christian; Schultze, Joachim L; Diehl, Linda; Knolle, Percy A

    2013-03-28

    Development of CD8(+) T cell (CTL) immunity or tolerance is linked to the conditions during T cell priming. Dendritic cells (DCs) matured during inflammation generate effector/memory T cells, whereas immature DCs cause T cell deletion/anergy. We identify a third outcome of T cell priming in absence of inflammation enabled by cross-presenting liver sinusoidal endothelial cells. Such priming generated memory T cells that were spared from deletion by immature DCs. Similar to central memory T cells, liver-primed T cells differentiated into effector CTLs upon antigen re-encounter on matured DCs even after prolonged absence of antigen. Their reactivation required combinatorial signaling through the TCR, CD28, and IL-12R and controlled bacterial and viral infections. Gene expression profiling identified liver-primed T cells as a distinct Neuropilin-1(+) memory population. Generation of liver-primed memory T cells may prevent pathogens that avoid DC maturation by innate immune escape from also escaping adaptive immunity through attrition of the T cell repertoire. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  15. Multiple social identities and stereotype threat: imbalance, accessibility, and working memory.

    PubMed

    Rydell, Robert J; McConnell, Allen R; Beilock, Sian L

    2009-05-01

    In 4 experiments, the authors showed that concurrently making positive and negative self-relevant stereotypes available about performance in the same ability domain can eliminate stereotype threat effects. Replicating past work, the authors demonstrated that introducing negative stereotypes about women's math performance activated participants' female social identity and hurt their math performance (i.e., stereotype threat) by reducing working memory. Moving beyond past work, it was also demonstrated that concomitantly presenting a positive self-relevant stereotype (e.g., college students are good at math) increased the relative accessibility of females' college student identity and inhibited their gender identity, eliminating attendant working memory deficits and contingent math performance decrements. Furthermore, subtle manipulations in questions presented in the demographic section of a math test eliminated stereotype threat effects that result from women reporting their gender before completing the test. This work identifies the motivated processes through which people's social identities became active in situations in which self-relevant stereotypes about a stigmatized group membership and a nonstigmatized group membership were available. In addition, it demonstrates the downstream consequences of this pattern of activation on working memory and performance. Copyright (c) 2009 APA, all rights reserved.

  16. Transcriptional Profiling of Antigen-Dependent Murine B Cell Differentiation and Memory Formation1

    PubMed Central

    Bhattacharya, Deepta; Cheah, Ming T.; Franco, Christopher B.; Hosen, Naoki; Pin, Christopher L.; Sha, William C.; Weissman, Irving L.

    2015-01-01

    Humoral immunity is characterized by the generation of Ab-secreting plasma cells and memory B cells that can more rapidly generate specific Abs upon Ag exposure than their naive counterparts. To determine the intrinsic differences that distinguish naive and memory B cells and to identify pathways that allow germinal center B cells to differentiate into memory B cells, we compared the transcriptional profiles of highly purified populations of these three cell types along with plasma cells isolated from mice immunized with a T-dependent Ag. The transcriptional profile of memory B cells is similar to that of naive B cells, yet displays several important differences, including increased expression of activation-induced deaminase and several antiapoptotic genes, chemotactic receptors, and costimulatory molecules. Retroviral expression of either Klf2 or Ski, two transcriptional regulators specifically enriched in memory B cells relative to their germinal center precursors, imparted a competitive advantage to Ag receptor and CD40-engaged B cells in vitro. These data suggest that humoral recall responses are more rapid than primary responses due to the expression of a unique transcriptional program by memory B cells that allows them to both be maintained at high frequencies and to detect and rapidly respond to antigenic re-exposure. PMID:17982071

  17. Peripheral B cells latently infected with Epstein–Barr virus display molecular hallmarks of classical antigen-selected memory B cells

    PubMed Central

    Souza, Tatyana A.; Stollar, B. David; Sullivan, John L.; Luzuriaga, Katherine; Thorley-Lawson, David A.

    2005-01-01

    Epstein–Barr virus (EBV) establishes a lifelong persistent infection within peripheral blood B cells with the surface phenotype of memory cells. To date there is no proof that these cells have the genotype of true germinal-center-derived memory B cells. It is critical to understand the relative contribution of viral mimicry versus antigen signaling to the production of these cells because EBV encodes proteins that can affect the surface phenotype of infected cells and provide both T cell help and B cell receptor signals in the absence of cognate antigen. To address these questions we have developed a technique to identify single EBV-infected cells in the peripheral blood and examine their expressed Ig genes. The genes were all isotype-switched and somatically mutated. Furthermore, the mutations do not cause stop codons and display the pattern expected for antigen-selected memory cells based on their frequency, type, and location within the Ig gene. We conclude that latently infected peripheral blood B cells display the molecular hallmarks of classical antigen-selected memory B cells. Therefore, EBV does not disrupt the normal processing of latently infected cells into memory, and deviations from normal B cell biology are not tolerated in the infected cells. This article provides definitive evidence that EBV in the peripheral blood persists in true memory B cells. PMID:16330748

  18. Random Access Memories: A New Paradigm for Target Detection in High Resolution Aerial Remote Sensing Images.

    PubMed

    Zou, Zhengxia; Shi, Zhenwei

    2018-03-01

    We propose a new paradigm for target detection in high resolution aerial remote sensing images under small target priors. Previous remote sensing target detection methods frame the detection as learning of detection model + inference of class-label and bounding-box coordinates. Instead, we formulate it from a Bayesian view that at inference stage, the detection model is adaptively updated to maximize its posterior that is determined by both training and observation. We call this paradigm "random access memories (RAM)." In this paradigm, "Memories" can be interpreted as any model distribution learned from training data and "random access" means accessing memories and randomly adjusting the model at detection phase to obtain better adaptivity to any unseen distribution of test data. By leveraging some latest detection techniques e.g., deep Convolutional Neural Networks and multi-scale anchors, experimental results on a public remote sensing target detection data set show our method outperforms several other state of the art methods. We also introduce a new data set "LEarning, VIsion and Remote sensing laboratory (LEVIR)", which is one order of magnitude larger than other data sets of this field. LEVIR consists of a large set of Google Earth images, with over 22 k images and 10 k independently labeled targets. RAM gives noticeable upgrade of accuracy (an mean average precision improvement of 1% ~ 4%) of our baseline detectors with acceptable computational overhead.

  19. Persistence of memory B-cell and T-cell responses to the quadrivalent HPV vaccine in HIV-infected children.

    PubMed

    Weinberg, Adriana; Huang, Sharon; Moscicki, Anna-Barbara; Saah, Afred; Levin, Myron J

    2018-04-24

    To determine the magnitude and persistence of quadrivalent human papillomavirus (HPV)16 and HPV18 B-cell and T-cell memory after three or four doses of quadrivalent HPV vaccine (QHPV) in HIV-infected children. Seventy-four HIV-infected children immunized with four doses and 23 with three doses of QHPV had HPV16 and HPV18 IgG B-cell and IFNγ and IL2 T-cell ELISPOT performed at 2, 3.5 and 4-5 years after the last dose. HPV16 and HPV18 T-cell responses were similar in both treatment groups, with higher responses to HPV16 vs. HPV18. These HPV T-cell responses correlated with HIV disease characteristics at the study visits. Global T-cell function declined over time as measured by nonspecific mitogenic stimulation. B-cell memory was similar across treatment groups and HPV genotypes. There was a decline in HPV-specific B-cell memory over time that reached statistical significance for HPV16 in the four-dose group. B-cell and T-cell memory did not significantly differ after either three or four doses of QHPV in HIV-infected children. The clinical consequences of decreasing global T-cell function and HPV B-cell memory over time in HIV-infected children requires further investigation.

  20. HIV dynamics linked to memory CD4+ T cell homeostasis.

    PubMed

    Murray, John M; Zaunders, John; Emery, Sean; Cooper, David A; Hey-Nguyen, William J; Koelsch, Kersten K; Kelleher, Anthony D

    2017-01-01

    The dynamics of latent HIV is linked to infection and clearance of resting memory CD4+ T cells. Infection also resides within activated, non-dividing memory cells and can be impacted by antigen-driven and homeostatic proliferation despite suppressive antiretroviral therapy (ART). We investigated whether plasma viral level (pVL) and HIV DNA dynamics could be explained by HIV's impact on memory CD4+ T cell homeostasis. Median total, 2-LTR and integrated HIV DNA levels per μL of peripheral blood, for 8 primary (PHI) and 8 chronic HIV infected (CHI) individuals enrolled on a raltegravir (RAL) based regimen, exhibited greatest changes over the 1st year of ART. Dynamics slowed over the following 2 years so that total HIV DNA levels were equivalent to reported values for individuals after 10 years of ART. The mathematical model reproduced the multiphasic dynamics of pVL, and levels of total, 2-LTR and integrated HIV DNA in both PHI and CHI over 3 years of ART. Under these simulations, residual viremia originated from reactivated latently infected cells where most of these cells arose from clonal expansion within the resting phenotype. Since virion production from clonally expanded cells will not be affected by antiretroviral drugs, simulations of ART intensification had little impact on pVL. HIV DNA decay over the first year of ART followed the loss of activated memory cells (120 day half-life) while the 5.9 year half-life of total HIV DNA after this point mirrored the slower decay of resting memory cells. Simulations had difficulty reproducing the fast early HIV DNA dynamics, including 2-LTR levels peaking at week 12, and the later slow loss of total and 2-LTR HIV DNA, suggesting some ongoing infection. In summary, our modelling indicates that much of the dynamical behavior of HIV can be explained by its impact on memory CD4+ T cell homeostasis.

  1. miR-150 Regulates Memory CD8 T Cell Differentiation via c-Myb.

    PubMed

    Chen, Zeyu; Stelekati, Erietta; Kurachi, Makoto; Yu, Sixiang; Cai, Zhangying; Manne, Sasikanth; Khan, Omar; Yang, Xiaolu; Wherry, E John

    2017-09-12

    MicroRNAs play an important role in T cell responses. However, how microRNAs regulate CD8 T cell memory remains poorly defined. Here, we found that miR-150 negatively regulates CD8 T cell memory in vivo. Genetic deletion of miR-150 disrupted the balance between memory precursor and terminal effector CD8 T cells following acute viral infection. Moreover, miR-150-deficient memory CD8 T cells were more protective upon rechallenge. A key circuit whereby miR-150 repressed memory CD8 T cell development through the transcription factor c-Myb was identified. Without miR-150, c-Myb was upregulated and anti-apoptotic targets of c-Myb, such as Bcl-2 and Bcl-xL, were also increased, suggesting a miR-150-c-Myb survival circuit during memory CD8 T cell development. Indeed, overexpression of non-repressible c-Myb rescued the memory CD8 T cell defects caused by overexpression of miR-150. Overall, these results identify a key role for miR-150 in memory CD8 T cells through a c-Myb-controlled enhanced survival circuit. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  2. Memory Applications Using Resonant Tunneling Diodes

    NASA Astrophysics Data System (ADS)

    Shieh, Ming-Huei

    Resonant tunneling diodes (RTDs) producing unique folding current-voltage (I-V) characteristics have attracted considerable research attention due to their promising application in signal processing and multi-valued logic. The negative differential resistance of RTDs renders the operating points self-latching and stable. We have proposed a multiple -dimensional multiple-state RTD-based static random-access memory (SRAM) cell in which the number of stable states can significantly be increased to (N + 1)^ m or more for m number of N-peak RTDs connected in series. The proposed cells take advantage of the hysteresis and folding I-V characteristics of RTD. Several cell designs are presented and evaluated. A two-dimensional nine-state memory cell has been implemented and demonstrated by a breadboard circuit using two 2-peak RTDs. The hysteresis phenomenon in a series of RTDs is also further analyzed. The switch model provided in SPICE 3 can be utilized to simulate the hysteretic I-V characteristics of RTDs. A simple macro-circuit is described to model the hysteretic I-V characteristic of RTD for circuit simulation. A new scheme for storing word-wide multiple-bit information very efficiently in a single memory cell using RTDs is proposed. An efficient and inexpensive periphery circuit to read from and write into the cell is also described. Simulation results on the design of a 3-bit memory cell scheme using one-peak RTDs are also presented. Finally, a binary transistor-less memory cell which is only composed of a pair of RTDs and an ordinary rectifier diode is presented and investigated. A simple means for reading and writing information from or into the memory cell is also discussed.

  3. Compact modeling of CRS devices based on ECM cells for memory, logic and neuromorphic applications.

    PubMed

    Linn, E; Menzel, S; Ferch, S; Waser, R

    2013-09-27

    Dynamic physics-based models of resistive switching devices are of great interest for the realization of complex circuits required for memory, logic and neuromorphic applications. Here, we apply such a model of an electrochemical metallization (ECM) cell to complementary resistive switches (CRSs), which are favorable devices to realize ultra-dense passive crossbar arrays. Since a CRS consists of two resistive switching devices, it is straightforward to apply the dynamic ECM model for CRS simulation with MATLAB and SPICE, enabling study of the device behavior in terms of sweep rate and series resistance variations. Furthermore, typical memory access operations as well as basic implication logic operations can be analyzed, revealing requirements for proper spike and level read operations. This basic understanding facilitates applications of massively parallel computing paradigms required for neuromorphic applications.

  4. Human Infant Memory B Cell and CD4+ T Cell Responses to HibMenCY-TT Glyco-Conjugate Vaccine

    PubMed Central

    Fuery, Angela; Richmond, Peter C.; Currie, Andrew J.

    2015-01-01

    Carrier-specific T cell and polysaccharide-specific B cell memory responses are not well characterised in infants following glyco-conjugate vaccination. We aimed to determine if the number of Meningococcal (Men) C- and Y- specific memory B cells and; number and quality of Tetanus Toxoid (TT) carrier-specific memory CD4+ T cells are associated with polysaccharide-specific IgG post HibMenCY-TT vaccination. Healthy infants received HibMenCY-TT vaccine at 2, 4 and 6 months with a booster at 12 months. Peripheral blood mononuclear cells were isolated and polysaccharide-specific memory B cells enumerated using ELISpot. TT-specific memory CD4+ T cells were detected and phenotyped based on CD154 expression and intracellular TNF-α, IL-2 and IFN-γ expression following stimulation. Functional polysaccharide-specific IgG titres were measured using the serum bactericidal activity (SBA) assay. Polysaccharide-specific Men C- but not Men Y- specific memory B cell frequencies pre-boost (12 months) were significantly associated with post-boost (13 months) SBA titres. Regression analysis showed no association between memory B cell frequencies post-priming (at 6 or 7 months) and SBA at 12 months or 13 months. TT-specific CD4+ T cells were detected at frequencies between 0.001 and 0.112 as a percentage of CD3+ T cells, but their numbers were not associated with SBA titres. There were significant negative associations between SBA titres at M13 and cytokine expression at M7 and M12. Conclusion: Induction of persistent polysaccharide-specific memory B cells prior to boosting is an important determinant of secondary IgG responses in infants. However, polysaccharide-specific functional IgG responses appear to be independent of the number and quality of circulating carrier-specific CD4+ T cells after priming. PMID:26191794

  5. B-cell activating factor detected on both naïve and memory B cells in bullous pemphigoid.

    PubMed

    Qian, Hua; Kusuhara, Masahiro; Li, Xiaoguang; Tsuruta, Daisuke; Tsuchisaka, Atsunari; Ishii, Norito; Koga, Hiroshi; Hayakawa, Taihei; Ohara, Koji; Karashima, Tadashi; Ohyama, Bungo; Ohata, Chika; Furumura, Minao; Hashimoto, Takashi

    2014-08-01

    B-cell activating factor (BAFF), an important immune regulatory cytokine, is involved in development of autoimmune diseases. Although BAFF is expressed in various cells, including dendritic cells (DCs) and monocytes, BAFF expression on B cells has not been well documented. In the present study, BAFF molecules on DCs and naïve and memory B cells in autoimmune bullous diseases, including pemphigus vulgaris, pemphigus foliaceus and bullous pemphigoid (BP), were analysed by flow cytometry. Compared with healthy controls (HC), BAFF expression on naïve and memory B cells increased significantly in BP. No difference in BAFF receptor expression in naïve and memory B cells was shown among all study groups. Furthermore, BAFF expression in both naïve and memory B cells of BP, but not HC, was detected by confocal microscopic analysis. These results implied that BAFF expressed by B cells may play a pathogenic role in autoimmune bullous diseases, particularly BP. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  6. Electrical characteristics of paraelectric lead lanthanum zirconium titanate thin films for dynamic random access memory applications

    NASA Astrophysics Data System (ADS)

    Jones, R. E., Jr.; Maniar, P. D.; Olowolafe, J. O.; Campbell, A. C.; Mogab, C. J.

    1992-02-01

    Paraelectric lead lanthanum zirconium titanate (PLZT) films, 150 nm thick, were deposited using a spin-coat, sol-gel process followed by a 650 °C oxygen anneal. X-ray diffraction indicated complete conversion to the perovskite phase. Sputter-deposited platinum electrodes were employed with the PLZT films to form thin-film capacitors with the best combination of high charge storage density (26.1 μC/cm2 at 3 V and 36.4 μC/cm2 at 5 V) and leakage current density (0.2 μA/cm2 at 3 V and 0.5 μA/cm2 at 5 V ) reported to date. The electrical characteristics of these thin-film capacitors meet the requirements for a planar bit cell capacitor for 64-Mbit dynamic random access memories.

  7. Memory retrieval by activating engram cells in mouse models of early Alzheimer's disease.

    PubMed

    Roy, Dheeraj S; Arons, Autumn; Mitchell, Teryn I; Pignatelli, Michele; Ryan, Tomás J; Tonegawa, Susumu

    2016-03-24

    Alzheimer's disease (AD) is a neurodegenerative disorder characterized by progressive memory decline and subsequent loss of broader cognitive functions. Memory decline in the early stages of AD is mostly limited to episodic memory, for which the hippocampus has a crucial role. However, it has been uncertain whether the observed amnesia in the early stages of AD is due to disrupted encoding and consolidation of episodic information, or an impairment in the retrieval of stored memory information. Here we show that in transgenic mouse models of early AD, direct optogenetic activation of hippocampal memory engram cells results in memory retrieval despite the fact that these mice are amnesic in long-term memory tests when natural recall cues are used, revealing a retrieval, rather than a storage impairment. Before amyloid plaque deposition, the amnesia in these mice is age-dependent, which correlates with a progressive reduction in spine density of hippocampal dentate gyrus engram cells. We show that optogenetic induction of long-term potentiation at perforant path synapses of dentate gyrus engram cells restores both spine density and long-term memory. We also demonstrate that an ablation of dentate gyrus engram cells containing restored spine density prevents the rescue of long-term memory. Thus, selective rescue of spine density in engram cells may lead to an effective strategy for treating memory loss in the early stages of AD.

  8. Generation of cellular immune memory and B-cell immunity is impaired by natural killer cells.

    PubMed

    Rydyznski, Carolyn; Daniels, Keith A; Karmele, Erik P; Brooks, Taylor R; Mahl, Sarah E; Moran, Michael T; Li, Caimei; Sutiwisesak, Rujapak; Welsh, Raymond M; Waggoner, Stephen N

    2015-02-27

    The goal of most vaccines is the induction of long-lived memory T and B cells capable of protecting the host from infection by cytotoxic mechanisms, cytokines and high-affinity antibodies. However, efforts to develop vaccines against major human pathogens such as HIV and HCV have not been successful, thereby highlighting the need for novel approaches to circumvent immunoregulatory mechanisms that limit the induction of protective immunity. Here, we show that mouse natural killer (NK) cells inhibit generation of long-lived virus-specific memory T- and B cells as well as virus-specific antibody production after acute infection. Mechanistically, NK cells suppressed CD4 T cells and follicular helper T cells (T(FH)) in a perforin-dependent manner during the first few days of infection, resulting in a weaker germinal centre (GC) response and diminished immune memory. We anticipate that innovative strategies to relieve NK cell-mediated suppression of immunity should facilitate development of efficacious new vaccines targeting difficult-to-prevent infections.

  9. Akt signaling is critical for memory CD8+ T-cell development and tumor immune surveillance.

    PubMed

    Rogel, Anne; Willoughby, Jane E; Buchan, Sarah L; Leonard, Henry J; Thirdborough, Stephen M; Al-Shamkhani, Aymen

    2017-02-14

    Memory CD8 + T cells confer long-term immunity against tumors, and anticancer vaccines therefore should maximize their generation. Multiple memory CD8 + T-cell subsets with distinct functional and homing characteristics exist, but the signaling pathways that regulate their development are ill defined. Here we examined the role of the serine/threonine kinase Akt in the generation of protective immunity by CD8 + T cells. Akt is known to be activated by the T-cell antigen receptor and the cytokine IL-2, but its role in T-cell immunity in vivo has not been explored. Using CD8 + T cells from pdk1 K465E/K465E knockin mice, we found that decreased Akt activity inhibited the survival of T cells during the effector-to-memory cell transition and abolished their differentiation into C-X-C chemokine receptor 3 (CXCR3) lo CD43 lo effector-like memory cells. Consequently, antitumor immunity by CD8 + T cells that display defective Akt signaling was substantially diminished during the memory phase. Reduced memory T-cell survival and altered memory cell differentiation were associated with up-regulation of the proapoptotic protein Bim and the T-box transcription factor eomesodermin, respectively. These findings suggest an important role for effector-like memory CD8 + T cells in tumor immune surveillance and identify Akt as a key signaling node in the development of protective memory CD8 + T-cell responses.

  10. Akt signaling is critical for memory CD8+ T-cell development and tumor immune surveillance

    PubMed Central

    Rogel, Anne; Willoughby, Jane E.; Buchan, Sarah L.; Leonard, Henry J.; Thirdborough, Stephen M.; Al-Shamkhani, Aymen

    2017-01-01

    Memory CD8+ T cells confer long-term immunity against tumors, and anticancer vaccines therefore should maximize their generation. Multiple memory CD8+ T-cell subsets with distinct functional and homing characteristics exist, but the signaling pathways that regulate their development are ill defined. Here we examined the role of the serine/threonine kinase Akt in the generation of protective immunity by CD8+ T cells. Akt is known to be activated by the T-cell antigen receptor and the cytokine IL-2, but its role in T-cell immunity in vivo has not been explored. Using CD8+ T cells from pdk1K465E/K465E knockin mice, we found that decreased Akt activity inhibited the survival of T cells during the effector-to-memory cell transition and abolished their differentiation into C-X-C chemokine receptor 3 (CXCR3)loCD43lo effector-like memory cells. Consequently, antitumor immunity by CD8+ T cells that display defective Akt signaling was substantially diminished during the memory phase. Reduced memory T-cell survival and altered memory cell differentiation were associated with up-regulation of the proapoptotic protein Bim and the T-box transcription factor eomesodermin, respectively. These findings suggest an important role for effector-like memory CD8+ T cells in tumor immune surveillance and identify Akt as a key signaling node in the development of protective memory CD8+ T-cell responses. PMID:28137869

  11. 'Educated' dendritic cells act as messengers from memory to naive T helper cells.

    PubMed

    Alpan, Oral; Bachelder, Eric; Isil, Eda; Arnheiter, Heinz; Matzinger, Polly

    2004-06-01

    Ingested antigens lead to the generation of effector T cells that secrete interleukin 4 (IL-4) rather than interferon-gamma (IFN-gamma) and are capable of influencing naive T cells in their immediate environment to do the same. Using chimeric mice generated by aggregation of two genotypically different embryos, we found that the conversion of a naive T cell occurs only if it can interact with the same antigen-presenting cell, although not necessarily the same antigen, as the effector T cell. Using a two-step culture system in vitro, we found that antigen-presenting dendritic cells can act as 'temporal bridges' to relay information from orally immunized memory CD4 T cells to naive CD4 T cells. The orally immunized T cells use IL-4 and IL-10 (but not CD40 ligand) to 'educate' dendritic cells, which in turn induce naive T cells to produce the same cytokines as those produced by the orally immunized memory T cells.

  12. Human memory CD8 T cell effector potential is epigenetically preserved during in vivo homeostasis.

    PubMed

    Abdelsamed, Hossam A; Moustaki, Ardiana; Fan, Yiping; Dogra, Pranay; Ghoneim, Hazem E; Zebley, Caitlin C; Triplett, Brandon M; Sekaly, Rafick-Pierre; Youngblood, Ben

    2017-06-05

    Antigen-independent homeostasis of memory CD8 T cells is vital for sustaining long-lived T cell-mediated immunity. In this study, we report that maintenance of human memory CD8 T cell effector potential during in vitro and in vivo homeostatic proliferation is coupled to preservation of acquired DNA methylation programs. Whole-genome bisulfite sequencing of primary human naive, short-lived effector memory (T EM ), and longer-lived central memory (T CM ) and stem cell memory (T SCM ) CD8 T cells identified effector molecules with demethylated promoters and poised for expression. Effector-loci demethylation was heritably preserved during IL-7- and IL-15-mediated in vitro cell proliferation. Conversely, cytokine-driven proliferation of T CM and T SCM memory cells resulted in phenotypic conversion into T EM cells and was coupled to increased methylation of the CCR7 and Tcf7 loci. Furthermore, haploidentical donor memory CD8 T cells undergoing in vivo proliferation in lymphodepleted recipients also maintained their effector-associated demethylated status but acquired T EM -associated programs. These data demonstrate that effector-associated epigenetic programs are preserved during cytokine-driven subset interconversion of human memory CD8 T cells. © 2017 Abdelsamed et al.

  13. Low-power resistive random access memory by confining the formation of conducting filaments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Yi-Jen; Lee, Si-Chen, E-mail: sclee@ntu.edu.tw; Shen, Tzu-Hsien

    2016-06-15

    Owing to their small physical size and low power consumption, resistive random access memory (RRAM) devices are potential for future memory and logic applications in microelectronics. In this study, a new resistive switching material structure, TiO{sub x}/silver nanoparticles/TiO{sub x}/AlTiO{sub x}, fabricated between the fluorine-doped tin oxide bottom electrode and the indium tin oxide top electrode is demonstrated. The device exhibits excellent memory performances, such as low operation voltage (<±1 V), low operation power, small variation in resistance, reliable data retention, and a large memory window. The current-voltage measurement shows that the conducting mechanism in the device at the high resistancemore » state is via electron hopping between oxygen vacancies in the resistive switching material. When the device is switched to the low resistance state, conducting filaments are formed in the resistive switching material as a result of accumulation of oxygen vacancies. The bottom AlTiO{sub x} layer in the device structure limits the formation of conducting filaments; therefore, the current and power consumption of device operation are significantly reduced.« less

  14. Daily Access to Sucrose Impairs Aspects of Spatial Memory Tasks Reliant on Pattern Separation and Neural Proliferation in Rats

    ERIC Educational Resources Information Center

    Reichelt, Amy C.; Morris, Margaret J.; Westbrook, Reginald Frederick

    2016-01-01

    High sugar diets reduce hippocampal neurogenesis, which is required for minimizing interference between memories, a process that involves "pattern separation." We provided rats with 2 h daily access to a sucrose solution for 28 d and assessed their performance on a spatial memory task. Sucrose consuming rats discriminated between objects…

  15. IMMUNOLOGIC MEMORY CELLS OF BONE MARROW ORIGIN

    PubMed Central

    Miller, Harold C.; Cudkowicz, Gustavo

    1972-01-01

    Individual immunocompetent precursor cells of (C57BL/10 x C3H)F1 mouse marrow generate, on transplantation, three to five times more antibody-forming cells localized in recipient spleens during secondary than during primary immune responses. The increased burst size is immunologically specific since antigens of horse and chicken erythrocytes and of Salmonella typhimurium do not cause this effect in marrow cells responsive to sheep red blood cells. Both sensitized and nonsensitized precursors require the helper function of thymus-derived cells and antigen for the final steps of differentiation and maturation. The burst size of primed precursor cells is the same after cooperative interactions with virgin or educated helper cells of thymic origin. The greater potential of these marrow precursors may be attributable to self-replication and migration before differentiation into antibody-forming descendants. In fact, the progeny cells of primed precursor units are distributed among a multiplicity of foci, whereas those of nonimmune precursors are clustered into one focus. The described properties of specifically primed marrow precursors are those underlying immunologic memory. It remains to be established whether memory cells are induced or selected by antigens and whether the thymus plays a role in this process. PMID:4553850

  16. Total Recall: Can We Reshape T Cell Memory by Lymphoablation?

    PubMed

    Nicosia, M; Valujskikh, A

    2017-07-01

    Despite recent advances in immunosuppression, donor-reactive memory T cells remain a serious threat to successful organ transplantation. To alleviate damaging effects of preexisting immunologic memory, lymphoablative induction therapies are used as part of standard care in sensitized recipients. However, accumulating evidence suggests that memory T cells have advantages over their naive counterparts in surviving depletion and expanding under lymphopenic conditions. This may at least partially explain the inability of existing lymphoablative strategies to improve long-term allograft outcome in sensitized recipients, despite the well-documented decrease in the frequency of early acute rejection episodes. This minireview summarizes the insights gained from both experimental and clinical transplantation as to the effects of existing lymphoablative strategies on memory T cells and discusses the latest research developments aimed at improving the efficacy and safety of lymphoablation. © 2016 The American Society of Transplantation and the American Society of Transplant Surgeons.

  17. Studies on B-cell memory. III. T-dependent aspect of B memory generation in mice immunized with T-independent type-2(TI-2) antigen.

    PubMed

    Hosokawa, T; Tanaka, Y; Aoike, A; Kawai, K; Muramatsu, S

    1984-09-01

    The time course of B-cell memory development to a dinitrophenyl (DNP) T-independent type-2 (TI-2) antigen was investigated by adoptive cell transfer. Strong IgM and IgG memory developed in BALB/c mice after immunization with DNP-dextran, to be recalled by challenge with either T-dependent (TD) antigen or TI-2 antigen. However, only weak IgM memory and very feeble IgG memory were detected in athymic nude mice receiving the same immunization as euthymic mice. Once memory was established under probable T cell influence, its recall by TI-2 antigen challenge seemed independent of T cell help and did not require sharing of carriers between priming and challenge antigens. The following may be concluded. (i) Long-term IgM and IgG memory is induced by TI-2 antigen priming in the presence of functional T cells. (ii) The class switch from IgM to IgG in the memory B cell pool is driven effectively by TI-2 antigen and is probably T cell-dependent.

  18. Endurance Enhancement and High Speed Set/Reset of 50 nm Generation HfO2 Based Resistive Random Access Memory Cell by Intelligent Set/Reset Pulse Shape Optimization and Verify Scheme

    NASA Astrophysics Data System (ADS)

    Higuchi, Kazuhide; Miyaji, Kousuke; Johguchi, Koh; Takeuchi, Ken

    2012-02-01

    This paper proposes a verify-programming method for the resistive random access memory (ReRAM) cell which achieves a 50-times higher endurance and a fast set and reset compared with the conventional method. The proposed verify-programming method uses the incremental pulse width with turnback (IPWWT) for the reset and the incremental voltage with turnback (IVWT) for the set. With the combination of IPWWT reset and IVWT set, the endurance-cycle increases from 48 ×103 to 2444 ×103 cycles. Furthermore, the measured data retention-time after 20 ×103 set/reset cycles is estimated to be 10 years. Additionally, the filamentary based physical model is proposed to explain the set/reset failure mechanism with various set/reset pulse shapes. The reset pulse width and set voltage correspond to the width and length of the conductive-filament, respectively. Consequently, since the proposed IPWWT and IVWT recover set and reset failures of ReRAM cells, the endurance-cycles are improved.

  19. Pharmacologic Induction of CD8+ T Cell Memory: Better Living Through Chemistry

    PubMed Central

    Gattinoni, Luca; Klebanoff, Christopher A.; Restifo, Nicholas P.

    2011-01-01

    The generation of a robust population of memory T cells is critical for effective vaccine and cell-based therapies to prevent and treat infectious diseases and cancer. A series of recent papers have established a new, cell-intrinsic approach in which small molecules target key metabolic and developmental pathways to enhance the formation and maintenance of highly functional CD8+ memory T cells. These findings raise the exciting new possibility of using small molecules, many of which are already approved for human use, for the pharmacologic induction of immunologic memory. PMID:20371454

  20. Phenotypic and Functional Alterations in Circulating Memory CD8 T Cells with Time after Primary Infection.

    PubMed

    Martin, Matthew D; Kim, Marie T; Shan, Qiang; Sompallae, Ramakrishna; Xue, Hai-Hui; Harty, John T; Badovinac, Vladimir P

    2015-10-01

    Memory CD8 T cells confer increased protection to immune hosts upon secondary viral, bacterial, and parasitic infections. The level of protection provided depends on the numbers, quality (functional ability), and location of memory CD8 T cells present at the time of infection. While primary memory CD8 T cells can be maintained for the life of the host, the full extent of phenotypic and functional changes that occur over time after initial antigen encounter remains poorly characterized. Here we show that critical properties of circulating primary memory CD8 T cells, including location, phenotype, cytokine production, maintenance, secondary proliferation, secondary memory generation potential, and mitochondrial function change with time after infection. Interestingly, phenotypic and functional alterations in the memory population are not due solely to shifts in the ratio of effector (CD62Llo) and central memory (CD62Lhi) cells, but also occur within defined CD62Lhi memory CD8 T cell subsets. CD62Lhi memory cells retain the ability to efficiently produce cytokines with time after infection. However, while it is was not formally tested whether changes in CD62Lhi memory CD8 T cells over time occur in a cell intrinsic manner or are due to selective death and/or survival, the gene expression profiles of CD62Lhi memory CD8 T cells change, phenotypic heterogeneity decreases, and mitochondrial function and proliferative capacity in either a lymphopenic environment or in response to antigen re-encounter increase with time. Importantly, and in accordance with their enhanced proliferative and metabolic capabilities, protection provided against chronic LCMV clone-13 infection increases over time for both circulating memory CD8 T cell populations and for CD62Lhi memory cells. Taken together, the data in this study reveal that memory CD8 T cells continue to change with time after infection and suggest that the outcome of vaccination strategies designed to elicit protective memory

  1. CD4 memory T cells develop and acquire functional competence by sequential cognate interactions and stepwise gene regulation

    PubMed Central

    Kaji, Tomohiro; Hijikata, Atsushi; Ishige, Akiko; Kitami, Toshimori; Watanabe, Takashi; Ohara, Osamu; Yanaka, Noriyuki; Okada, Mariko; Shimoda, Michiko; Taniguchi, Masaru

    2016-01-01

    Memory CD4+ T cells promote protective humoral immunity; however, how memory T cells acquire this activity remains unclear. This study demonstrates that CD4+ T cells develop into antigen-specific memory T cells that can promote the terminal differentiation of memory B cells far more effectively than their naive T-cell counterparts. Memory T cell development requires the transcription factor B-cell lymphoma 6 (Bcl6), which is known to direct T-follicular helper (Tfh) cell differentiation. However, unlike Tfh cells, memory T cell development did not require germinal center B cells. Curiously, memory T cells that develop in the absence of cognate B cells cannot promote memory B-cell recall responses and this defect was accompanied by down-regulation of genes associated with homeostasis and activation and up-regulation of genes inhibitory for T-cell responses. Although memory T cells display phenotypic and genetic signatures distinct from Tfh cells, both had in common the expression of a group of genes associated with metabolic pathways. This gene expression profile was not shared to any great extent with naive T cells and was not influenced by the absence of cognate B cells during memory T cell development. These results suggest that memory T cell development is programmed by stepwise expression of gatekeeper genes through serial interactions with different types of antigen-presenting cells, first licensing the memory lineage pathway and subsequently facilitating the functional development of memory T cells. Finally, we identified Gdpd3 as a candidate genetic marker for memory T cells. PMID:26714588

  2. Low interleukin-2 concentration favors generation of early memory T cells over effector phenotypes during chimeric antigen receptor T-cell expansion.

    PubMed

    Kaartinen, Tanja; Luostarinen, Annu; Maliniemi, Pilvi; Keto, Joni; Arvas, Mikko; Belt, Heini; Koponen, Jonna; Loskog, Angelica; Mustjoki, Satu; Porkka, Kimmo; Ylä-Herttuala, Seppo; Korhonen, Matti

    2017-06-01

    Adoptive T-cell therapy offers new options for cancer treatment. Clinical results suggest that T-cell persistence, depending on T-cell memory, improves efficacy. The use of interleukin (IL)-2 for in vitro T-cell expansion is not straightforward because it drives effector T-cell differentiation but does not promote the formation of T-cell memory. We have developed a cost-effective expansion protocol for chimeric antigen receptor (CAR) T cells with an early memory phenotype. Lymphocytes were transduced with third-generation lentiviral vectors and expanded using CD3/CD28 microbeads. The effects of altering the IL-2 supplementation (0-300 IU/mL) and length of expansion (10-20 days) on the phenotype of the T-cell products were analyzed. High IL-2 levels led to a decrease in overall generation of early memory T cells by both decreasing central memory T cells and augmenting effectors. T memory stem cells (T SCM , CD95 + CD45RO - CD45RA + CD27 + ) were present variably during T-cell expansion. However, their presence was not IL-2 dependent but was linked to expansion kinetics. CD19-CAR T cells generated in these conditions displayed in vitro antileukemic activity. In summary, production of CAR T cells without any cytokine supplementation yielded the highest proportion of early memory T cells, provided a 10-fold cell expansion and the cells were functionally potent. The number of early memory T cells in a T-cell preparation can be increased by simply reducing the amount of IL-2 and limiting the length of T-cell expansion, providing cells with potentially higher in vivo performance. These findings are significant for robust and cost-effective T-cell manufacturing. Copyright © 2017 International Society for Cellular Therapy. Published by Elsevier Inc. All rights reserved.

  3. Plastic Deformation and Failure Analysis of Phase Change Random Access Memory

    NASA Astrophysics Data System (ADS)

    Yang; Hongxin; Shi; Luping; Lee; Koon, Hock; Zhao; Rong; Li; Jianming; Lim; Guan, Kian; Chong; Chong, Tow

    2009-04-01

    Although lateral phase change random access memory (PCRAM) has attracted a lot of interest due to its simpler fabrication process and lower current compared to ovonic unified memory (OUM), it faces a problem of poor lifetime. This paper studied relation between plastic deformation and the failure of PCRAM through both experiment and simulation. OUM and lateral PCRAM incorporating Ge2Sb2Te5 were fabricated and tested. The overwriting test showed that lifetime of OUM exceeded 106 while that of lateral PCRAM was only about 100. Using atomic force microscopy (AFM), it was found that the plastic deformation after 106 overwriting reached several tens of nm for lateral PCRAM while it was negligible for OUM. The thermo-mechanical simulation results confirmed the similar results on larger plastic deformation of lateral PCRAM than that of OUM during overwriting. As plastic deformation involves of atomic bonds breaking and reforming in phase change material, the plastic deformation may be one main reason for the failure of lateral PCRAM.

  4. Mechanical memory

    DOEpatents

    Gilkey, Jeffrey C [Albuquerque, NM; Duesterhaus, Michelle A [Albuquerque, NM; Peter, Frank J [Albuquerque, NM; Renn, Rosemarie A [Alburquerque, NM; Baker, Michael S [Albuquerque, NM

    2006-08-15

    A first-in-first-out (FIFO) microelectromechanical memory apparatus (also termed a mechanical memory) is disclosed. The mechanical memory utilizes a plurality of memory cells, with each memory cell having a beam which can be bowed in either of two directions of curvature to indicate two different logic states for that memory cell. The memory cells can be arranged around a wheel which operates as a clocking actuator to serially shift data from one memory cell to the next. The mechanical memory can be formed using conventional surface micromachining, and can be formed as either a nonvolatile memory or as a volatile memory.

  5. Mechanical memory

    DOEpatents

    Gilkey, Jeffrey C [Albuquerque, NM; Duesterhaus, Michelle A [Albuquerque, NM; Peter, Frank J [Albuquerque, NM; Renn, Rosemarie A [Albuquerque, NM; Baker, Michael S [Albuquerque, NM

    2006-05-16

    A first-in-first-out (FIFO) microelectromechanical memory apparatus (also termed a mechanical memory) is disclosed. The mechanical memory utilizes a plurality of memory cells, with each memory cell having a beam which can be bowed in either of two directions of curvature to indicate two different logic states for that memory cell. The memory cells can be arranged around a wheel which operates as a clocking actuator to serially shift data from one memory cell to the next. The mechanical memory can be formed using conventional surface micromachining, and can be formed as either a nonvolatile memory or as a volatile memory.

  6. Longevity of T-cell memory following acute viral infection.

    PubMed

    Walker, Joshua M; Slifka, Mark K

    2010-01-01

    Investigation of T-cell-mediated immunity following acute viral infection represents an area of research with broad implications for both fundamental immunology research as well as vaccine development. Here, we review techniques that are used to assess T-cell memory including limiting dilution analysis, enzyme-linked immunospot (ELISPOT) assays, intracellular cytokine staining (ICCS) and peptide-MHC Class I tetramer staining. The durability of T-cell memory is explored in the context of several acute viral infections including vaccinia virus (VV), measles virus (MV) and yellow fever virus (YFV). Following acute infection, different virus-specific T-cell subpopulations exhibit distinct cytokine profiles and these profiles change over the course of infection. Differential regulation of the cytotoxic proteins, granzyme A, granzyme B and perforin are also observed in virus-specific T cells following infection. As a result of this work, we have gained a broader understanding of the kinetics and magnitude of antiviral T-cell immunity as well as new insight into the patterns of immunodominance and differential regulation of cytokines and cytotoxicity-associated molecules. This information may eventually lead to the generation of more effective vaccines that elicit T-cell memory with the optimal combination of functional characteristics required for providing protective immunity against infectious disease.

  7. Spectrotemporal processing drives fast access to memory traces for spoken words.

    PubMed

    Tavano, A; Grimm, S; Costa-Faidella, J; Slabu, L; Schröger, E; Escera, C

    2012-05-01

    The Mismatch Negativity (MMN) component of the event-related potentials is generated when a detectable spectrotemporal feature of the incoming sound does not match the sensory model set up by preceding repeated stimuli. MMN is enhanced at frontocentral scalp sites for deviant words when compared to acoustically similar deviant pseudowords, suggesting that automatic access to long-term memory traces for spoken words contributes to MMN generation. Does spectrotemporal feature matching also drive automatic lexical access? To test this, we recorded human auditory event-related potentials (ERPs) to disyllabic spoken words and pseudowords within a passive oddball paradigm. We first aimed at replicating the word-related MMN enhancement effect for Spanish, thereby adding to the available cross-linguistic evidence (e.g., Finnish, English). We then probed its resilience to spectrotemporal perturbation by inserting short (20 ms) and long (120 ms) silent gaps between first and second syllables of deviant and standard stimuli. A significantly enhanced, frontocentrally distributed MMN to deviant words was found for stimuli with no gap. The long gap yielded no deviant word MMN, showing that prior expectations of word form limits in a given language influence deviance detection processes. Crucially, the insertion of a short gap suppressed deviant word MMN enhancement at frontocentral sites. We propose that spectrotemporal point-wise matching constitutes a core mechanism for fast serial computations in audition and language, bridging sensory and long-term memory systems. Copyright © 2012 Elsevier Inc. All rights reserved.

  8. The Respiratory Environment Diverts the Development of Antiviral Memory CD8 T Cells.

    PubMed

    Shane, Hillary L; Reagin, Katie L; Klonowski, Kimberly D

    2018-06-01

    Our understanding of memory CD8 + T cells has been largely derived from acute, systemic infection models. However, memory CD8 + T cells generated from mucosal infection exhibit unique properties and, following respiratory infection, are not maintained in the lung long term. To better understand how infection route modifies memory differentiation, we compared murine CD8 + T cell responses to a vesicular stomatitis virus (VSV) challenge generated intranasally (i.n.) or i.v. The i.n. infection resulted in greater peak expansion of VSV-specific CD8 + T cells. However, this numerical advantage was rapidly lost during the contraction phase of the immune response, resulting in memory CD8 + T cell numerical deficiencies when compared with i.v. infection. Interestingly, the antiviral CD8 + T cells generated in response to i.n. VSV exhibited a biased and sustained proportion of early effector cells (CD127 lo KLRG1 lo ) akin to the developmental program favored after i.n. influenza infection, suggesting that respiratory infection broadly favors an incomplete memory differentiation program. Correspondingly, i.n. VSV infection resulted in lower CD122 expression and eomesodermin levels by VSV-specific CD8 + T cells, further indicative of an inferior transition to bona fide memory. These results may be due to distinct (CD103 + CD11b + ) dendritic cell subsets in the i.n. versus i.v. T cell priming environments, which express molecules that regulate T cell signaling and the balance between tolerance and immunity. Therefore, we propose that distinct immunization routes modulate both the quality and quantity of antiviral effector and memory CD8 + T cells in response to an identical pathogen and should be considered in CD8 + T cell-based vaccine design. Copyright © 2018 by The American Association of Immunologists, Inc.

  9. A transcriptome-based model of central memory CD4 T cell death in HIV infection.

    PubMed

    Olvera-García, Gustavo; Aguilar-García, Tania; Gutiérrez-Jasso, Fany; Imaz-Rosshandler, Iván; Rangel-Escareño, Claudia; Orozco, Lorena; Aguilar-Delfín, Irma; Vázquez-Pérez, Joel A; Zúñiga, Joaquín; Pérez-Patrigeon, Santiago; Espinosa, Enrique

    2016-11-22

    Human central memory CD4 T cells are characterized by their capacity of proliferation and differentiation into effector memory CD4 T cells. Homeostasis of central memory CD4 T cells is considered a key factor sustaining the asymptomatic stage of Human Immunodeficiency Virus type 1 (HIV-1) infection, while progression to acquired immunodeficiency syndrome is imputed to central memory CD4 T cells homeostatic failure. We investigated if central memory CD4 T cells from patients with HIV-1 infection have a gene expression profile impeding proliferation and survival, despite their activated state. Using gene expression microarrays, we analyzed mRNA expression patterns in naive, central memory, and effector memory CD4 T cells from healthy controls, and naive and central memory CD4 T cells from patients with HIV-1 infection. Differentially expressed genes, defined by Log 2 Fold Change (FC) ≥ |0.5| and Log (odds) > 0, were used in pathway enrichment analyses. Central memory CD4 T cells from patients and controls showed comparable expression of differentiation-related genes, ruling out an effector-like differentiation of central memory CD4 T cells in HIV infection. However, 210 genes were differentially expressed in central memory CD4 T cells from patients compared with those from controls. Expression of 75 of these genes was validated by semi quantitative RT-PCR, and independently reproduced enrichment results from this gene expression signature. The results of functional enrichment analysis indicated movement to cell cycle phases G1 and S (increased CCNE1, MKI67, IL12RB2, ADAM9, decreased FGF9, etc.), but also arrest in G2/M (increased CHK1, RBBP8, KIF11, etc.). Unexpectedly, the results also suggested decreased apoptosis (increased CSTA, NFKBIA, decreased RNASEL, etc.). Results also suggested increased IL-1β, IFN-γ, TNF, and RANTES (CCR5) activity upstream of the central memory CD4 T cells signature, consistent with the demonstrated milieu in HIV infection

  10. Encoding and retrieval processes involved in the access of source information in the absence of item memory.

    PubMed

    Ball, B Hunter; DeWitt, Michael R; Knight, Justin B; Hicks, Jason L

    2014-09-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were related to the target item but never actually studied. In Experiments 1 and 2, participants studied 1 category member (e.g., onion) from a variety of different categories and at test were presented with an unstudied category label (e.g., vegetable) to probe memory for item and source information. In Experiments 3 and 4, 1 member of unidirectional (e.g., credit or card) or bidirectional (e.g., salt or pepper) associates was studied, whereas the other unstudied member served as a test probe. When recall failed, source information was accessible only when items were processed deeply during encoding (Experiments 1 and 2) and when there was strong forward associative strength between the retrieval cue and target (Experiments 3 and 4). These findings suggest that a retrieval probe diagnostic of semantically related item information reinstantiates information bound in memory during encoding that results in reactivation of associated contextual information, contingent upon sufficient learning of the item itself and the association between the item and its context information.

  11. Mucosal BCG Vaccination Induces Protective Lung-Resident Memory T Cell Populations against Tuberculosis

    PubMed Central

    Perdomo, Carolina; Zedler, Ulrike; Kühl, Anja A.; Lozza, Laura; Saikali, Philippe; Sander, Leif E.; Vogelzang, Alexis; Kupz, Andreas

    2016-01-01

    ABSTRACT Mycobacterium bovis Bacille Calmette-Guérin (BCG) is the only licensed vaccine against tuberculosis (TB), yet its moderate efficacy against pulmonary TB calls for improved vaccination strategies. Mucosal BCG vaccination generates superior protection against TB in animal models; however, the mechanisms of protection remain elusive. Tissue-resident memory T (TRM) cells have been implicated in protective immune responses against viral infections, but the role of TRM cells following mycobacterial infection is unknown. Using a mouse model of TB, we compared protection and lung cellular infiltrates of parenteral and mucosal BCG vaccination. Adoptive transfer and gene expression analyses of lung airway cells were performed to determine the protective capacities and phenotypes of different memory T cell subsets. In comparison to subcutaneous vaccination, intratracheal and intranasal BCG vaccination generated T effector memory and TRM cells in the lung, as defined by surface marker phenotype. Adoptive mucosal transfer of these airway-resident memory T cells into naive mice mediated protection against TB. Whereas airway-resident memory CD4+ T cells displayed a mixture of effector and regulatory phenotype, airway-resident memory CD8+ T cells displayed prototypical TRM features. Our data demonstrate a key role for mucosal vaccination-induced airway-resident T cells in the host defense against pulmonary TB. These results have direct implications for the design of refined vaccination strategies. PMID:27879332

  12. Th1-like Plasmodium-Specific Memory CD4+ T Cells Support Humoral Immunity.

    PubMed

    Zander, Ryan A; Vijay, Rahul; Pack, Angela D; Guthmiller, Jenna J; Graham, Amy C; Lindner, Scott E; Vaughan, Ashley M; Kappe, Stefan H I; Butler, Noah S

    2017-11-14

    Effector T cells exhibiting features of either T helper 1 (Th1) or T follicular helper (Tfh) populations are essential to control experimental Plasmodium infection and are believed to be critical for resistance to clinical malaria. To determine whether Plasmodium-specific Th1- and Tfh-like effector cells generate memory populations that contribute to protection, we developed transgenic parasites that enable high-resolution study of anti-malarial memory CD4 T cells in experimental models. We found that populations of both Th1- and Tfh-like Plasmodium-specific memory CD4 T cells persist. Unexpectedly, Th1-like memory cells exhibit phenotypic and functional features of Tfh cells during recall and provide potent B cell help and protection following transfer, characteristics that are enhanced following ligation of the T cell co-stimulatory receptor OX40. Our findings delineate critical functional attributes of Plasmodium-specific memory CD4 T cells and identify a host-specific factor that can be targeted to improve resolution of acute malaria and provide durable, long-term protection against Plasmodium parasite re-exposure. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  13. Functional classification of memory CD8(+) T cells by CX3CR1 expression.

    PubMed

    Böttcher, Jan P; Beyer, Marc; Meissner, Felix; Abdullah, Zeinab; Sander, Jil; Höchst, Bastian; Eickhoff, Sarah; Rieckmann, Jan C; Russo, Caroline; Bauer, Tanja; Flecken, Tobias; Giesen, Dominik; Engel, Daniel; Jung, Steffen; Busch, Dirk H; Protzer, Ulrike; Thimme, Robert; Mann, Matthias; Kurts, Christian; Schultze, Joachim L; Kastenmüller, Wolfgang; Knolle, Percy A

    2015-09-25

    Localization of memory CD8(+) T cells to lymphoid or peripheral tissues is believed to correlate with proliferative capacity or effector function. Here we demonstrate that the fractalkine-receptor/CX3CR1 distinguishes memory CD8(+) T cells with cytotoxic effector function from those with proliferative capacity, independent of tissue-homing properties. CX3CR1-based transcriptome and proteome-profiling defines a core signature of memory CD8(+) T cells with effector function. We find CD62L(hi)CX3CR1(+) memory T cells that reside within lymph nodes. This population shows distinct migration patterns and positioning in proximity to pathogen entry sites. Virus-specific CX3CR1(+) memory CD8(+) T cells are scarce during chronic infection in humans and mice but increase when infection is controlled spontaneously or by therapeutic intervention. This CX3CR1-based functional classification will help to resolve the principles of protective CD8(+) T-cell memory.

  14. On the shape memory of red blood cells

    NASA Astrophysics Data System (ADS)

    Cordasco, Daniel; Bagchi, Prosenjit

    2017-04-01

    Red blood cells (RBCs) undergo remarkably large deformations when subjected to external forces but return to their biconcave discoid resting shape as the forces are withdrawn. In many experiments, such as when RBCs are subjected to a shear flow and undergo the tank-treading motion, the membrane elements are also displaced from their original (resting) locations along the cell surface with respect to the cell axis, in addition to the cell being deformed. A shape memory is said to exist if after the flow is stopped the RBC regains its biconcave shape and the membrane elements also return to their original locations. The shape memory of RBCs was demonstrated by Fischer ["Shape memory of human red blood cells," Biophys. J. 86, 3304-3313 (2004)] using shear flow go-and-stop experiments. Optical tweezer and micropipette based stretch-relaxation experiments do not reveal the complete shape memory because while the RBC may be deformed, the membrane elements are not significantly displaced from their original locations with respect to the cell axis. Here we present the first three-dimensional computational study predicting the complete shape memory of RBCs using shear flow go-and-stop simulations. The influence of different parameters, namely, membrane shear elasticity and bending rigidity, membrane viscosity, cytoplasmic and suspending fluid viscosity, as well as different stress-free states of the RBC is studied. For all cases, the RBCs always exhibit shape memory. The complete recovery of the RBC in shear flow go-and-stop simulations occurs over a time that is orders of magnitude longer than that for optical tweezer and micropipette based relaxations. The response is also observed to be more complex and composed of widely disparate time scales as opposed to only one time scale that characterizes the optical tweezer and micropipette based relaxations. We observe that the recovery occurs in three phases: a rapid compression of the RBC immediately after the flow is stopped

  15. Dopaminergic neurons write and update memories with cell-type-specific rules

    PubMed Central

    Aso, Yoshinori; Rubin, Gerald M

    2016-01-01

    Associative learning is thought to involve parallel and distributed mechanisms of memory formation and storage. In Drosophila, the mushroom body (MB) is the major site of associative odor memory formation. Previously we described the anatomy of the adult MB and defined 20 types of dopaminergic neurons (DANs) that each innervate distinct MB compartments (Aso et al., 2014a, 2014b). Here we compare the properties of memories formed by optogenetic activation of individual DAN cell types. We found extensive differences in training requirements for memory formation, decay dynamics, storage capacity and flexibility to learn new associations. Even a single DAN cell type can either write or reduce an aversive memory, or write an appetitive memory, depending on when it is activated relative to odor delivery. Our results show that different learning rules are executed in seemingly parallel memory systems, providing multiple distinct circuit-based strategies to predict future events from past experiences. DOI: http://dx.doi.org/10.7554/eLife.16135.001 PMID:27441388

  16. Increased memory T cell populations in Pb-exposed children from an e-waste-recycling area.

    PubMed

    Cao, Junjun; Xu, Xijin; Zhang, Yu; Zeng, Zhijun; Hylkema, Machteld N; Huo, Xia

    2018-03-01

    Chronic exposure to heavy metals could affect cell-mediated immunity. The aim of this study was to explore the status of memory T cell development in preschool children from an e-waste recycling area. Blood lead (Pb) levels, peripheral T cell subpopulations, and serum levels of cytokines (IL-2/IL-7/IL-15), relevant to generation and homeostasis of memory T cells were evaluated in preschool children from Guiyu (e-waste-exposed group) and Haojiang (reference group). The correlations between blood Pb levels and percentages of memory T cell subpopulations were also evaluated. Guiyu children had higher blood Pb levels and increased percentages of CD4 + central memory T cells and CD8 + central memory T cells than in the Haojiang group. Moreover, blood Pb levels were positively associated with the percentages of CD4 + central memory T cells. In contrast, Pb exposure contributed marginally in the change of percentages of CD8 + central memory T cells in children. There was no significant difference in the serum cytokine levels between the e-waste-exposed and reference children. Taken together, preschool children from an e-waste recycling area suffer from relatively higher levels of Pb exposure, which might facilitate the development of CD4 + central memory T cells in these children. Copyright © 2017. Published by Elsevier B.V.

  17. Origin and differentiation of human memory CD8 T cells after vaccination.

    PubMed

    Akondy, Rama S; Fitch, Mark; Edupuganti, Srilatha; Yang, Shu; Kissick, Haydn T; Li, Kelvin W; Youngblood, Ben A; Abdelsamed, Hossam A; McGuire, Donald J; Cohen, Kristen W; Alexe, Gabriela; Nagar, Shashi; McCausland, Megan M; Gupta, Satish; Tata, Pramila; Haining, W Nicholas; McElrath, M Juliana; Zhang, David; Hu, Bin; Greenleaf, William J; Goronzy, Jorg J; Mulligan, Mark J; Hellerstein, Marc; Ahmed, Rafi

    2017-12-21

    The differentiation of human memory CD8 T cells is not well understood. Here we address this issue using the live yellow fever virus (YFV) vaccine, which induces long-term immunity in humans. We used in vivo deuterium labelling to mark CD8 T cells that proliferated in response to the virus and then assessed cellular turnover and longevity by quantifying deuterium dilution kinetics in YFV-specific CD8 T cells using mass spectrometry. This longitudinal analysis showed that the memory pool originates from CD8 T cells that divided extensively during the first two weeks after infection and is maintained by quiescent cells that divide less than once every year (doubling time of over 450 days). Although these long-lived YFV-specific memory CD8 T cells did not express effector molecules, their epigenetic landscape resembled that of effector CD8 T cells. This open chromatin profile at effector genes was maintained in memory CD8 T cells isolated even a decade after vaccination, indicating that these cells retain an epigenetic fingerprint of their effector history and remain poised to respond rapidly upon re-exposure to the pathogen.

  18. Cladribine treatment of multiple sclerosis is associated with depletion of memory B cells.

    PubMed

    Ceronie, Bryan; Jacobs, Benjamin M; Baker, David; Dubuisson, Nicolas; Mao, Zhifeng; Ammoscato, Francesca; Lock, Helen; Longhurst, Hilary J; Giovannoni, Gavin; Schmierer, Klaus

    2018-05-01

    The mechanism of action of oral cladribine, recently licensed for relapsing multiple sclerosis, is unknown. To determine whether cladribine depletes memory B cells consistent with our recent hypothesis that effective, disease-modifying treatments act by physical/functional depletion of memory B cells. A cross-sectional study examined 40 people with multiple sclerosis at the end of the first cycle of alemtuzumab or injectable cladribine. The relative proportions and absolute numbers of peripheral blood B lymphocyte subsets were measured using flow cytometry. Cell-subtype expression of genes involved in cladribine metabolism was examined from data in public repositories. Cladribine markedly depleted class-switched and unswitched memory B cells to levels comparable with alemtuzumab, but without the associated initial lymphopenia. CD3 + T cell depletion was modest. The mRNA expression of metabolism genes varied between lymphocyte subsets. A high ratio of deoxycytidine kinase to group I cytosolic 5' nucleotidase expression was present in B cells and was particularly high in mature, memory and notably germinal centre B cells, but not plasma cells. Selective B cell cytotoxicity coupled with slow repopulation kinetics results in long-term, memory B cell depletion by cladribine. These may offer a new target, possibly with potential biomarker activity, for future drug development.

  19. Vaccine-elicited memory CD4+ T cell expansion is impaired in the lungs during tuberculosis.

    PubMed

    Carpenter, Stephen M; Yang, Jason D; Lee, Jinhee; Barreira-Silva, Palmira; Behar, Samuel M

    2017-11-01

    Immunological memory is the key biological process that makes vaccines possible. Although tuberculosis vaccines elicit protective immunity in animals, few provide durable protection. To understand why protection is transient, we evaluated the ability of memory CD4+ T cells to expand, differentiate, and control Mycobacterium tuberculosis. Both naïve and memory CD4+ T cells initially proliferated exponentially, and the accumulation of memory T cells in the lung correlated with early bacterial control. However, later during infection, memory CD4+ T cell proliferation was curtailed and no protection was observed. We show that memory CD4+ T cells are first activated in the LN and their recruitment to the lung attenuates bacterial growth. However, their interaction with Mtb-infected macrophages does not promote continued proliferation. We conclude that a lack of sustained expansion by memory-derived T cells in the lung limits the durability of their protection, linking their slower expansion with transient protection in vaccinated mice.

  20. Abnormal B cell memory subsets dominate HIV-specific responses in infected individuals

    PubMed Central

    Kardava, Lela; Moir, Susan; Shah, Naisha; Wang, Wei; Wilson, Richard; Buckner, Clarisa M.; Santich, Brian H.; Kim, Leo J.Y.; Spurlin, Emily E.; Nelson, Amy K.; Wheatley, Adam K.; Harvey, Christopher J.; McDermott, Adrian B.; Wucherpfennig, Kai W.; Chun, Tae-Wook; Tsang, John S.; Li, Yuxing; Fauci, Anthony S.

    2014-01-01

    Recently, several neutralizing anti-HIV antibodies have been isolated from memory B cells of HIV-infected individuals. Despite extensive evidence of B cell dysfunction in HIV disease, little is known about the cells from which these rare HIV-specific antibodies originate. Accordingly, we used HIV envelope gp140 and CD4 or coreceptor (CoR) binding site (bs) mutant probes to evaluate HIV-specific responses in peripheral blood B cells of HIV-infected individuals at various stages of infection. In contrast to non-HIV responses, HIV-specific responses against gp140 were enriched within abnormal B cells, namely activated and exhausted memory subsets, which are largely absent in the blood of uninfected individuals. Responses against the CoRbs, which is a poorly neutralizing epitope, arose early, whereas those against the well-characterized neutralizing epitope CD4bs were delayed and infrequent. Enrichment of the HIV-specific response within resting memory B cells, the predominant subset in uninfected individuals, did occur in certain infected individuals who maintained low levels of plasma viremia and immune activation with or without antiretroviral therapy. The distribution of HIV-specific responses among memory B cell subsets was corroborated by transcriptional analyses. Taken together, our findings provide valuable insight into virus-specific B cell responses in HIV infection and demonstrate that memory B cell abnormalities may contribute to the ineffectiveness of the antibody response in infected individuals. PMID:24892810

  1. Memory for recently accessed visual attributes.

    PubMed

    Jiang, Yuhong V; Shupe, Joshua M; Swallow, Khena M; Tan, Deborah H

    2016-08-01

    Recent reports have suggested that the attended features of an item may be rapidly forgotten once they are no longer relevant for an ongoing task (attribute amnesia). This finding relies on a surprise memory procedure that places high demands on declarative memory. We used intertrial priming to examine whether the representation of an item's identity is lost completely once it becomes task irrelevant. If so, then the identity of a target on one trial should not influence performance on the next trial. In 3 experiments, we replicated the finding that a target's identity is poorly recognized in a surprise memory test. However, we also observed location and identity repetition priming across consecutive trials. These data suggest that, although explicit recognition on a surprise memory test may be impaired, some information about a particular target's identity can be retained after it is no longer needed for a task. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  2. Circulating TFH cells, serological memory, and tissue compartmentalization shape human influenza-specific B cell immunity.

    PubMed

    Koutsakos, Marios; Wheatley, Adam K; Loh, Liyen; Clemens, E Bridie; Sant, Sneha; Nüssing, Simone; Fox, Annette; Chung, Amy W; Laurie, Karen L; Hurt, Aeron C; Rockman, Steve; Lappas, Martha; Loudovaris, Thomas; Mannering, Stuart I; Westall, Glen P; Elliot, Michael; Tangye, Stuart G; Wakim, Linda M; Kent, Stephen J; Nguyen, Thi H O; Kedzierska, Katherine

    2018-02-14

    Immunization with the inactivated influenza vaccine (IIV) remains the most effective strategy to combat seasonal influenza infections. IIV activates B cells and T follicular helper (T FH ) cells and thus engenders antibody-secreting cells and serum antibody titers. However, the cellular events preceding generation of protective immunity in humans are inadequately understood. We undertook an in-depth analysis of B cell and T cell immune responses to IIV in 35 healthy adults. Using recombinant hemagglutinin (rHA) probes to dissect the quantity, phenotype, and isotype of influenza-specific B cells against A/California09-H1N1, A/Switzerland-H3N2, and B/Phuket, we showed that vaccination induced a three-pronged B cell response comprising a transient CXCR5 - CXCR3 + antibody-secreting B cell population, CD21 hi CD27 + memory B cells, and CD21 lo CD27 + B cells. Activation of circulating T FH cells correlated with the development of both CD21 lo and CD21 hi memory B cells. However, preexisting antibodies could limit increases in serum antibody titers. IIV had no marked effect on CD8 + , mucosal-associated invariant T, γδ T, and natural killer cell activation. In addition, vaccine-induced B cells were not maintained in peripheral blood at 1 year after vaccination. We provide a dissection of rHA-specific B cells across seven human tissue compartments, showing that influenza-specific memory (CD21 hi CD27 + ) B cells primarily reside within secondary lymphoid tissues and the lungs. Our study suggests that a rational design of universal vaccines needs to consider circulating T FH cells, preexisting serological memory, and tissue compartmentalization for effective B cell immunity, as well as to improve targeting cellular T cell immunity. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  3. Programmed Death 1 Regulates Memory Phenotype CD4 T Cell Accumulation, Inhibits Expansion of the Effector Memory Phenotype Subset and Modulates Production of Effector Cytokines

    PubMed Central

    Charlton, Joanna J.; Tsoukatou, Debbie; Mamalaki, Clio; Chatzidakis, Ioannis

    2015-01-01

    Memory phenotype CD4 T cells are found in normal mice and arise through response to environmental antigens or homeostatic mechanisms. The factors that regulate the homeostasis of memory phenotype CD4 cells are not clear. In the present study we demonstrate that there is a marked accumulation of memory phenotype CD4 cells, specifically of the effector memory (TEM) phenotype, in lymphoid organs and tissues of mice deficient for the negative co-stimulatory receptor programmed death 1 (PD-1). This can be correlated with decreased apoptosis but not with enhanced homeostatic turnover potential of these cells. PD-1 ablation increased the frequency of memory phenotype CD4 IFN-γ producers but decreased the respective frequency of IL-17A-producing cells. In particular, IFN-γ producers were more abundant but IL-17A producing cells were more scarce among PD-1 KO TEM-phenotype cells relative to WT. Transfer of peripheral naïve CD4 T cells suggested that accumulated PD-1 KO TEM-phenotype cells are of peripheral and not of thymic origin. This accumulation effect was mediated by CD4 cell-intrinsic mechanisms as shown by mixed bone marrow chimera experiments. Naïve PD-1 KO CD4 T cells gave rise to higher numbers of TEM-phenotype lymphopenia-induced proliferation memory cells. In conclusion, we provide evidence that PD-1 has an important role in determining the composition and functional aspects of memory phenotype CD4 T cell pool. PMID:25803808

  4. Direct access inter-process shared memory

    DOEpatents

    Brightwell, Ronald B; Pedretti, Kevin; Hudson, Trammell B

    2013-10-22

    A technique for directly sharing physical memory between processes executing on processor cores is described. The technique includes loading a plurality of processes into the physical memory for execution on a corresponding plurality of processor cores sharing the physical memory. An address space is mapped to each of the processes by populating a first entry in a top level virtual address table for each of the processes. The address space of each of the processes is cross-mapped into each of the processes by populating one or more subsequent entries of the top level virtual address table with the first entry in the top level virtual address table from other processes.

  5. Tethered IL-15 augments antitumor activity and promotes a stem-cell memory subset in tumor-specific T cells.

    PubMed

    Hurton, Lenka V; Singh, Harjeet; Najjar, Amer M; Switzer, Kirsten C; Mi, Tiejuan; Maiti, Sourindra; Olivares, Simon; Rabinovich, Brian; Huls, Helen; Forget, Marie-Andrée; Datar, Vrushali; Kebriaei, Partow; Lee, Dean A; Champlin, Richard E; Cooper, Laurence J N

    2016-11-29

    Adoptive immunotherapy retargeting T cells to CD19 via a chimeric antigen receptor (CAR) is an investigational treatment capable of inducing complete tumor regression of B-cell malignancies when there is sustained survival of infused cells. T-memory stem cells (T SCM ) retain superior potential for long-lived persistence, but challenges exist in manufacturing this T-cell subset because they are rare among circulating lymphocytes. We report a clinically relevant approach to generating CAR + T cells with preserved T SCM potential using the Sleeping Beauty platform. Because IL-15 is fundamental to T-cell memory, we incorporated its costimulatory properties by coexpressing CAR with a membrane-bound chimeric IL-15 (mbIL15). The mbIL15-CAR T cells signaled through signal transducer and activator of transcription 5 to yield improved T-cell persistence independent of CAR signaling, without apparent autonomous growth or transformation, and achieved potent rejection of CD19 + leukemia. Long-lived T cells were CD45RO neg CCR7 + CD95 + , phenotypically most similar to T SCM , and possessed a memory-like transcriptional profile. Overall, these results demonstrate that CAR + T cells can develop long-term persistence with a memory stem-cell phenotype sustained by signaling through mbIL15. This observation warrants evaluation in clinical trials.

  6. Tethered IL-15 augments antitumor activity and promotes a stem-cell memory subset in tumor-specific T cells

    PubMed Central

    Hurton, Lenka V.; Singh, Harjeet; Najjar, Amer M.; Switzer, Kirsten C.; Mi, Tiejuan; Maiti, Sourindra; Olivares, Simon; Rabinovich, Brian; Huls, Helen; Forget, Marie-Andrée; Datar, Vrushali; Kebriaei, Partow; Lee, Dean A.; Champlin, Richard E.; Cooper, Laurence J. N.

    2016-01-01

    Adoptive immunotherapy retargeting T cells to CD19 via a chimeric antigen receptor (CAR) is an investigational treatment capable of inducing complete tumor regression of B-cell malignancies when there is sustained survival of infused cells. T-memory stem cells (TSCM) retain superior potential for long-lived persistence, but challenges exist in manufacturing this T-cell subset because they are rare among circulating lymphocytes. We report a clinically relevant approach to generating CAR+ T cells with preserved TSCM potential using the Sleeping Beauty platform. Because IL-15 is fundamental to T-cell memory, we incorporated its costimulatory properties by coexpressing CAR with a membrane-bound chimeric IL-15 (mbIL15). The mbIL15-CAR T cells signaled through signal transducer and activator of transcription 5 to yield improved T-cell persistence independent of CAR signaling, without apparent autonomous growth or transformation, and achieved potent rejection of CD19+ leukemia. Long-lived T cells were CD45ROnegCCR7+CD95+, phenotypically most similar to TSCM, and possessed a memory-like transcriptional profile. Overall, these results demonstrate that CAR+ T cells can develop long-term persistence with a memory stem-cell phenotype sustained by signaling through mbIL15. This observation warrants evaluation in clinical trials. PMID:27849617

  7. High-density magnetoresistive random access memory operating at ultralow voltage at room temperature.

    PubMed

    Hu, Jia-Mian; Li, Zheng; Chen, Long-Qing; Nan, Ce-Wen

    2011-11-22

    The main bottlenecks limiting the practical applications of current magnetoresistive random access memory (MRAM) technology are its low storage density and high writing energy consumption. Although a number of proposals have been reported for voltage-controlled memory device in recent years, none of them simultaneously satisfy the important device attributes: high storage capacity, low power consumption and room temperature operation. Here we present, using phase-field simulations, a simple and new pathway towards high-performance MRAMs that display significant improvements over existing MRAM technologies or proposed concepts. The proposed nanoscale MRAM device simultaneously exhibits ultrahigh storage capacity of up to 88 Gb inch(-2), ultralow power dissipation as low as 0.16 fJ per bit and room temperature high-speed operation below 10 ns.

  8. High-density magnetoresistive random access memory operating at ultralow voltage at room temperature

    PubMed Central

    Hu, Jia-Mian; Li, Zheng; Chen, Long-Qing; Nan, Ce-Wen

    2011-01-01

    The main bottlenecks limiting the practical applications of current magnetoresistive random access memory (MRAM) technology are its low storage density and high writing energy consumption. Although a number of proposals have been reported for voltage-controlled memory device in recent years, none of them simultaneously satisfy the important device attributes: high storage capacity, low power consumption and room temperature operation. Here we present, using phase-field simulations, a simple and new pathway towards high-performance MRAMs that display significant improvements over existing MRAM technologies or proposed concepts. The proposed nanoscale MRAM device simultaneously exhibits ultrahigh storage capacity of up to 88 Gb inch−2, ultralow power dissipation as low as 0.16 fJ per bit and room temperature high-speed operation below 10 ns. PMID:22109527

  9. High Density Memory Based on Quantum Device Technology

    NASA Technical Reports Server (NTRS)

    vanderWagt, Paul; Frazier, Gary; Tang, Hao

    1995-01-01

    We explore the feasibility of ultra-high density memory based on quantum devices. Starting from overall constraints on chip area, power consumption, access speed, and noise margin, we deduce boundaries on single cell parameters such as required operating voltage and standby current. Next, the possible role of quantum devices is examined. Since the most mature quantum device, the resonant tunneling diode (RTD) can easily be integrated vertically, it naturally leads to the issue of 3D integrated memory. We propose a novel method of addressing vertically integrated bistable two-terminal devices, such as resonant tunneling diodes (RTD) and Esaki diodes, that avoids individual physical contacts. The new concept has been demonstrated experimentally in memory cells of field effect transistors (FET's) and stacked RTD's.

  10. Memory retrieval by activating engram cells in mouse models of early Alzheimer’s disease

    PubMed Central

    Roy, Dheeraj S.; Arons, Autumn; Mitchell, Teryn I.; Pignatelli, Michele; Ryan, Tomás J.; Tonegawa, Susumu

    2016-01-01

    Summary Alzheimer’s disease (AD) is a neurodegenerative disorder characterized by progressive memory decline and subsequent loss of broader cognitive functions1. Memory decline in early stages of Alzheimer’s is mostly limited to episodic memory, for which the hippocampus (HPC) plays a crucial role2. However, it has been uncertain whether the observed amnesia in early stages of Alzheimer’s is due to disrupted encoding and consolidation of episodic information, or an impairment in the retrieval of stored memory information. Here we show that in transgenic mouse models of early Alzheimer’s, direct optogenetic activation of hippocampal memory engram cells results in memory retrieval despite the fact that these mice are amnesic in long-term memory tests when natural recall cues are utilized, revealing a retrieval, rather than a storage impairment. Prior to amyloid plaque deposition, the amnesia in these mice is age-dependent3–5, which correlates with a progressive reduction of spine density of hippocampal dentate gyrus (DG) engram cells. We show that optogenetic induction of long-term potentiation (LTP) at perforant path (PP) synapses of DG engram cells restores both spine density and long-term memory. We also demonstrate that an ablation of DG engram cells containing restored spine density prevents the rescue of long-term memory. Thus, selective rescue of spine density in engram cells may lead to an effective strategy for treating memory loss in early stages of Alzheimer’s disease. PMID:26982728

  11. In Vitro-Generated Tc17 Cells Present a Memory Phenotype and Serve As a Reservoir of Tc1 Cells In Vivo

    PubMed Central

    Flores-Santibáñez, Felipe; Cuadra, Bárbara; Fernández, Dominique; Rosemblatt, Mariana V.; Núñez, Sarah; Cruz, Pablo; Gálvez-Cancino, Felipe; Cárdenas, J. César; Lladser, Alvaro; Rosemblatt, Mario; Bono, María Rosa; Sauma, Daniela

    2018-01-01

    Memory CD8+ T cells are ideal candidates for cancer immunotherapy because they can mediate long-term protection against tumors. However, the therapeutic potential of different in vitro-generated CD8+ T cell effector subsets to persist and become memory cells has not been fully characterized. Type 1 CD8+ T (Tc1) cells produce interferon-γ and are endowed with high cytotoxic capacity, whereas IL-17-producing CD8+ T (Tc17) cells are less cytotoxic but display enhanced self-renewal capacity. We sought to evaluate the functional properties of in vitro-generated Tc17 cells and elucidate their potential to become long lasting memory cells. Our results show that in vitro-generated Tc17 cells display a greater in vivo persistence and expansion in response to secondary antigen stimulation compared to Tc1 cells. When transferred into recipient mice, Tc17 cells persist in secondary lymphoid organs, present a recirculation behavior consistent with central memory T cells, and can shift to a Tc1 phenotype. Accordingly, Tc17 cells are endowed with a higher mitochondrial spare respiratory capacity than Tc1 cells and express higher levels of memory-related molecules than Tc1 cells. Together, these results demonstrate that in vitro-generated Tc17 cells acquire a central memory program and provide a lasting reservoir of Tc1 cells in vivo, thus supporting the use of Tc17 lymphocytes in the design of novel and more effective therapies. PMID:29472932

  12. Pediatric common variable immunodeficiency: immunologic and phenotypic associations with switched memory B cells.

    PubMed

    Yong, Pierre L; Orange, Jordan S; Sullivan, Kathleen E

    2010-08-01

    Recent studies suggest that patients with common variable immunodeficiency (CVID) and low numbers of switched memory B cells have lower IgG levels and higher rates of autoimmune disease, splenomegaly, and granulomatous disease; however, no prior literature has focused exclusively on pediatric cases. We examined the relationship between switched memory B cells and clinical and immunologic manifestations of CVID in a pediatric population. Forty-five patients were evaluated. Patients were categorized as Group I (<5 switched memory B cells/ml, n = 24) or Group II (> or =5 switched memory B cells/mL, n = 21). CD3(+) T-cell counts and CD19(+) B-cell levels were lower among Group I patients. Only those in Group I had meningitis, sepsis, bronchiectasis, granulomatous lung disease, autoimmune cytopenias, or hematologic malignancies. Segregation of pediatric patients into high risk (Group I) and average risk (Group II) may assist in targeting surveillance appropriately.

  13. Memory for Recently Accessed Visual Attributes

    ERIC Educational Resources Information Center

    Jiang, Yuhong V.; Shupe, Joshua M.; Swallow, Khena M.; Tan, Deborah H.

    2016-01-01

    Recent reports have suggested that the attended features of an item may be rapidly forgotten once they are no longer relevant for an ongoing task (attribute amnesia). This finding relies on a surprise memory procedure that places high demands on declarative memory. We used intertrial priming to examine whether the representation of an item's…

  14. Attrition of memory CD8 T cells during sepsis requires LFA-1.

    PubMed

    Serbanescu, Mara A; Ramonell, Kimberly M; Hadley, Annette; Margoles, Lindsay M; Mittal, Rohit; Lyons, John D; Liang, Zhe; Coopersmith, Craig M; Ford, Mandy L; McConnell, Kevin W

    2016-11-01

    CD8 T cell loss and dysfunction have been implicated in the increased susceptibility to opportunistic infections during the later immunosuppressive phase of sepsis, but CD8 T cell activation and attrition in early sepsis remain incompletely understood. With the use of a CLP model, we assessed CD8 T cell activation at 5 consecutive time points and found that activation after sepsis results in a distinct phenotype (CD69 + CD25 int CD62L HI ) independent of cognate antigen recognition and TCR engagement and likely through bystander-mediated cytokine effects. Additionally, we observed that sepsis concurrently results in the preferential depletion of a subset of memory-phenotype CD8 T cells that remain "unactivated" (i.e., fail to up-regulate activation markers) by apoptosis. Unactivated CD44 HI OT-I cells were spared from sepsis-induced attrition, as were memory-phenotype CD8 T cells of mice treated with anti-LFA-1 mAb, 1 h after CLP. Perhaps most importantly, we demonstrate that attrition of memory phenotype cells may have a pathologic significance, as elevated IL-6 levels were associated with decreased numbers of memory-phenotype CD8 T cells in septic mice, and preservation of this subset after administration of anti-LFA-1 mAb conferred improved survival at 7 d. Taken together, these data identify potentially modifiable responses of memory-phenotype CD8 T cells in early sepsis and may be particularly important in the application of immunomodulatory therapies in sepsis. © Society for Leukocyte Biology.

  15. Attrition of memory CD8 T cells during sepsis requires LFA-1

    PubMed Central

    Serbanescu, Mara A.; Ramonell, Kimberly M.; Hadley, Annette; Margoles, Lindsay M.; Mittal, Rohit; Lyons, John D.; Liang, Zhe; Coopersmith, Craig M.; Ford, Mandy L.; McConnell, Kevin W.

    2016-01-01

    CD8 T cell loss and dysfunction have been implicated in the increased susceptibility to opportunistic infections during the later immunosuppressive phase of sepsis, but CD8 T cell activation and attrition in early sepsis remain incompletely understood. With the use of a CLP model, we assessed CD8 T cell activation at 5 consecutive time points and found that activation after sepsis results in a distinct phenotype (CD69+CD25intCD62LHI) independent of cognate antigen recognition and TCR engagement and likely through bystander-mediated cytokine effects. Additionally, we observed that sepsis concurrently results in the preferential depletion of a subset of memory-phenotype CD8 T cells that remain “unactivated” (i.e., fail to up-regulate activation markers) by apoptosis. Unactivated CD44HI OT-I cells were spared from sepsis-induced attrition, as were memory-phenotype CD8 T cells of mice treated with anti-LFA-1 mAb, 1 h after CLP. Perhaps most importantly, we demonstrate that attrition of memory phenotype cells may have a pathologic significance, as elevated IL-6 levels were associated with decreased numbers of memory-phenotype CD8 T cells in septic mice, and preservation of this subset after administration of anti-LFA-1 mAb conferred improved survival at 7 d. Taken together, these data identify potentially modifiable responses of memory-phenotype CD8 T cells in early sepsis and may be particularly important in the application of immunomodulatory therapies in sepsis. PMID:27286793

  16. Memory B lymphocytes determine repertoire oligoclonality early after haematopoietic stem cell transplantation

    PubMed Central

    OMAZIC, B; LUNDKVIST, I; MATTSSON, J; PERMERT, J; NÄSMAN-BJÖRK, I

    2003-01-01

    The objective of this study was to investigate if oligoclonality of the Ig repertoire post-haematopoietic stem cell transplantation (HSCT) is restricted to memory B lymphocytes or if it is a general property among B lymphocytes. As a measure of B lymphocyte repertoire diversity, we have analysed size distribution of polymerase chain reaction (PCR) amplified Ig H complementarity determining region 3 (CDR3) in naive and memory B lymphocytes isolated from patients before HSCT and at 3, 6 and 12 months after HSCT as well as from healthy controls. We demonstrate a limited variation of the IgH CDR3 repertoire in the memory B lymphocyte population compared to the naive B cell population. This difference was significant at 3 and 6 months post-HSCT. Compared to healthy controls there is a significant restriction of the memory B lymphocyte repertoire at 3 months after HSCT, but not of the naive B lymphocyte repertoire. Twelve months after HSCT, the IgH CDR3 repertoire in both memory and naive B lymphocytes are as diverse as in healthy controls. Thus, our findings suggest a role for memory B cells in the restriction of the oligoclonal B cell repertoire observed early after HSCT, which may be of importance when considering reimmunization of transplanted patients. PMID:12974769

  17. A review of emerging non-volatile memory (NVM) technologies and applications

    NASA Astrophysics Data System (ADS)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  18. Evaluation of profile and functionality of memory T cells in pulmonary tuberculosis.

    PubMed

    Tonaco, Marcela M; Moreira, Jôsimar D; Nunes, Fernanda F C; Loures, Cristina M G; Souza, Larissa R; Martins, Janaina M; Silva, Henrique R; Porto, Arthur Henrique R; Toledo, Vicente Paulo C P; Miranda, Silvana S; Guimarães, Tânia Mara P D

    2017-12-01

    The cells T CD4+ T and CD8+ can be subdivided into phenotypes naïve, T of central memory, T of effector memory and effector, according to the expression of surface molecules CD45RO and CD27. The T lymphocytes are cells of long life with capacity of rapid expansion and function, after a new antigenic exposure. In tuberculosis, it was found that specific memory T cells are present, however, gaps remain about the role of such cells in the disease immunology. In this study, the phenotypic profile was analyzed and characterized the functionality of CD4+ T lymphocytes and CD8+ T cells of memory and effector, in response to specific stimuli in vitro, in patients with active pulmonary TB, compared to individuals with latent infection with Mycobacterium tuberculosis the ones treated with pulmonary TB. It was observed that the group of patients with active pulmonary tuberculosis was the one which presented the highest proportion of cells T CD4+ of central memory IFN-ɣ+ e TNF-α+, suggesting that in TB, these T of central memory cells would have a profile of protective response, being an important target of study for the development of more effective vaccines; this group also developed lower proportion of CD8+ T effector lymphocytes than the others, a probable cause of specific and less effective response against the bacillus in these individuals; the ones treated for pulmonary tuberculosis were those who developed higher proportion of T CD4+ of memory central IL-17+ cells, indicating that the stimulation of long duration, with high antigenic load, followed by elimination of the pathogen, contribute to more significant generation of such cells; individuals with latent infection by M. tuberculosis and treated for pulmonary tuberculosis, showed greater response of CD8+ T effector lymphocytes IFN-ɣ+ than the controls, suggesting that these cells, as well as CD4+ T lymphocytes, have crucial role of protection against M. tuberculosis. These findings have contributed to a better

  19. Mucosal BCG Vaccination Induces Protective Lung-Resident Memory T Cell Populations against Tuberculosis.

    PubMed

    Perdomo, Carolina; Zedler, Ulrike; Kühl, Anja A; Lozza, Laura; Saikali, Philippe; Sander, Leif E; Vogelzang, Alexis; Kaufmann, Stefan H E; Kupz, Andreas

    2016-11-22

    Mycobacterium bovis Bacille Calmette-Guérin (BCG) is the only licensed vaccine against tuberculosis (TB), yet its moderate efficacy against pulmonary TB calls for improved vaccination strategies. Mucosal BCG vaccination generates superior protection against TB in animal models; however, the mechanisms of protection remain elusive. Tissue-resident memory T (T RM ) cells have been implicated in protective immune responses against viral infections, but the role of T RM cells following mycobacterial infection is unknown. Using a mouse model of TB, we compared protection and lung cellular infiltrates of parenteral and mucosal BCG vaccination. Adoptive transfer and gene expression analyses of lung airway cells were performed to determine the protective capacities and phenotypes of different memory T cell subsets. In comparison to subcutaneous vaccination, intratracheal and intranasal BCG vaccination generated T effector memory and T RM cells in the lung, as defined by surface marker phenotype. Adoptive mucosal transfer of these airway-resident memory T cells into naive mice mediated protection against TB. Whereas airway-resident memory CD4 + T cells displayed a mixture of effector and regulatory phenotype, airway-resident memory CD8 + T cells displayed prototypical T RM features. Our data demonstrate a key role for mucosal vaccination-induced airway-resident T cells in the host defense against pulmonary TB. These results have direct implications for the design of refined vaccination strategies. BCG remains the only licensed vaccine against TB. Parenterally administered BCG has variable efficacy against pulmonary TB, and thus, improved prevention strategies and a more refined understanding of correlates of vaccine protection are required. Induction of memory T cells has been shown to be essential for protective TB vaccines. Mimicking the natural infection route by mucosal vaccination has been known to generate superior protection against TB in animal models; however, the

  20. The contribution to immediate serial recall of rehearsal, search speed, access to lexical memory, and phonological coding: an investigation at the construct level.

    PubMed

    Tehan, Gerald; Fogarty, Gerard; Ryan, Katherine

    2004-07-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the participant population, have suggested other contributors to span performance. In the present research, we used structural equation modeling to explore, at the construct level, individual differences in immediate serial recall with respect to rehearsal, search, phonological coding, and speed of access to lexical memory. We replicated standard short-term phenomena; we showed that the variables that influence children's span performance influence adult performance in the same way; and we showed that speed of access to lexical memory and facility with phonological codes appear to be more potent sources of individual differences in immediate memory than is either rehearsal speed or search factors.

  1. Rescue of CD8+ T cell vaccine memory following sublethal γ irradiation.

    PubMed

    McFarland, Hugh I; Berkson, Julia D; Lee, Jay P; Elkahloun, Abdel G; Mason, Karen P; Rosenberg, Amy S

    2015-07-31

    Sublethal γ irradiation eliminates CD8+ T cell mediated memory responses. In this work, we explored how these memory responses could be rescued in the aftermath of such exposure. We utilized two models of CD8+ T cell mediated immunity: a mouse model of Listeria monocytogenes (LM) infection in which CD8+ T cells specific for LM expressed antigens (Listeriolysin O, LLO) can be tracked, and a murine skin graft model in which CD8+ T cells mediate rejection across a MHC class I (D(d)) disparity. In the LM immunized mice, LL0 specific CD8+ T memory cells were lost on irradiation, preserved with rapid revaccination with an attenuated strain 1-3 days post-irradiation (PI), and these mice survived a subsequent wild type LM challenge. A genetic "signature of rescue" identified a group of immune-associated mRNA maintained or upregulated following irradiation and rescue. A number of these factors, including IL-36γ, dectin-2 (Clec4n), and mir101c are upregulated rapidly after exposure of mice to sublethal γ radiation alone and are sustained by early, but not later rescue. Such factors will be evaluated as potential therapeutics to replace individual vaccines for global rescue of CD8+ T memory cell responses following sublethal γ irradiation. The skin allograft model mirrored that of the LM model in that the accelerated D(d) skin allograft rejection response was lost in mice exposed to sublethal γ radiation, but infusion of allogeneic D(d) expressing bone marrow cells 1-4 days PI preserved the CD8+ T memory mediated accelerated rejection response, further suggesting that innate immune responses may not always be essential to rescue of CD8+ memory T cells following γ irradiation. Published by Elsevier Ltd.

  2. Identification of Pertussis-Specific Effector Memory T Cells in Preschool Children

    PubMed Central

    Schure, Rose-Minke; Öztürk, Kemal; Berbers, Guy; Sanders, Elisabeth; van Twillert, Inonge; Carollo, Maria; Mascart, Françoise; Ausiello, Clara M.; van Els, Cecile A. C. M.; Smits, Kaat; Buisman, Anne-Marie

    2015-01-01

    Whooping cough remains a problem despite vaccination, and worldwide resurgence of pertussis is evident. Since cellular immunity plays a role in long-term protection against pertussis, we studied pertussis-specific T-cell responses. Around the time of the preschool acellular pertussis (aP) booster dose at 4 years of age, T-cell memory responses were compared in children who were primed during infancy with either a whole-cell pertussis (wP) or an aP vaccine. Peripheral blood mononuclear cells (PBMCs) were isolated and stimulated with pertussis vaccine antigens for 5 days. T cells were characterized by flow-based analysis of carboxyfluorescein succinimidyl ester (CFSE) dilution and CD4, CD3, CD45RA, CCR7, gamma interferon (IFN-γ), and tumor necrosis factor alpha (TNF-α) expression. Before the aP preschool booster vaccination, both the proliferated pertussis toxin (PT)-specific CD4+ and CD8+ T-cell fractions (CFSEdim) were higher in aP- than in wP-primed children. Post-booster vaccination, more pertussis-specific CD4+ effector memory cells (CD45RA− CCR7−) were induced in aP-primed children than in those primed with wP. The booster vaccination did not appear to significantly affect the T-cell memory subsets and functionality in aP-primed or wP-primed children. Although the percentages of Th1 cytokine-producing cells were alike in aP- and wP-primed children pre-booster vaccination, aP-primed children produced more Th1 cytokines due to higher numbers of proliferated pertussis-specific effector memory cells. At present, infant vaccinations with four aP vaccines in the first year of life result in pertussis-specific CD4+ and CD8+ effector memory T-cell responses that persist in children until 4 years of age and are higher than those in wP-primed children. The booster at 4 years of age is therefore questionable; this may be postponed to 6 years of age. PMID:25787136

  3. Virus-specific CD4+ memory phenotype T cells are abundant in unexposed adults

    PubMed Central

    Su, Laura F.; Kidd, Brian A.; Han, Arnold; Kotzin, Jonathan J.; Davis, Mark M.

    2013-01-01

    While T cell memory is generally thought to require direct antigen exposure, we find an abundance of memory phenotype cells (20–90%, averaging over 50%) of CD4+ T cells specific for viral antigens in adults that have never been infected. These cells express the appropriate memory markers and genes, rapidly produce cytokines, and have clonally expanded. This contrasts with newborns where the same T cell receptor (TCR) specificities are almost entirely naïve, which may explain the vulnerability of young children to infections. One mechanism for this phenomenon is TCR cross-reactivity to environmental antigens and in support of this we find extensive cross-recognition by HIV-1 and influenza-reactive T lymphocytes to other microbial peptides and the expansion of one of these following influenza vaccination. Thus the presence of these memory phenotype T cells has significant implications for immunity to novel pathogens, child and adult health, and the influence of pathogen-rich versus hygienic environments. PMID:23395677

  4. Temperature dependent characteristics of the random telegraph noise on contact resistive random access memory

    NASA Astrophysics Data System (ADS)

    Chang, Liang-Shun; Lin, Chrong Jung; King, Ya-Chin

    2014-01-01

    The temperature dependent characteristics of the random telegraphic noise (RTN) on contact resistive random access memory (CRRAM) are studied in this work. In addition to the bi-level switching, the occurrences of the middle states in the RTN signal are investigated. Based on the unique its temperature dependent characteristics, a new temperature sensing scheme is proposed for applications in ultra-low power sensor modules.

  5. Niches for the Long-Term Maintenance of Tissue-Resident Memory T Cells

    PubMed Central

    Takamura, Shiki

    2018-01-01

    Tissue-resident memory T cells (TRM cells) are a population of immune cells that reside in the lymphoid and non-lymphoid organs without recirculation through the blood. These important cells occupy and utilize unique anatomical and physiological niches that are distinct from those for other memory T cell populations, such as central memory T cells in the secondary lymphoid organs and effector memory T cells that circulate through the tissues. CD8+ TRM cells typically localize in the epithelial layers of barrier tissues where they are optimally positioned to act as sentinels to trigger antigen-specific protection against reinfection. CD4+ TRM cells typically localize below the epithelial layers, such as below the basement membrane, and cluster in lymphoid structures designed to optimize interactions with antigen-presenting cells upon reinfection. A key feature of TRM populations is their ability to be maintained in barrier tissues for prolonged periods of time. For example, skin CD8+ TRM cells displace epidermal niches originally occupied by γδ T cells, thereby enabling their stable persistence for years. It is also clear that the long-term maintenance of TRM cells in different microenvironments is dependent on multiple tissue-specific survival cues, although the specific details are poorly understood. However, not all TRM persist over the long term. Recently, we identified a new spatial niche for the maintenance of CD8+ TRM cells in the lung, which is created at the site of tissue regeneration after injury [termed repair-associated memory depots (RAMD)]. The short-lived nature of RAMD potentially explains the short lifespans of CD8+ TRM cells in this particular tissue. Clearly, a better understanding of the niche-dependent maintenance of TRM cells will be important for the development of vaccines designed to promote barrier immunity. In this review, we discuss recent advances in our understanding of the properties and nature of tissue-specific niches that

  6. CD4+CD25+ regulatory T cells suppress allograft rejection mediated by memory CD8+ T cells via a CD30-dependent mechanism.

    PubMed

    Dai, Zhenhua; Li, Qi; Wang, Yinong; Gao, Ge; Diggs, Lonnette S; Tellides, George; Lakkis, Fadi G

    2004-01-01

    CD4(+)CD25(+) regulatory T (Treg) cells suppress naive T cell responses, prevent autoimmunity, and delay allograft rejection. It is not known, however, whether Treg cells suppress allograft rejection mediated by memory T cells, as the latter mount faster and stronger immune responses than their naive counterparts. Here we show that antigen-induced, but not naive, Treg cells suppress allograft rejection mediated by memory CD8(+) T cells. Suppression was allospecific, as Treg cells induced by third-party antigens did not delay allograft rejection. In vivo and in vitro analyses revealed that the apoptosis of allospecific memory CD8(+) T cells is significantly increased in the presence of antigen-induced Treg cells, while their proliferation remains unaffected. Importantly, neither suppression of allograft rejection nor enhanced apoptosis of memory CD8(+) T cells was observed when Treg cells lacked CD30 or when CD30 ligand-CD30 interaction was blocked with anti-CD30 ligand Ab. This study therefore provides direct evidence that pathogenic memory T cells are amenable to suppression in an antigen-specific manner and identifies CD30 as a molecule that is critical for the regulation of memory T cell responses.

  7. CD4+CD25+ regulatory T cells suppress allograft rejection mediated by memory CD8+ T cells via a CD30-dependent mechanism

    PubMed Central

    Dai, Zhenhua; Li, Qi; Wang, Yinong; Gao, Ge; Diggs, Lonnette S.; Tellides, George; Lakkis, Fadi G.

    2004-01-01

    CD4+CD25+ regulatory T (Treg) cells suppress naive T cell responses, prevent autoimmunity, and delay allograft rejection. It is not known, however, whether Treg cells suppress allograft rejection mediated by memory T cells, as the latter mount faster and stronger immune responses than their naive counterparts. Here we show that antigen-induced, but not naive, Treg cells suppress allograft rejection mediated by memory CD8+ T cells. Suppression was allospecific, as Treg cells induced by third-party antigens did not delay allograft rejection. In vivo and in vitro analyses revealed that the apoptosis of allospecific memory CD8+ T cells is significantly increased in the presence of antigen-induced Treg cells, while their proliferation remains unaffected. Importantly, neither suppression of allograft rejection nor enhanced apoptosis of memory CD8+ T cells was observed when Treg cells lacked CD30 or when CD30 ligand–CD30 interaction was blocked with anti–CD30 ligand Ab. This study therefore provides direct evidence that pathogenic memory T cells are amenable to suppression in an antigen-specific manner and identifies CD30 as a molecule that is critical for the regulation of memory T cell responses. PMID:14722622

  8. Distributed multiport memory architecture

    NASA Technical Reports Server (NTRS)

    Kohl, W. H. (Inventor)

    1983-01-01

    A multiport memory architecture is diclosed for each of a plurality of task centers connected to a command and data bus. Each task center, includes a memory and a plurality of devices which request direct memory access as needed. The memory includes an internal data bus and an internal address bus to which the devices are connected, and direct timing and control logic comprised of a 10-state ring counter for allocating memory devices by enabling AND gates connected to the request signal lines of the devices. The outputs of AND gates connected to the same device are combined by OR gates to form an acknowledgement signal that enables the devices to address the memory during the next clock period. The length of the ring counter may be effectively lengthened to any multiple of ten to allow for more direct memory access intervals in one repetitive sequence. One device is a network bus adapter which serially shifts onto the command and data bus, a data word (8 bits plus control and parity bits) during the next ten direct memory access intervals after it has been granted access. The NBA is therefore allocated only one access in every ten intervals, which is a predetermined interval for all centers. The ring counters of all centers are periodically synchronized by DMA SYNC signal to assure that all NBAs be able to function in synchronism for data transfer from one center to another.

  9. Specific memory B cell response and participation of CD4+ central and effector memory T cells in mice immunized with liposome encapsulated recombinant NE protein based Hepatitis E vaccine candidate.

    PubMed

    Kulkarni, Shruti P; Thanapati, Subrat; Arankalle, Vidya A; Tripathy, Anuradha S

    2016-11-21

    Liposome encapsulated neutralizing epitope protein of Hepatitis E virus (HEV), rNEp, our Hepatitis E vaccine candidate, was shown to be immunogenic and safe in pregnant and non-pregnant mice and yielded sterilizing immunity in rhesus monkeys. The current study in Balb/c mice assessed the levels and persistence of anti-HEV IgG antibodies by ELISA, frequencies of B, memory B, T and memory T cells by flow cytometry and HEV-specific IgG secreting memory B cells by ELISPOT till 420days post immunization (PI) with 5?g rNEp encapsulated in liposome based adjuvant (2 doses, 4weeks apart). Mice immunized with a lower dose (1?g) were assessed only for anamnestic response post booster dose. Vaccine candidate immunized mice (5?g dose) elicited strong anti-HEV IgG response that was estimated to persist for lifetime. At day 120 PI, frequency of memory B cells was higher in immunized mice than those receiving adjuvant alone. Anti-HEV IgG titers were lower in mice immunized with 1?g dose. A booster dose yielded a heightened antibody response in mice with both high (>800GMT, 5?g) and low (?100GMT, 1?g) anti-HEV IgG titers. At day 6th post booster dose, HEV-specific antibody secreting plasma cells (ASCs) were detected in 100% and 50% of mice with high and low anti-HEV IgG titers, respectively, whereas the frequencies of CD4 + central and effector memory T cells were high in mice with high anti-HEV IgG titers only. Taken together, the vaccine candidate effectively generates persistent and anamnestic antibody response, elicits participation of CD4 + memory T cells and triggers memory B cells to differentiate into ASCs upon boosting. This approach of assessing the immunogenicity of vaccine candidate could be useful to explore the longevity of HEV-specific memory response in future HEV vaccine trials in human. Copyright © 2016. Published by Elsevier Ltd.

  10. Autoreactive T effector memory differentiation mirrors β-cell function in type 1 diabetes.

    PubMed

    Yeo, Lorraine; Woodwyk, Alyssa; Sood, Sanjana; Lorenc, Anna; Eichmann, Martin; Pujol-Autonell, Irma; Melchiotti, Rossella; Skowera, Ania; Fidanis, Efthymios; Dolton, Garry M; Tungatt, Katie; Sewell, Andrew K; Heck, Susanne; Saxena, Alka; Beam, Craig A; Peakman, Mark

    2018-05-31

    In type 1 diabetes, cytotoxic CD8 T cells with specificity for β-cell autoantigens are found in the pancreatic islets where they are implicated in the destruction of insulin-secreting β cells. In contrast, the disease relevance of β-cell-reactive CD8 T cells that are detectable in the circulation, and their relationship to β-cell function, are not known. Here, we tracked multiple, circulating β-cell-reactive CD8 T cell subsets and measured β-cell function longitudinally for two years, starting immediately after diagnosis of type 1 diabetes. We found that change in β-cell-specific effector memory CD8 T cells expressing CD57 was positively correlated with C-peptide change in subjects below 12 years of age. Autoreactive CD57+ effector memory CD8 T cells bore the signature of enhanced effector function (higher expression of granzyme B, killer specific protein 37 and CD16, and reduced expression of CD28) compared with their CD57-negative counterparts, and network association modelling indicated that the dynamics of β-cell-reactive CD57+ effector memory CD8 T cell subsets were strongly linked. Thus, coordinated changes in circulating β-cell-specific CD8 T cells within the CD57+ effector memory subset calibrate to functional insulin reserve in type 1 diabetes, providing a tool for immune monitoring and a mechanism-based target for immunotherapy.

  11. Bioelectric memory: modeling resting potential bistability in amphibian embryos and mammalian cells.

    PubMed

    Law, Robert; Levin, Michael

    2015-10-15

    Bioelectric gradients among all cells, not just within excitable nerve and muscle, play instructive roles in developmental and regenerative pattern formation. Plasma membrane resting potential gradients regulate cell behaviors by regulating downstream transcriptional and epigenetic events. Unlike neurons, which fire rapidly and typically return to the same polarized state, developmental bioelectric signaling involves many cell types stably maintaining various levels of resting potential during morphogenetic events. It is important to begin to quantitatively model the stability of bioelectric states in cells, to understand computation and pattern maintenance during regeneration and remodeling. To facilitate the analysis of endogenous bioelectric signaling and the exploitation of voltage-based cellular controls in synthetic bioengineering applications, we sought to understand the conditions under which somatic cells can stably maintain distinct resting potential values (a type of state memory). Using the Channelpedia ion channel database, we generated an array of amphibian oocyte and mammalian membrane models for voltage evolution. These models were analyzed and searched, by simulation, for a simple dynamical property, multistability, which forms a type of voltage memory. We find that typical mammalian models and amphibian oocyte models exhibit bistability when expressing different ion channel subsets, with either persistent sodium or inward-rectifying potassium, respectively, playing a facilitative role in bistable memory formation. We illustrate this difference using fast sodium channel dynamics for which a comprehensive theory exists, where the same model exhibits bistability under mammalian conditions but not amphibian conditions. In amphibians, potassium channels from the Kv1.x and Kv2.x families tend to disrupt this bistable memory formation. We also identify some common principles under which physiological memory emerges, which suggest specific strategies for

  12. Simulation of Voltage SET Operation in Phase-Change Random Access Memories with Heater Addition and Ring-Type Contactor for Low-Power Consumption by Finite Element Modeling

    NASA Astrophysics Data System (ADS)

    Gong, Yue-Feng; Song, Zhi-Tang; Ling, Yun; Liu, Yan; Li, Yi-Jin

    2010-06-01

    A three-dimensional finite element model for phase change random access memory is established to simulate electric, thermal and phase state distribution during (SET) operation. The model is applied to simulate the SET behaviors of the heater addition structure (HS) and the ring-type contact in the bottom electrode (RIB) structure. The simulation results indicate that the small bottom electrode contactor (BEC) is beneficial for heat efficiency and reliability in the HS cell, and the bottom electrode contactor with size Fx = 80 nm is a good choice for the RIB cell. Also shown is that the appropriate SET pulse time is 100 ns for the low power consumption and fast operation.

  13. Gut memories do not fade: epigenetic regulation of lasting gut homing receptor expression in CD4+ memory T cells.

    PubMed

    Szilagyi, B A; Triebus, J; Kressler, C; de Almeida, M; Tierling, S; Durek, P; Mardahl, M; Szilagyi, A; Floess, S; Huehn, J; Syrbe, U; Walter, J; Polansky, J K; Hamann, A

    2017-11-01

    The concept of a "topographical memory" in lymphocytes implies a stable expression of homing receptors mediating trafficking of lymphocytes back to the tissue of initial activation. However, a significant plasticity of the gut-homing receptor α 4 β 7 was found in CD8 + T cells, questioning the concept. We now demonstrate that α 4 β 7 expression in murine CD4 + memory T cells is, in contrast, imprinted and remains stable in the absence of the inducing factor retinoic acid (RA) or other stimuli from mucosal environments. Repetitive rounds of RA treatment enhanced the stability of de novo induced α 4 β 7 . A novel enhancer element in the murine Itga4 locus was identified that showed, correlating to stability, selective DNA demethylation in mucosa-seeking memory cells and methylation-dependent transcriptional activity in a reporter gene assay. This implies that epigenetic mechanisms contribute to the stabilization of α 4 β 7 expression. Analogous DNA methylation patterns could be observed in the human ITGA4 locus, suggesting that its epigenetic regulation is conserved between mice and men. These data prove that mucosa-specific homing mediated by α 4 β 7 is imprinted in CD4 + memory T cells, reinstating the validity of the concept of "topographical memory" for mucosal tissues, and imply a critical role of epigenetic mechanisms.

  14. A 16K-bit static IIL RAM with 25-ns access time

    NASA Astrophysics Data System (ADS)

    Inabe, Y.; Hayashi, T.; Kawarada, K.; Miwa, H.; Ogiue, K.

    1982-04-01

    A 16,384 x 1-bit RAM with 25-ns access time, 600-mW power dissipation, and 33 sq mm chip size has been developed. Excellent speed-power performance with high packing density has been achieved by an oxide isolation technology in conjunction with novel ECL circuit techniques and IIL flip-flop memory cells, 980 sq microns (35 x 28 microns) in cell size. Development results have shown that IIL flip-flop memory cell is a trump card for assuring achievement of a high-performance large-capacity bipolar RAM, in the above 16K-bit/chip area.

  15. Breaking the current density threshold in spin-orbit-torque magnetic random access memory

    NASA Astrophysics Data System (ADS)

    Zhang, Yin; Yuan, H. Y.; Wang, X. S.; Wang, X. R.

    2018-04-01

    Spin-orbit-torque magnetic random access memory (SOT-MRAM) is a promising technology for the next generation of data storage devices. The main bottleneck of this technology is the high reversal current density threshold. This outstanding problem is now solved by a new strategy in which the magnitude of the driven current density is fixed while the current direction varies with time. The theoretical limit of minimal reversal current density is only a fraction (the Gilbert damping coefficient) of the threshold current density of the conventional strategy. The Euler-Lagrange equation for the fastest magnetization reversal path and the optimal current pulse is derived for an arbitrary magnetic cell and arbitrary spin-orbit torque. The theoretical limit of minimal reversal current density and current density for a GHz switching rate of the new reversal strategy for CoFeB/Ta SOT-MRAMs are, respectively, of the order of 105 A/cm 2 and 106 A/cm 2 far below 107 A/cm 2 and 108 A/cm 2 in the conventional strategy. Furthermore, no external magnetic field is needed for a deterministic reversal in the new strategy.

  16. Enhanced anti-tumour immunity requires the interplay between resident and circulating memory CD8+ T cells

    PubMed Central

    Enamorado, Michel; Iborra, Salvador; Priego, Elena; Cueto, Francisco J.; Quintana, Juan A.; Martínez-Cano, Sarai; Mejías-Pérez, Ernesto; Esteban, Mariano; Melero, Ignacio; Hidalgo, Andrés; Sancho, David

    2017-01-01

    The goal of successful anti-tumoural immunity is the development of long-term protective immunity to prevent relapse. Infiltration of tumours with CD8+ T cells with a resident memory (Trm) phenotype correlates with improved survival. However, the interplay of circulating CD8+ T cells and Trm cells remains poorly explored in tumour immunity. Using different vaccination strategies that fine-tune the generation of Trm cells or circulating memory T cells, here we show that, while both subsets are sufficient for anti-tumour immunity, the presence of Trm cells improves anti-tumour efficacy. Transferred central memory T cells (Tcm) generate Trm cells following viral infection or tumour challenge. Anti-PD-1 treatment promotes infiltration of transferred Tcm cells within tumours, improving anti-tumour immunity. Moreover, Batf3-dependent dendritic cells are essential for reactivation of circulating memory anti-tumour response. Our findings show the plasticity, collaboration and requirements for reactivation of memory CD8+ T cells subsets needed for optimal tumour vaccination and immunotherapy. PMID:28714465

  17. Long-term antibody memory induced by synthetic peptide vaccination is protective against Streptococcus pyogenes infection and is independent of memory T-cell help

    PubMed Central

    Pandey, Manisha; Wykes, Michelle N; Hartas, Jon; Good, Michael F; Batzloff, Michael R

    2013-01-01

    Streptococcus pyogenes (group A streptococcus; GAS) is a leading human pathogen associated with a diverse array of mucosal and systemic infections. Vaccination with J8, a conserved region synthetic peptide derived from the M-protein of GAS and containing only 12 amino acids from GAS, when conjugated to DT, has been shown to protect mice against a lethal GAS challenge. Protection has been previously shown to be antibody-mediated. J8 does not contain a dominant GAS-specific T-cell epitope. The current study examined long-term antibody memory and dissected the role of B and T-cells. Our results demonstrated that vaccination generates specific memory B-cells and long-lasting antibody responses. The memory B-cell response can be activated following boost with antigen or limiting numbers of whole bacteria. We further show that these memory responses protect against systemic infection with GAS. T-cell help is required for activation of memory B-cells but can be provided by naïve T-cells responding directly to GAS at the time of infection. Thus, individuals whose T-cells do not recognize the short synthetic peptide in the vaccine will be able to generate a protective and rapid memory antibody response at the time of infection. These studies significantly strengthen previous findings, which showed that protection by the J8-DT vaccine is antibody-mediated and suggest that in vaccine design for other organisms the source of T-cell help for antibody responses need not be limited to sequences from the organism itself. PMID:23401589

  18. Genome accessibility is widely preserved and locally modulated during mitosis

    PubMed Central

    Hsiung, Chris C.-S.; Morrissey, Christapher S.; Udugama, Maheshi; Frank, Christopher L.; Keller, Cheryl A.; Baek, Songjoon; Giardine, Belinda; Crawford, Gregory E.; Sung, Myong-Hee; Hardison, Ross C.

    2015-01-01

    Mitosis entails global alterations to chromosome structure and nuclear architecture, concomitant with transient silencing of transcription. How cells transmit transcriptional states through mitosis remains incompletely understood. While many nuclear factors dissociate from mitotic chromosomes, the observation that certain nuclear factors and chromatin features remain associated with individual loci during mitosis originated the hypothesis that such mitotically retained molecular signatures could provide transcriptional memory through mitosis. To understand the role of chromatin structure in mitotic memory, we performed the first genome-wide comparison of DNase I sensitivity of chromatin in mitosis and interphase, using a murine erythroblast model. Despite chromosome condensation during mitosis visible by microscopy, the landscape of chromatin accessibility at the macromolecular level is largely unaltered. However, mitotic chromatin accessibility is locally dynamic, with individual loci maintaining none, some, or all of their interphase accessibility. Mitotic reduction in accessibility occurs primarily within narrow, highly DNase hypersensitive sites that frequently coincide with transcription factor binding sites, whereas broader domains of moderate accessibility tend to be more stable. In mitosis, proximal promoters generally maintain their accessibility more strongly, whereas distal regulatory elements tend to lose accessibility. Large domains of DNA hypomethylation mark a subset of promoters that retain accessibility during mitosis and across many cell types in interphase. Erythroid transcription factor GATA1 exerts site-specific changes in interphase accessibility that are most pronounced at distal regulatory elements, but has little influence on mitotic accessibility. We conclude that features of open chromatin are remarkably stable through mitosis, but are modulated at the level of individual genes and regulatory elements. PMID:25373146

  19. Acute Infection with Epstein-Barr Virus Targets and Overwhelms the Peripheral Memory B-Cell Compartment with Resting, Latently Infected Cells

    PubMed Central

    Hochberg, Donna; Souza, Tatyana; Catalina, Michelle; Sullivan, John L.; Luzuriaga, Katherine; Thorley-Lawson, David A.

    2004-01-01

    In this paper we demonstrate that during acute infection with Epstein-Barr virus (EBV), the peripheral blood fills up with latently infected, resting memory B cells to the point where up to 50% of all the memory cells may carry EBV. Despite this massive invasion of the memory compartment, the virus remains tightly restricted to memory cells, such that, in one donor, fewer than 1 in 104 infected cells were found in the naive compartment. We conclude that, even during acute infection, EBV persistence is tightly regulated. This result confirms the prediction that during the early phase of infection, before cellular immunity is effective, there is nothing to prevent amplification of the viral cycle of infection, differentiation, and reactivation, causing the peripheral memory compartment to fill up with latently infected cells. Subsequently, there is a rapid decline in infected cells for the first few weeks that approximates the decay in the cytotoxic-T-cell responses to viral replicative antigens. This phase is followed by a slower decline that, even by 1 year, had not reached a steady state. Therefore, EBV may approach but never reach a stable equilibrium. PMID:15113901

  20. Abacavir-Reactive Memory T Cells Are Present in Drug Naïve Individuals

    PubMed Central

    Lucas, Andrew; Lucas, Michaela; Strhyn, Anette; Keane, Niamh M.; McKinnon, Elizabeth; Pavlos, Rebecca; Moran, Ellen M.; Meyer-Pannwitt, Viola; Gaudieri, Silvana; D’Orsogna, Lloyd; Kalams, Spyros; Ostrov, David A.; Buus, Søren; Peters, Bjoern; Mallal, Simon; Phillips, Elizabeth

    2015-01-01

    Background Fifty-five percent of individuals with HLA-B*57:01 exposed to the antiretroviral drug abacavir develop a hypersensitivity reaction (HSR) that has been attributed to naïve T-cell responses to neo-antigen generated by the drug. Immunologically confirmed abacavir HSR can manifest clinically in less than 48 hours following first exposure suggesting that, at least in some cases, abacavir HSR is due to re-stimulation of a pre-existing memory T-cell population rather than priming of a high frequency naïve T-cell population. Methods To determine whether a pre-existing abacavir reactive memory T-cell population contributes to early abacavir HSR symptoms, we studied the abacavir specific naïve or memory T-cell response using HLA-B*57:01 positive HSR patients or healthy controls using ELISpot assay, intra-cellular cytokine staining and tetramer labelling. Results Abacavir reactive CD8+ T-cell responses were detected in vitro in one hundred percent of abacavir unexposed HLA-B*57:01 positive healthy donors. Abacavir-specific CD8+ T cells from such donors can be expanded from sorted memory, and sorted naïve, CD8+ T cells without need for autologous CD4+ T cells. Conclusions We propose that these pre-existing abacavir-reactive memory CD8+ T-cell responses must have been primed by earlier exposure to another foreign antigen and that these T cells cross-react with an abacavir-HLA-B*57:01-endogenous peptide ligand complex, in keeping with the model of heterologous immunity proposed in transplant rejection. PMID:25674793

  1. Abacavir-reactive memory T cells are present in drug naïve individuals.

    PubMed

    Lucas, Andrew; Lucas, Michaela; Strhyn, Anette; Keane, Niamh M; McKinnon, Elizabeth; Pavlos, Rebecca; Moran, Ellen M; Meyer-Pannwitt, Viola; Gaudieri, Silvana; D'Orsogna, Lloyd; Kalams, Spyros; Ostrov, David A; Buus, Søren; Peters, Bjoern; Mallal, Simon; Phillips, Elizabeth

    2015-01-01

    Fifty-five percent of individuals with HLA-B*57:01 exposed to the antiretroviral drug abacavir develop a hypersensitivity reaction (HSR) that has been attributed to naïve T-cell responses to neo-antigen generated by the drug. Immunologically confirmed abacavir HSR can manifest clinically in less than 48 hours following first exposure suggesting that, at least in some cases, abacavir HSR is due to re-stimulation of a pre-existing memory T-cell population rather than priming of a high frequency naïve T-cell population. To determine whether a pre-existing abacavir reactive memory T-cell population contributes to early abacavir HSR symptoms, we studied the abacavir specific naïve or memory T-cell response using HLA-B*57:01 positive HSR patients or healthy controls using ELISpot assay, intra-cellular cytokine staining and tetramer labelling. Abacavir reactive CD8+ T-cell responses were detected in vitro in one hundred percent of abacavir unexposed HLA-B*57:01 positive healthy donors. Abacavir-specific CD8+ T cells from such donors can be expanded from sorted memory, and sorted naïve, CD8+ T cells without need for autologous CD4+ T cells. We propose that these pre-existing abacavir-reactive memory CD8+ T-cell responses must have been primed by earlier exposure to another foreign antigen and that these T cells cross-react with an abacavir-HLA-B*57:01-endogenous peptide ligand complex, in keeping with the model of heterologous immunity proposed in transplant rejection.

  2. Attentional priorities and access to short-term memory: parietal interactions.

    PubMed

    Gillebert, Céline R; Dyrholm, Mads; Vangkilde, Signe; Kyllingsbæk, Søren; Peeters, Ronald; Vandenberghe, Rik

    2012-09-01

    The intraparietal sulcus (IPS) has been implicated in selective attention as well as visual short-term memory (VSTM). To contrast mechanisms of target selection, distracter filtering, and access to VSTM, we combined behavioral testing, computational modeling and functional magnetic resonance imaging. Sixteen healthy subjects participated in a change detection task in which we manipulated both target and distracter set sizes. We directly compared the IPS response as a function of the number of targets and distracters in the display and in VSTM. When distracters were not present, the posterior and middle segments of IPS showed the predicted asymptotic activity increase with an increasing target set size. When distracters were added to a single target, activity also increased as predicted. However, the addition of distracters to multiple targets suppressed both middle and posterior IPS activities, thereby displaying a significant interaction between the two factors. The interaction between target and distracter set size in IPS could not be accounted for by a simple explanation in terms of number of items accessing VSTM. Instead, it led us to a model where items accessing VSTM receive differential weights depending on their behavioral relevance, and secondly, a suppressive effect originates during the selection phase when multiple targets and multiple distracters are simultaneously present. The reverse interaction between target and distracter set size was significant in the right temporoparietal junction (TPJ), where activity was highest for a single target compared to any other condition. Our study reconciles the role of middle IPS in attentional selection and biased competition with its role in VSTM access. Copyright © 2012 Elsevier Inc. All rights reserved.

  3. TLR4 ligands LPS and MPLA differentially regulate effector and memory CD8+ T cell differentiation

    PubMed Central

    Cui, Weiguo; Joshi, Nikhil S.; Liu, Ying; Meng, Hailong; Kleinstein, Steven H; Kaech, Susan M.

    2014-01-01

    Vaccines formulated with non-replicating pathogens require adjuvants to help bolster immunogenicity. The role of adjuvants in antibody production has been well studied, but how they influence memory CD8+ T cell differentiation remains poorly defined. Here we implemented dendritic cell (DC)-mediated immunization to study the effects of commonly used adjuvants, TLR ligands, on effector and memory CD8+ T cell differentiation in mice. Intriguingly, we found that the TLR4 ligand LPS was far more superior to other TLR ligands in generating memory CD8+ T cells upon immunization. LPS boosted clonal expansion similar to the other adjuvants, but fewer of the activated CD8+ T cells died during contraction, generating a larger pool of memory cells. Surprisingly, monophosphoryl lipid A (MPLA), another TLR4 ligand, enhanced clonal expansion of effector CD8+ T cells, but also promoted their terminal differentiation and contraction; thus, fewer memory CD8+ T cells formed and MPLA-primed animals were less protected against secondary infection compared to those primed with LPS. Furthermore, gene expression profiling revealed that LPS-primed effector cells displayed a stronger pro-memory gene expression signature, whereas the gene expression profile of MPLA-primed effector cells aligned closer with terminal effector CD8+ T cells. Lastly, we demonstrated that the LPS-TLR4-derived “pro-memory” signals were MyD88, but not Trif, dependent. This study reveals the influential power of adjuvants on the quantity and quality of CD8+ T cell memory, and that attention to adjuvant selection is crucial because boosting effector cell expansion may not always equate with more memory T cells or greater protection. PMID:24659688

  4. Analog Nonvolatile Computer Memory Circuits

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd

    2007-01-01

    In nonvolatile random-access memory (RAM) circuits of a proposed type, digital data would be stored in analog form in ferroelectric field-effect transistors (FFETs). This type of memory circuit would offer advantages over prior volatile and nonvolatile types: In a conventional complementary metal oxide/semiconductor static RAM, six transistors must be used to store one bit, and storage is volatile in that data are lost when power is turned off. In a conventional dynamic RAM, three transistors must be used to store one bit, and the stored bit must be refreshed every few milliseconds. In contrast, in a RAM according to the proposal, data would be retained when power was turned off, each memory cell would contain only two FFETs, and the cell could store multiple bits (the exact number of bits depending on the specific design). Conventional flash memory circuits afford nonvolatile storage, but they operate at reading and writing times of the order of thousands of conventional computer memory reading and writing times and, hence, are suitable for use only as off-line storage devices. In addition, flash memories cease to function after limited numbers of writing cycles. The proposed memory circuits would not be subject to either of these limitations. Prior developmental nonvolatile ferroelectric memories are limited to one bit per cell, whereas, as stated above, the proposed memories would not be so limited. The design of a memory circuit according to the proposal must reflect the fact that FFET storage is only partly nonvolatile, in that the signal stored in an FFET decays gradually over time. (Retention times of some advanced FFETs exceed ten years.) Instead of storing a single bit of data as either a positively or negatively saturated state in a ferroelectric device, each memory cell according to the proposal would store two values. The two FFETs in each cell would be denoted the storage FFET and the control FFET. The storage FFET would store an analog signal value

  5. Ablation of SLP-76 signaling after T cell priming generates memory CD4 T cells impaired in steady-state and cytokine-driven homeostasis.

    PubMed

    Bushar, Nicholas D; Corbo, Evann; Schmidt, Michelle; Maltzman, Jonathan S; Farber, Donna L

    2010-01-12

    The intracellular signaling mechanisms regulating the generation and long-term persistence of memory T cells in vivo remain unclear. In this study, we used mouse models with conditional deletion of the key T cell receptor (TCR)-coupled adaptor molecule SH2-domain-containing phosphoprotein of 76 kDa (SLP-76), to analyze signaling mechanisms for memory CD4 T cell generation, maintenance, and homeostasis. We found that ablation of SLP-76 expression after T cell priming did not inhibit generation of phenotypic effector or memory CD4 T cells; however, the resultant SLP-76-deficient memory CD4 T cells could not produce recall cytokines in response to TCR-mediated stimulation and showed decreased persistence in vivo. In addition, SLP-76-deficient memory CD4 T cells exhibited reduced steady-state homeostasis and were impaired in their ability to homeostatically expand in vivo in response to the gamma(c) cytokine IL-7, despite intact proximal signaling through the IL-7R-coupled JAK3/STAT5 pathway. Direct in vivo deletion of SLP-76 in polyclonal memory CD4 T cells likewise led to impaired steady-state homeostasis as well as impaired homeostatic responses to IL-7. Our findings demonstrate a dominant role for SLP-76-dependent TCR signals in regulating turnover and perpetuation of memory CD4 T cells and their responses to homeostatic cytokines, with implications for the selective survival of memory CD4 T cells following pathogen exposure, vaccination, and aging.

  6. Autophagy is essential for effector CD8 T cell survival and memory formation

    PubMed Central

    Xu, Xiaojin; Araki, Koichi; Li, Shuzhao; Han, Jin-Hwan; Ye, Lilin; Tan, Wendy G.; Konieczny, Bogumila T.; Bruinsma, Monique W.; Martinez, Jennifer; Pearce, Erika L; Green, Douglas R.; Jones, Dean P.; Virgin, Herbert W.; Ahmed, Rafi

    2014-01-01

    The importance of autophagy in memory CD8 T cell differentiation in vivo is not well defined. We show here that autophagy is dynamically regulated in virus-specific CD8 T cells during acute lymphocytic choriomeningitis virus infection. Autophagy decreased in activated proliferating T cells, and was then upregulated at the peak of the effector T cell response. Consistent with this model, deletion of the key autophagy genes Atg7 or Atg5 in virus-specific CD8 T cells had minimal effect on generating effector cells but greatly enhanced their death during the contraction phase resulting in compromised memory formation. These findings provide insight into when autophagy is needed during effector and memory T cell differentiation in vivo and also warrant a re-examination of our current concepts about the relationship between T cell activation and autophagy. PMID:25362489

  7. Response of the Ubiquitin-Proteasome System to Memory Retrieval After Extended-Access Cocaine or Saline Self-Administration.

    PubMed

    Werner, Craig T; Milovanovic, Mike; Christian, Daniel T; Loweth, Jessica A; Wolf, Marina E

    2015-12-01

    The ubiquitin-proteasome system (UPS) has been implicated in the retrieval-induced destabilization of cocaine- and fear-related memories in Pavlovian paradigms. However, nothing is known about its role in memory retrieval after self-administration of cocaine, an operant paradigm, or how the length of withdrawal from cocaine may influence retrieval mechanisms. Here, we examined UPS activity after an extended-access cocaine self-administration regimen that leads to withdrawal-dependent incubation of cue-induced cocaine craving. Controls self-administered saline. In initial experiments, memory retrieval was elicited via a cue-induced seeking/retrieval test on withdrawal day (WD) 50-60, when craving has incubated. We found that retrieval of cocaine- and saline-associated memories produced similar increases in polyubiquitinated proteins in the nucleus accumbens (NAc), compared with rats that did not undergo a seeking/retrieval test. Measures of proteasome catalytic activity confirmed similar activation of the UPS after retrieval of saline and cocaine memories. However, in a subsequent experiment in which testing was conducted on WD1, proteasome activity in the NAc was greater after retrieval of cocaine memory than saline memory. Analysis of other brain regions confirmed that effects of cocaine memory retrieval on proteasome activity, relative to saline memory retrieval, depend on withdrawal time. These results, combined with prior studies, suggest that the relationship between UPS activity and memory retrieval depends on training paradigm, brain region, and time elapsed between training and retrieval. The observation that mechanisms underlying cocaine memory retrieval change depending on the age of the memory has implications for development of memory destabilization therapies for cue-induced relapse in cocaine addicts.

  8. Optical mass memories

    NASA Technical Reports Server (NTRS)

    Bailey, G. A.

    1976-01-01

    Optical and magnetic variants in the design of trillion-bit read/write memories are compared and tabulated. Components and materials suitable for a random access read/write nonmoving memory system are examined, with preference given to holography and photoplastic materials. Advantages and deficiencies of photoplastics are reviewed. Holographic page composer design, essential features of an optical memory with no moving parts, fiche-oriented random access memory design, and materials suitable for an efficient photoplastic fiche are considered. The optical variants offer advantages in lower volume and weight at data transfer rates near 1 Mbit/sec, but power drain is of the same order as for the magnetic variants (tape memory, disk memory). The mechanical properties of photoplastic film materials still leave much to be desired.

  9. Chronic Dry Eye Disease is Principally Mediated by Effector Memory Th17 Cells

    PubMed Central

    Chen, Yihe; Chauhan, Sunil K.; Lee, Hyun Soo; Saban, Daniel R.; Dana, Reza

    2013-01-01

    Recent experimental and clinical data suggest that there is a link between dry eye disease (DED) and T cell-mediated immunity. However, whether these immune responses are a consequence or cause of ocular surface inflammation remains to be determined. Thus far, only models of acute DED have been used to derive experimental data. This is in contrast to clinical DED which usually presents as a chronic disease. In the present study, using a murine model of chronic DED, it was established that the chronic phase of the disease is accompanied by Th17 responses at the ocular surface, and that a significant memory T cell population can be recovered from chronic DED. This memory response is predominantly mediated by Th17 cells. Moreover, adoptive transfer of this memory T cell population was shown to induce more severe and rapidly progressing DED than did the adoptive transfer of its effector or naïve counterparts. Not only do these results clearly demonstrate that effector memory Th17 cells are primarily responsible for maintaining the chronic and relapsing course of DED, but they also highlight a potentially novel therapeutic strategy for targeting memory immune responses in patients with DED. PMID:23571503

  10. Parity of access to memory services in London for the BAME population: a cross-sectional study.

    PubMed

    Cook, Laura; Mukherjee, Sujoy; McLachlan, Tim; Shah, Rajendra; Livingston, Gill; Mukadam, Naaheed

    2018-03-12

    To investigate whether referrals to memory services in London reflect the ethnic diversity of the population. Memory service data including referral rates of BAME was collected from London Clinical Commissioning Groups (CCGs). The expected percentage of BAME referrals using census data was compared against White British population percentages using the chi squared test. We found that within 13,166 referrals to memory services across London, the percentage of people from BAME groups was higher than would be expected (20.3 versus 19.4%; χ 2 = 39.203, d.f. = 1, p < 0.0001) indicating that generally people from BAME groups are accessing memory services. Seventy-nine percent of memory services had more referrals than expected or no significant difference for all BAME groups. When there were fewer referrals then expected, the largest difference in percentage for an individual ethnic group was 3.3%. Results are encouraging and may indicate a significant improvement in awareness of dementia and help seeking behaviour among BAME populations. Prevalence of dementia in some ethnic groups may be higher so these numbers could still indicate under-referral. Due to the data available we were unable to compare disease severity or diagnosis type.

  11. Entorhinal Cortical Ocean Cells Encode Specific Contexts and Drive Context-Specific Fear Memory

    PubMed Central

    Kitamura, Takashi; Sun, Chen; Martin, Jared; Kitch, Lacey J; Schnitzer, Mark J; Tonegawa, Susumu

    2016-01-01

    Summary Forming distinct representations and memories of multiple contexts and episodes is thought to be a crucial function of the hippocampal-entorhinal cortical network. The hippocampal dentate gyrus (DG) and CA3 are known to contribute to these functions but the role of the entorhinal cortex (EC) is poorly understood. Here, we show that Ocean cells, excitatory stellate neurons in the medial EC layer II projecting into DG and CA3, rapidly form a distinct representation of a novel context and drive context-specific activation of downstream CA3 cells as well as context-specific fear memory. In contrast, Island cells, excitatory pyramidal neurons in the medial EC layer II projecting into CA1, are indifferent to context-specific encoding or memory. On the other hand, Ocean cells are dispensable for temporal association learning, for which Island cells are crucial. Together, the two excitatory medial EC layer II inputs to the hippocampus have complementary roles in episodic memory. PMID:26402611

  12. Market access pathways for cell therapies in France

    PubMed Central

    Rémuzat, Cécile; Toumi, Mondher; Jørgensen, Jesper; Kefalas, Panos

    2015-01-01

    Introduction and objective Cell therapies can be classified into three main categories of products: advanced therapy medicinal products (ATMPs), ATMPs prepared on a non-routine basis (hospital exemptions), and minimally manipulated cells. Despite the benefits that cell therapies can bring to patients, they are subject to complex pathways to reach the market in France. The objective of this study was to identify and describe routes to market access for cell therapies in France and how these vary by regulatory status. Methodology The research was structured following five main steps: (1) identification of the French regulatory framework for cell therapies; (2) identification of the health products categorised as cell therapies in France; (3) mapping of the market access pathways per category of cell therapy; (4) validation of findings by interviewing experts; and (5) development of a roadmap summarising market access pathways for cell therapies in France. The secondary research methodology included a comprehensive literature review conducted on websites of French public health institutions, complemented by a research for peer-reviewed articles, abstracts, and grey literature. Results Different market access pathways are possible depending on the cell therapy category. For ATMPs, market access pathways depend on the licensing status of the therapy. Licensed ATMPs followed the same market access pathways as ‘conventional’ pharmaceuticals, whereas not-yet-licensed ATMPs can be funded via a specific financial allowance under the framework of a Temporary Authorisation for Use procedure or various research programmes. For new ATMPs that are associated with a separate medical device (not considered as ‘combined ATMPs’) or associated with a new medical procedure, additional pathways will apply for the medical device and/or medical procedure to be reimbursed in the ambulatory settings or at hospital. The most likely funding option for ATMPs prepared on a non

  13. Market access pathways for cell therapies in France.

    PubMed

    Rémuzat, Cécile; Toumi, Mondher; Jørgensen, Jesper; Kefalas, Panos

    2015-01-01

    Cell therapies can be classified into three main categories of products: advanced therapy medicinal products (ATMPs), ATMPs prepared on a non-routine basis (hospital exemptions), and minimally manipulated cells. Despite the benefits that cell therapies can bring to patients, they are subject to complex pathways to reach the market in France. The objective of this study was to identify and describe routes to market access for cell therapies in France and how these vary by regulatory status. The research was structured following five main steps: (1) identification of the French regulatory framework for cell therapies; (2) identification of the health products categorised as cell therapies in France; (3) mapping of the market access pathways per category of cell therapy; (4) validation of findings by interviewing experts; and (5) development of a roadmap summarising market access pathways for cell therapies in France. The secondary research methodology included a comprehensive literature review conducted on websites of French public health institutions, complemented by a research for peer-reviewed articles, abstracts, and grey literature. Different market access pathways are possible depending on the cell therapy category. For ATMPs, market access pathways depend on the licensing status of the therapy. Licensed ATMPs followed the same market access pathways as 'conventional' pharmaceuticals, whereas not-yet-licensed ATMPs can be funded via a specific financial allowance under the framework of a Temporary Authorisation for Use procedure or various research programmes. For new ATMPs that are associated with a separate medical device (not considered as 'combined ATMPs') or associated with a new medical procedure, additional pathways will apply for the medical device and/or medical procedure to be reimbursed in the ambulatory settings or at hospital. The most likely funding option for ATMPs prepared on a non-routine basis is outside the diagnosis-related group (DRG

  14. CD73 expression identifies a subset of IgM+ antigen-experienced cells with memory attributes that is T cell and CD40 signalling dependent.

    PubMed

    D'Souza, Lucas; Gupta, Sneh Lata; Bal, Vineeta; Rath, Satyajit; George, Anna

    2017-12-01

    B-cell memory was long characterized as isotype-switched, somatically mutated and germinal centre (GC)-derived. However, it is now clear that the memory pool is a complex mixture that includes unswitched and unmutated cells. Further, expression of CD73, CD80 and CD273 has allowed the categorization of B-cell memory into multiple subsets, with combinatorial expression of the markers increasing with GC progression, isotype-switching and acquisition of somatic mutations. We have extended these findings to determine whether these markers can be used to identify IgM memory phenotypically as arising from T-dependent versus T-independent responses. We report that CD73 expression identifies a subset of antigen-experienced IgM + cells that share attributes of functional B-cell memory. This subset is reduced in the spleens of T-cell-deficient and CD40-deficient mice and in mixed marrow chimeras made with mutant and wild-type marrow, the proportion of CD73 + IgM memory is restored in the T-cell-deficient donor compartment but not in the CD40-deficient donor compartment, indicating that CD40 ligation is involved in its generation. We also report that CD40 signalling supports optimal expression of CD73 on splenic T cells and age-associated B cells (ABCs), but not on other immune cells such as neutrophils, marginal zone B cells, peritoneal cavity B-1 B cells and regulatory T and B cells. Our data indicate that in addition to promoting GC-associated memory generation during B-cell differentiation, CD40-signalling can influence the composition of the unswitched memory B-cell pool. They also raise the possibility that a fraction of ABCs may represent T-cell-dependent IgM memory. © 2017 John Wiley & Sons Ltd.

  15. A Genome-wide Regulatory Network Identifies Key Transcription Factors for Memory CD8+ T Cell Development

    PubMed Central

    Hu, Guangan; Chen, Jianzhu

    2014-01-01

    Memory CD8+ T cell development is defined by the expression of a specific set of memory signature genes (MSGs). Despite recent progress, many components of the transcriptional control of memory CD8+ T cell development are still unknown. To identify transcription factors (TFs) and their interactions in memory CD8+ T cell development, we construct a genome-wide regulatory network and apply it to identify key TFs that regulate MSGs. Most of the known TFs in memory CD8+ T cell development are rediscovered and about a dozen new TFs are also identified. Sox4, Bhlhe40, Bach2 and Runx2 are experimentally verified and Bach2 is further shown to promote both development and recall proliferation of memory CD8+ T cells through Prdm1 and Id3. Gene perturbation study identifies the mode of interactions among the TFs with Sox4 as a hub. The identified TFs and insights into their interactions should facilitate further dissection of molecular mechanisms underlying memory CD8+ T cell development. PMID:24335726

  16. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    NASA Astrophysics Data System (ADS)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  17. Optical memories in digital computing

    NASA Technical Reports Server (NTRS)

    Alford, C. O.; Gaylord, T. K.

    1979-01-01

    High capacity optical memories with relatively-high data-transfer rate and multiport simultaneous access capability may serve as basis for new computer architectures. Several computer structures that might profitably use memories are: a) simultaneous record-access system, b) simultaneously-shared memory computer system, and c) parallel digital processing structure.

  18. Adoptive Transfer of Interleukin-21-stimulated Human CD8+ T Memory Stem Cells Efficiently Inhibits Tumor Growth.

    PubMed

    Chen, Yingshi; Yu, Fei; Jiang, Yawen; Chen, Jingliang; Wu, Kang; Chen, Xinxin; Lin, Yingtong; Zhang, Hui; Li, Linghua; Zhang, Yiwen

    2018-05-01

    Memory stem T (TSCM) cells, a new subset of memory T cells with self-renewal and multipotent capacities, are considered as a promising candidates for adoptive cellular therapy. However, the low proportion of human TSCM cells in total CD8 T cells limits their utility. Here, we aimed to induce human CD8 TSCM cells by stimulating naive precursors with interleukin-21 (IL-21). We found that IL-21 promoted the generation of TSCM cells, described as CD45RACD45ROCD62LCCR7CD122CD95 cells, with a higher efficiency than that observed with other common γ-chain cytokines. Upon adoptive transfer into an A375 melanoma mouse model, these lymphocytes mediated much stronger antitumor responses. Further mechanistic analysis revealed that IL-21 activated the Janus kinase signal transducer and activator of transcription 3 pathway by upregulating signal transducer and activator of transcription 3 phosphorylation and consequently promoting the expression of T-bet and suppressor of cytokine signaling 1, but decreasing the expression of eomesodermin and GATA binding protein 3. Our findings provide novel insights into the generation of human CD8 TSCM cells and reveal a novel potential clinical application of IL-21.This is an open-access article distributed under the terms of the Creative Commons Attribution-Non Commercial-No Derivatives License 4.0 (CCBY-NC-ND), where it is permissible to download and share the work provided it is properly cited. The work cannot be changed in any way or used commercially without permission from the journal. http://creativecommons.org/licenses/by-nc-nd/4.0/.

  19. Carbon nanomaterials for non-volatile memories

    NASA Astrophysics Data System (ADS)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  20. Modulation of Autoimmune T-Cell Memory by Stem Cell Educator Therapy: Phase 1/2 Clinical Trial.

    PubMed

    Delgado, Elias; Perez-Basterrechea, Marcos; Suarez-Alvarez, Beatriz; Zhou, Huimin; Revuelta, Eva Martinez; Garcia-Gala, Jose Maria; Perez, Silvia; Alvarez-Viejo, Maria; Menendez, Edelmiro; Lopez-Larrea, Carlos; Tang, Ruifeng; Zhu, Zhenlong; Hu, Wei; Moss, Thomas; Guindi, Edward; Otero, Jesus; Zhao, Yong

    2015-12-01

    Type 1 diabetes (T1D) is a T cell-mediated autoimmune disease that causes a deficit of pancreatic islet β cells. The complexities of overcoming autoimmunity in T1D have contributed to the challenges the research community faces when devising successful treatments with conventional immune therapies. Overcoming autoimmune T cell memory represents one of the key hurdles. In this open-label, phase 1/phase 2 study, Caucasian T1D patients (N = 15) received two treatments with the Stem Cell Educator (SCE) therapy, an approach that uses human multipotent cord blood-derived multipotent stem cells (CB-SCs). SCE therapy involves a closed-loop system that briefly treats the patient's lymphocytes with CB-SCs in vitro and returns the "educated" lymphocytes (but not the CB-SCs) into the patient's blood circulation. This study is registered with ClinicalTrials.gov, NCT01350219. Clinical data demonstrated that SCE therapy was well tolerated in all subjects. The percentage of naïve CD4(+) T cells was significantly increased at 26 weeks and maintained through the final follow-up at 56 weeks. The percentage of CD4(+) central memory T cells (TCM) was markedly and constantly increased at 18 weeks. Both CD4(+) effector memory T cells (TEM) and CD8(+) TEM cells were considerably decreased at 18 weeks and 26 weeks respectively. Additional clinical data demonstrated the modulation of C-C chemokine receptor 7 (CCR7) expressions on naïve T, TCM, and TEM cells. Following two treatments with SCE therapy, islet β-cell function was improved and maintained in individuals with residual β-cell function, but not in those without residual β-cell function. Current clinical data demonstrated the safety and efficacy of SCE therapy in immune modulation. SCE therapy provides lasting reversal of autoimmune memory that could improve islet β-cell function in Caucasian subjects. Obra Social "La Caixa", Instituto de Salud Carlos III, Red de Investigación Renal, European Union FEDER Funds, Principado de

  1. Apoptosis Susceptibility Prolongs the Lack of Memory B Cells in Acute Leukemic Patients After Allogeneic Hematopoietic Stem Cell Transplantation.

    PubMed

    Mensen, Angela; Oh, Youngseong; Becker, Sonya C; Hemmati, Philipp G; Jehn, Christian; Westermann, Jörg; Szyska, Martin; Göldner, Henning; Dörken, Bernd; Scheibenbogen, Carmen; Arnold, Renate; Na, Il-Kang

    2015-11-01

    Long-term survival after allogeneic hematopoietic stem cell transplantation requires intact immunosurveillance, which is hampered by lymphoid organ damage associated with conditioning therapy, graft-versus-host disease, and immunosuppression. Our study aimed to identify the mechanisms contributing to sustained low memorycell numbers after transplantation. Peripheral B and T cell subset recovery and functional marker expression were investigated in 35 acute leukemic patients up to 1 year after transplantation. Apoptosis of B cells after CD40/TLR-9, CD40/BCR, and CD40/BCR/TLR-9-dependent stimulation and drug efflux capacity were analyzed. One half of the patients suffered from infections after day 180. All patients had strongly diminished CD27(+) memorycells despite already normalized total B cell numbers and fully recovered CD27(-)IgD(-) memorycells, putatively of extra-follicular origin. Circulating memory follicular helper T cells were reduced in the majority of patients as well. Naïve B cells exhibited a decreased expression of CXCR5, which mediates follicular B cell entry. Additionally, a lower HLA-DR expression was found on naïve B cells, impairing antigen presentation. Upon CD40/TLR-9-dependent activation, B cells underwent significantly increased apoptosis paralleled by an aberrant up-regulation of Fas-L on activated T cells and Fas on resting B cells. Significantly increased B cell apoptosis was also observed after CD40/BCR and CD40/BCR/TLR-9-dependent activation. Drug efflux capacity of naïve B cells was diminished in cyclosporin A-treated patients, additionally contributing to an apoptosis-prone phenotype. We conclude that B cell survival and migration and T cell communication defects are contributing candidates for an impaired germinal center formation of memorycells after allogeneic hematopoietic stem cell transplantation. Follow-up studies should evaluate effectiveness of revaccinations on the cellular level and should

  2. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    PubMed

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  3. Maintenance of memory-type pathogenic Th2 cells in the pathophysiology of chronic airway inflammation.

    PubMed

    Hirahara, Kiyoshi; Shinoda, Kenta; Endo, Yusuke; Ichikawa, Tomomi; Nakayama, Toshinori

    2018-01-01

    Immunological memory is critical for long-standing protection against microorganisms; however, certain antigen-specific memory CD4 + T helper (Th) cells drive immune-related pathology, including chronic allergic inflammation such as asthma. The IL-5-producing memory-type Tpath2 subset is important for the pathogenesis of chronic allergic inflammation. This memory-type pathogenic Th2 cell population (Tpath2) can be detected in various allergic inflammatory lesions. However, how these pathogenic populations are maintained at the local inflammatory site has remained unclear. We performed a series of experiments using mice model for chronic airway inflammation. We also investigated the human samples from patients with eosinophilic chronic rhinosinusitis. We recently reported that inducible bronchus-associated lymphoid tissue (iBALT) was shaped during chronic inflammation in the lung. We also found that memory-type Tpath2 cells are maintained within iBALT. The maintenance of the Tpath2 cells within iBALT is supported by specific cell subpopulations within the lung. Furthermore, ectopic lymphoid structures consisting of memory CD4 + T cells were found in nasal polyps of eosinophilic chronic rhinosinusitis patients, indicating that the persistence of inflammation is controlled by these structures. Thus, the cell components that organize iBALT formation may be therapeutic targets for chronic allergic airway inflammation.

  4. A multilevel nonvolatile magnetoelectric memory

    NASA Astrophysics Data System (ADS)

    Shen, Jianxin; Cong, Junzhuang; Shang, Dashan; Chai, Yisheng; Shen, Shipeng; Zhai, Kun; Sun, Young

    2016-09-01

    The coexistence and coupling between magnetization and electric polarization in multiferroic materials provide extra degrees of freedom for creating next-generation memory devices. A variety of concepts of multiferroic or magnetoelectric memories have been proposed and explored in the past decade. Here we propose a new principle to realize a multilevel nonvolatile memory based on the multiple states of the magnetoelectric coefficient (α) of multiferroics. Because the states of α depends on the relative orientation between magnetization and polarization, one can reach different levels of α by controlling the ratio of up and down ferroelectric domains with external electric fields. Our experiments in a device made of the PMN-PT/Terfenol-D multiferroic heterostructure confirm that the states of α can be well controlled between positive and negative by applying selective electric fields. Consequently, two-level, four-level, and eight-level nonvolatile memory devices are demonstrated at room temperature. This kind of multilevel magnetoelectric memory retains all the advantages of ferroelectric random access memory but overcomes the drawback of destructive reading of polarization. In contrast, the reading of α is nondestructive and highly efficient in a parallel way, with an independent reading coil shared by all the memory cells.

  5. Electrical Evaluation of RCA MWS5001D Random Access Memory, Volume 5, Appendix D

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    The electrical characterization and qualification test results are presented for the RCA MWS 5001D random access memory. The tests included functional tests, AC and DC parametric tests, AC parametric worst-case pattern selection test, determination of worst-case transition for setup and hold times, and a series of schmoo plots. Average input high current, worst case input high current, output low current, and data setup time are some of the results presented.

  6. Electrical Evaluation of RCA MWS5001D Random Access Memory, Volume 4, Appendix C

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    The electrical characterization and qualification test results are presented for the RCA MWS5001D random access memory. The tests included functional tests, AC and DC parametric tests, AC parametric worst-case pattern selection test, determination of worst-case transition for setup and hold times, and a series of schmoo plots. Statistical analysis data is supplied along with write pulse width, read cycle time, write cycle time, and chip enable time data.

  7. Accessing long-term memory representations during visual change detection.

    PubMed

    Beck, Melissa R; van Lamsweerde, Amanda E

    2011-04-01

    In visual change detection tasks, providing a cue to the change location concurrent with the test image (post-cue) can improve performance, suggesting that, without a cue, not all encoded representations are automatically accessed. Our studies examined the possibility that post-cues can encourage the retrieval of representations stored in long-term memory (LTM). Participants detected changes in images composed of familiar objects. Performance was better when the cue directed attention to the post-change object. Supporting the role of LTM in the cue effect, the effect was similar regardless of whether the cue was presented during the inter-stimulus interval, concurrent with the onset of the test image, or after the onset of the test image. Furthermore, the post-cue effect and LTM performance were similarly influenced by encoding time. These findings demonstrate that monitoring the visual world for changes does not automatically engage LTM retrieval.

  8. ZBTB32 restricts the duration of memory B cell recall responses1

    PubMed Central

    Jash, Arijita; Wang, Yinan; Weisel, Florian J.; Scharer, Christopher D.; Boss, Jeremy M.; Shlomchik, Mark J.; Bhattacharya, Deepta

    2016-01-01

    Memory B cell responses are more rapid and of greater magnitude than are primary antibody responses. The mechanisms by which these secondary responses are eventually attenuated remain unknown. We demonstrate that the transcription factor ZBTB32 limits the rapidity and duration of antibody recall responses. ZBTB32 is highly expressed by mouse and human memory B cells, but not by their naïve counterparts. Zbtb32−/− mice mount normal primary antibody responses to T-dependent antigens. However, Zbtb32−/− memory B cell-mediated recall responses occur more rapidly and persist longer than do control responses. Microarray analyses demonstrate that Zbtb32−/− secondary bone marrow plasma cells display elevated expression of genes that promote cell cycle progression and mitochondrial function relative to wild-type controls. BrdU labeling and adoptive transfer experiments confirm more rapid production and a cell-intrinsic survival advantage of Zbtb32−/− secondary plasma cells relative to wild-type counterparts. ZBTB32 is therefore a novel negative regulator of antibody recall responses. PMID:27357154

  9. Generation and application of human induced-stem cell memory T (iTSCM ) cells for adoptive immunotherapy.

    PubMed

    Kondo, Taisuke; Imura, Yuuki; Chikuma, Shunsuke; Hibino, Sana; Omata-Mise, Setsuko; Ando, Makoto; Akanuma, Takashi; Iizuka, Mana; Sakai, Ryota; Morita, Rimpei; Yoshimura, Akihiko

    2018-05-23

    Adoptive T cell therapy is an effective strategy for cancer immunotherapy. However, infused T cells frequently become functionally exhausted, and consequently offer a poor prognosis after transplantation into patients. Adoptive transfer of tumor antigen-specific stem cell memory T (T SCM ) cells is expected to overcome this shortcoming since T SCM cells are close to naïve T cells, but are also highly proliferative, long-lived, and produce a large number of effector T cells in response to antigen stimulation. We previously reported that activated effector T cells can be converted into T SCM -like cells (iT SCM ) by co-culturing with OP9 cells expressing Notch ligand, Delta-like 1 (OP9-hDLL1). Here we show the methodological parameters of human CD8 + iT SCM cell generation and their application to adoptive cancer immunotherapy. Regardless of the stimulation by anti-CD3/CD28 antibodies or by antigen-presenting cells, human iT SCM cells were more efficiently induced from central memory type T cells than from effector memory T cells. During the induction phase by co-culture with OP9-hDLL1 cells, IL-7 and IL-15 (but not IL-2 or IL-21) could efficiently generate iT SCM cells. Epstein Barr (EB) virus-specific iT SCM cells showed much stronger antitumor potentials than conventionally activated T cells did in humanized EB virus transformed-tumor model mice. Thus, adoptive T cell therapy with iT SCM offers a promising therapeutic strategy for cancer immunotherapy. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  10. Curtailed T-cell activation curbs effector differentiation and generates CD8+ T cells with a naturally-occurring memory stem cell phenotype.

    PubMed

    Zanon, Veronica; Pilipow, Karolina; Scamardella, Eloise; De Paoli, Federica; De Simone, Gabriele; Price, David A; Martinez Usatorre, Amaia; Romero, Pedro; Mavilio, Domenico; Roberto, Alessandra; Lugli, Enrico

    2017-09-01

    Human T memory stem (T SCM ) cells with superior persistence capacity and effector functions are emerging as important players in the maintenance of long-lived T-cell memory and are thus considered an attractive population to be used in adoptive transfer-based immunotherapy of cancer. However, the molecular signals regulating their generation remain poorly defined. Here we show that curtailed T-cell receptor stimulation curbs human effector CD8 + T-cell differentiation and allows the generation of CD45RO - CD45RA + CCR7 + CD27 + CD95 + -phenotype cells from highly purified naïve T-cell precursors, resembling naturally-occurring human T SCM . These cells proliferate extensively in vitro and in vivo, express low amounts of effector-associated genes and transcription factors and undergo considerable self-renewal in response to IL-15 while retaining effector differentiation potential. Such a phenotype is associated with a lower number of mitochondria compared to highly-activated effector T cells committed to terminal differentiation. These results shed light on the molecular signals that are required to generate long-lived memory T cells with potential application in adoptive cell transfer immunotherapy. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co.KGaA, Weinheim.

  11. Genome accessibility is widely preserved and locally modulated during mitosis.

    PubMed

    Hsiung, Chris C-S; Morrissey, Christapher S; Udugama, Maheshi; Frank, Christopher L; Keller, Cheryl A; Baek, Songjoon; Giardine, Belinda; Crawford, Gregory E; Sung, Myong-Hee; Hardison, Ross C; Blobel, Gerd A

    2015-02-01

    Mitosis entails global alterations to chromosome structure and nuclear architecture, concomitant with transient silencing of transcription. How cells transmit transcriptional states through mitosis remains incompletely understood. While many nuclear factors dissociate from mitotic chromosomes, the observation that certain nuclear factors and chromatin features remain associated with individual loci during mitosis originated the hypothesis that such mitotically retained molecular signatures could provide transcriptional memory through mitosis. To understand the role of chromatin structure in mitotic memory, we performed the first genome-wide comparison of DNase I sensitivity of chromatin in mitosis and interphase, using a murine erythroblast model. Despite chromosome condensation during mitosis visible by microscopy, the landscape of chromatin accessibility at the macromolecular level is largely unaltered. However, mitotic chromatin accessibility is locally dynamic, with individual loci maintaining none, some, or all of their interphase accessibility. Mitotic reduction in accessibility occurs primarily within narrow, highly DNase hypersensitive sites that frequently coincide with transcription factor binding sites, whereas broader domains of moderate accessibility tend to be more stable. In mitosis, proximal promoters generally maintain their accessibility more strongly, whereas distal regulatory elements tend to lose accessibility. Large domains of DNA hypomethylation mark a subset of promoters that retain accessibility during mitosis and across many cell types in interphase. Erythroid transcription factor GATA1 exerts site-specific changes in interphase accessibility that are most pronounced at distal regulatory elements, but has little influence on mitotic accessibility. We conclude that features of open chromatin are remarkably stable through mitosis, but are modulated at the level of individual genes and regulatory elements. © 2015 Hsiung et al.; Published by

  12. Bcl-2 Allows Effector and Memory CD8+ T Cells To Tolerate Higher Expression of Bim

    PubMed Central

    Kurtulus, Sema; Tripathi, Pulak; Moreno-Fernandez, Maria E.; Sholl, Allyson; Katz, Jonathan D.; Grimes, H. Leighton; Hildeman, David A.

    2014-01-01

    As acute infections resolve, most effector CD8+ T cells die, whereas some persist and become memory T cells. Recent work showed that subsets of effector CD8+ T cells, identified by reciprocal expression of killer cell lectin-like receptor G1 (KLRG1) and CD127, have different lifespans. Similar to previous reports, we found that effector CD8+ T cells reported to have a longer lifespan (i.e., KLRG1lowCD127high) have increased levels of Bcl-2 compared with their shorter-lived KLRG1highCD127low counterparts. Surprisingly, we found that these effector KLRG1lowCD127high CD8+ T cells also had increased levels of Bim compared with KLRG1highCD127low cells. Similar effects were observed in memory cells, in which CD8+ central memory T cells expressed higher levels of Bim and Bcl-2 than did CD8+ effector memory T cells. Using both pharmacologic and genetic approaches, we found that survival of both subsets of effector and memory CD8+ T cells required Bcl-2 to combat the proapoptotic activity of Bim. Interestingly, inhibition or absence of Bcl-2 led to significantly decreased expression of Bim in surviving effector and memory T cells. In addition, manipulation of Bcl-2 levels by IL-7 or IL-15 also affected expression of Bim in effector CD8+ T cells. Finally, we found that Bim levels were significantly increased in effector CD8+ T cells lacking Bax and Bak. Together, these data indicate that cells having the highest levels of Bim are selected against during contraction of the response and that Bcl-2 determines the level of Bim that effector and memory T cells can tolerate. PMID:21451108

  13. Simian immunodeficiency virus infection induces severe loss of intestinal central memory T cells which impairs CD4+ T-cell restoration during antiretroviral therapy.

    PubMed

    Verhoeven, D; Sankaran, S; Dandekar, S

    2007-08-01

    Simian immunodeficiency virus (SIV) infection leads to severe loss of intestinal CD4(+) T cells and, as compared to peripheral blood, restoration of these cells is slow during antiretroviral therapy (ART). Mechanisms for this delay have not been examined in context of which specific CD4(+) memory subsets or lost and fail to regenerate during ART. Fifteen rhesus macaques were infected with SIV, five of which received ART (FTC/PMPA) for 30 weeks. Viral loads were measured by real-time PCR. Flow cytometric analysis determined changes in T-cell subsets and their proliferative state. Changes in proliferative CD4(+) memory subsets during infection accelerated their depletion. This reduced the central memory CD4(+) T-cell pool and contributed to slow CD4(+) T-cell restoration during ART. There was a lack of restoration of the CD4(+) central memory and effector memory T-cell subsets in gut-associated lymphoid tissue during ART, which may contribute to the altered intestinal T-cell homeostasis in SIV infection.

  14. MicroRNA-21 preserves the fibrotic mechanical memory of mesenchymal stem cells

    NASA Astrophysics Data System (ADS)

    Li, Chen Xi; Talele, Nilesh P.; Boo, Stellar; Koehler, Anne; Knee-Walden, Ericka; Balestrini, Jenna L.; Speight, Pam; Kapus, Andras; Hinz, Boris

    2017-03-01

    Expansion on stiff culture substrates activates pro-fibrotic cell programs that are retained by mechanical memory. Here, we show that priming on physiologically soft silicone substrates suppresses fibrogenesis and desensitizes mesenchymal stem cells (MSCs) against subsequent mechanical activation in vitro and in vivo, and identify the microRNA miR-21 as a long-term memory keeper of the fibrogenic program in MSCs. During stiff priming, miR-21 levels were gradually increased by continued regulation through the acutely mechanosensitive myocardin-related transcription factor-A (MRTF-A/MLK-1) and remained high over 2 weeks after removal of the mechanical stimulus. Knocking down miR-21 once by the end of the stiff-priming period was sufficient to erase the mechanical memory and sensitize MSCs to subsequent exposure to soft substrates. Soft priming and erasing mechanical memory following cell culture expansion protects MSCs from fibrogenesis in the host wound environment and increases the chances for success of MSC therapy in tissue-repair applications.

  15. NFκB–Pim-1–Eomesodermin axis is critical for maintaining CD8 T-cell memory quality

    PubMed Central

    Knudson, Karin M.; Saxena, Vikas; Altman, Amnon; Daniels, Mark A.; Teixeiro, Emma

    2017-01-01

    T-cell memory is critical for long-term immunity. However, the factors involved in maintaining the persistence, function, and phenotype of the memory pool are undefined. Eomesodermin (Eomes) is required for the establishment of the memory pool. Here, we show that in T cells transitioning to memory, the expression of high levels of Eomes is not constitutive but rather requires a continuum of cell-intrinsic NFκB signaling. Failure to maintain NFκB signals after the peak of the response led to impaired Eomes expression and a defect in the maintenance of CD8 T-cell memory. Strikingly, we found that antigen receptor [T-cell receptor (TCR)] signaling regulates this process through expression of the NFκB-dependent kinase proviral integration site for Moloney murine leukemia virus-1 (PIM-1), which in turn regulates NFκB and Eomes. T cells defective in TCR-dependent NFκB signaling were impaired in late expression of Pim-1, Eomes, and CD8 memory. These defects were rescued when TCR-dependent NFκB signaling was restored. We also found that NFκB–Pim-1 signals were required at memory to maintain memory CD8 T-cell longevity, effector function, and Eomes expression. Hence, an NFκB–Pim-1–Eomes axis regulates Eomes levels to maintain memory fitness. PMID:28193872

  16. Dendritic Cell Immaturity during Infancy Restricts the Capacity To Express Vaccine-Specific T-Cell Memory

    PubMed Central

    Upham, John W.; Rate, Angela; Rowe, Julie; Kusel, Merci; Sly, Peter D.; Holt, Patrick G.

    2006-01-01

    The capacity of the immune system in infants to develop stable T-cell memory in response to vaccination is attenuated, and the mechanism(s) underlying this developmental deficiency in humans is poorly understood. The present study focuses on the capacity for expression of in vitro recall responses to tetanus and diphtheria antigens in lymphocytes from 12-month-old infants vaccinated during the first 6 months of life. We demonstrate that supplementation of infant lymphocytes with “matured” dendritic cells (DC) cultured from autologous CD14+ precursors unmasks previously covert cellular immunity in the form of Th2-skewed cytokine production. Supplementation of adult lymphocytes with comparable prematured autologous DC also boosted vaccine-specific T-cell memory expression, but in contrast to the case for the infants, these cytokine responses were heavily Th1 skewed. Compared to adults, infants had significantly fewer circulating myeloid DC (P < 0.0001) and plasmacytoid DC (P < 0.0001) as a proportion of peripheral blood mononuclear cells. These findings suggest that deficiencies in the numbers of antigen-presenting cells and their functional competence at 12 months of age limit the capacity to express effector memory responses and are potentially a key factor in reduced vaccine responsiveness in infants. PMID:16428758

  17. Ventromedial prefrontal cortex pyramidal cells have a temporal dynamic role in recall and extinction of cocaine-associated memory.

    PubMed

    Van den Oever, Michel C; Rotaru, Diana C; Heinsbroek, Jasper A; Gouwenberg, Yvonne; Deisseroth, Karl; Stuber, Garret D; Mansvelder, Huibert D; Smit, August B

    2013-11-13

    In addicts, associative memories related to the rewarding effects of drugs of abuse can evoke powerful craving and drug seeking urges, but effective treatment to suppress these memories is not available. Detailed insight into the neural circuitry that mediates expression of drug-associated memory is therefore of crucial importance. Substantial evidence from rodent models of addictive behavior points to the involvement of the ventromedial prefrontal cortex (vmPFC) in conditioned drug seeking, but specific knowledge of the temporal role of vmPFC pyramidal cells is lacking. To this end, we used an optogenetics approach to probe the involvement of vmPFC pyramidal cells in expression of a recent and remote conditioned cocaine memory. In mice, we expressed Channelrhodopsin-2 (ChR2) or Halorhodopsin (eNpHR3.0) in pyramidal cells of the vmPFC and studied the effect of activation or inhibition of these cells during expression of a cocaine-contextual memory on days 1-2 (recent) and ∼3 weeks (remote) after conditioning. Whereas optical activation of pyramidal cells facilitated extinction of remote memory, without affecting recent memory, inhibition of pyramidal cells acutely impaired recall of recent cocaine memory, without affecting recall of remote memory. In addition, we found that silencing pyramidal cells blocked extinction learning at the remote memory time-point. We provide causal evidence of a critical time-dependent switch in the contribution of vmPFC pyramidal cells to recall and extinction of cocaine-associated memory, indicating that the circuitry that controls expression of cocaine memories reorganizes over time.

  18. Telomere length dynamics in human memory T cells specific for viruses causing acute or latent infections

    PubMed Central

    2013-01-01

    Background Declining telomere length (TL) is associated with T cell senescence. While TL in naïve and memory T cells declines with increasing age, there is limited data on TL dynamics in virus-specific memory CD4+ T cells in healthy adults. We combined BrdU-labeling of virus-stimulated T cells followed with flow cytometry-fluorescent in situ hybridization for TL determination. We analyzed TL in T cells specific for several virus infections: non-recurring acute (vaccinia virus, VACV), recurring-acute (influenza A virus, IAV), and reactivating viruses (varicella-zoster virus, VZV, and cytomegalovirus, CMV) in 10 healthy subjects. Additionally, five subjects provided multiple blood samples separated by up to 10 years. Results VACV- and CMV-specific T cells had longer average TL than IAV-specific CD4+ T cells. Although most virus-specific cells were CD45RA-, we observed a minor population of BrdU+ CD45RA+ T cells characterized by long telomeres. Longitudinal analysis demonstrated a slow decline in average TL in virus-specific T cells. However, in one subject, VZV reactivation led to an increase in average TL in VZV-specific memory T cells, suggesting a conversion of longer TL cells from the naïve T cell repertoire. Conclusions TLs in memory CD4+ T cells in otherwise healthy adults are heterogeneous and follow distinct virus-specific kinetics. These findings suggests that the distribution of TL and the creation and maintenance of long TL memory T cells could be important for the persistence of long-lived T cell memory. PMID:23971624

  19. Telomere length dynamics in human memory T cells specific for viruses causing acute or latent infections.

    PubMed

    O'Bryan, Joel M; Woda, Marcia; Co, Mary; Mathew, Anuja; Rothman, Alan L

    2013-08-26

    Declining telomere length (TL) is associated with T cell senescence. While TL in naïve and memory T cells declines with increasing age, there is limited data on TL dynamics in virus-specific memory CD4+ T cells in healthy adults. We combined BrdU-labeling of virus-stimulated T cells followed with flow cytometry-fluorescent in situ hybridization for TL determination. We analyzed TL in T cells specific for several virus infections: non-recurring acute (vaccinia virus, VACV), recurring-acute (influenza A virus, IAV), and reactivating viruses (varicella-zoster virus, VZV, and cytomegalovirus, CMV) in 10 healthy subjects. Additionally, five subjects provided multiple blood samples separated by up to 10 years. VACV- and CMV-specific T cells had longer average TL than IAV-specific CD4+ T cells. Although most virus-specific cells were CD45RA-, we observed a minor population of BrdU+ CD45RA+ T cells characterized by long telomeres. Longitudinal analysis demonstrated a slow decline in average TL in virus-specific T cells. However, in one subject, VZV reactivation led to an increase in average TL in VZV-specific memory T cells, suggesting a conversion of longer TL cells from the naïve T cell repertoire. TLs in memory CD4+ T cells in otherwise healthy adults are heterogeneous and follow distinct virus-specific kinetics. These findings suggests that the distribution of TL and the creation and maintenance of long TL memory T cells could be important for the persistence of long-lived T cell memory.

  20. Influence of ultraviolet irradiation on data retention characteristics in resistive random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kimura, K.; Ohmi, K.; Tottori University Electronic Display Research Center, 101 Minami4-chome, Koyama-cho, Tottori-shi, Tottori 680-8551

    With increasing density of memory devices, the issue of generating soft errors by cosmic rays is becoming more and more serious. Therefore, the irradiation resistance of resistance random access memory (ReRAM) to cosmic radiation has to be elucidated for practical use. In this paper, we investigated the data retention characteristics of ReRAM against ultraviolet irradiation with a Pt/NiO/ITO structure. Soft errors were confirmed to be caused by ultraviolet irradiation in both low- and high-resistance states. An analysis of the wavelength dependence of light irradiation on data retention characteristics suggested that electronic excitation from the valence to the conduction band andmore » to the energy level generated due to the introduction of oxygen vacancies caused the errors. Based on a statistically estimated soft error rates, the errors were suggested to be caused by the cohesion and dispersion of oxygen vacancies owing to the generation of electron-hole pairs and valence changes by the ultraviolet irradiation.« less

  1. Thy1+IL-7+ lymphatic endothelial cells in iBALT provide a survival niche for memory T-helper cells in allergic airway inflammation

    PubMed Central

    Shinoda, Kenta; Hirahara, Kiyoshi; Iinuma, Tomohisa; Ichikawa, Tomomi; Suzuki, Akane S.; Sugaya, Kaoru; Tumes, Damon J.; Yamamoto, Heizaburo; Hara, Takahiro; Tani-ichi, Shizue; Ikuta, Koichi; Okamoto, Yoshitaka; Nakayama, Toshinori

    2016-01-01

    Memory CD4+ T helper (Th) cells are central to long-term protection against pathogens, but they can also be pathogenic and drive chronic inflammatory disorders. How these pathogenic memory Th cells are maintained, particularly at sites of local inflammation, remains unclear. We found that ectopic lymphoid-like structures called inducible bronchus-associated lymphoid tissue (iBALT) are formed during chronic allergic inflammation in the lung, and that memory-type pathogenic Th2 (Tpath2) cells capable of driving allergic inflammation are maintained within the iBALT structures. The maintenance of memory Th2 cells within iBALT is supported by Thy1+IL-7–producing lymphatic endothelial cells (LECs). The Thy1+IL-7–producing LECs express IL-33 and T-cell–attracting chemokines CCL21 and CCL19. Moreover, ectopic lymphoid structures consisting of memory CD4+ T cells and IL-7+IL-33+ LECs were found in nasal polyps of patients with eosinophilic chronic rhinosinusitis. Thus, Thy1+IL-7–producing LECs control chronic allergic airway inflammation by providing a survival niche for memory-type Tpath2 cells. PMID:27140620

  2. Nonvolatile reconfigurable sequential logic in a HfO2 resistive random access memory array.

    PubMed

    Zhou, Ya-Xiong; Li, Yi; Su, Yu-Ting; Wang, Zhuo-Rui; Shih, Ling-Yi; Chang, Ting-Chang; Chang, Kuan-Chang; Long, Shi-Bing; Sze, Simon M; Miao, Xiang-Shui

    2017-05-25

    Resistive random access memory (RRAM) based reconfigurable logic provides a temporal programmable dimension to realize Boolean logic functions and is regarded as a promising route to build non-von Neumann computing architecture. In this work, a reconfigurable operation method is proposed to perform nonvolatile sequential logic in a HfO 2 -based RRAM array. Eight kinds of Boolean logic functions can be implemented within the same hardware fabrics. During the logic computing processes, the RRAM devices in an array are flexibly configured in a bipolar or complementary structure. The validity was demonstrated by experimentally implemented NAND and XOR logic functions and a theoretically designed 1-bit full adder. With the trade-off between temporal and spatial computing complexity, our method makes better use of limited computing resources, thus provides an attractive scheme for the construction of logic-in-memory systems.

  3. Skin-resident memory CD4+ T cells enhance protection against Leishmania major infection.

    PubMed

    Glennie, Nelson D; Yeramilli, Venkata A; Beiting, Daniel P; Volk, Susan W; Weaver, Casey T; Scott, Phillip

    2015-08-24

    Leishmaniasis causes a significant disease burden worldwide. Although Leishmania-infected patients become refractory to reinfection after disease resolution, effective immune protection has not yet been achieved by human vaccines. Although circulating Leishmania-specific T cells are known to play a critical role in immunity, the role of memory T cells present in peripheral tissues has not been explored. Here, we identify a population of skin-resident Leishmania-specific memory CD4+ T cells. These cells produce IFN-γ and remain resident in the skin when transplanted by skin graft onto naive mice. They function to recruit circulating T cells to the skin in a CXCR3-dependent manner, resulting in better control of the parasites. Our findings are the first to demonstrate that CD4+ TRM cells form in response to a parasitic infection, and indicate that optimal protective immunity to Leishmania, and thus the success of a vaccine, may depend on generating both circulating and skin-resident memory T cells. © 2015 Glennie et al.

  4. Skin-resident memory CD4+ T cells enhance protection against Leishmania major infection

    PubMed Central

    Glennie, Nelson D.; Yeramilli, Venkata A.; Beiting, Daniel P.; Volk, Susan W.; Weaver, Casey T.

    2015-01-01

    Leishmaniasis causes a significant disease burden worldwide. Although Leishmania-infected patients become refractory to reinfection after disease resolution, effective immune protection has not yet been achieved by human vaccines. Although circulating Leishmania-specific T cells are known to play a critical role in immunity, the role of memory T cells present in peripheral tissues has not been explored. Here, we identify a population of skin-resident Leishmania-specific memory CD4+ T cells. These cells produce IFN-γ and remain resident in the skin when transplanted by skin graft onto naive mice. They function to recruit circulating T cells to the skin in a CXCR3-dependent manner, resulting in better control of the parasites. Our findings are the first to demonstrate that CD4+ TRM cells form in response to a parasitic infection, and indicate that optimal protective immunity to Leishmania, and thus the success of a vaccine, may depend on generating both circulating and skin-resident memory T cells. PMID:26216123

  5. FOXO1 opposition of CD8+ T cell effector programming confers early memory properties and phenotypic diversity.

    PubMed

    Delpoux, Arnaud; Lai, Chen-Yen; Hedrick, Stephen M; Doedens, Andrew L

    2017-10-17

    The factors and steps controlling postinfection CD8 + T cell terminal effector versus memory differentiation are incompletely understood. Whereas we found that naive TCF7 (alias "Tcf-1") expression is FOXO1 independent, early postinfection we report bimodal, FOXO1-dependent expression of the memory-essential transcription factor TCF7 in pathogen-specific CD8 + T cells. We determined the early postinfection TCF7 high population is marked by low TIM3 expression and bears memory signature hallmarks before the appearance of established memory precursor marker CD127 (IL-7R). These cells exhibit diminished TBET, GZMB, mTOR signaling, and cell cycle progression. Day 5 postinfection, TCF7 high cells express higher memory-associated BCL2 and EOMES, as well as increased accumulation potential and capacity to differentiate into memory phenotype cells. TCF7 retroviral transduction opposes GZMB expression and the formation of KLRG1 pos phenotype cells, demonstrating an active role for TCF7 in extinguishing the effector program and forestalling terminal differentiation. Past the peak of the cellular immune response, we report a gradient of FOXO1 and TCF7 expression, which functions to oppose TBET and orchestrate a continuum of effector-to-memory phenotypes.

  6. De novo alloreactive memory CD8+ T cells develop following allogeneic challenge when CNI immunosuppression is delayed.

    PubMed

    Hart-Matyas, M; Gareau, A J; Hirsch, G M; Lee, T D G

    2015-01-01

    Allospecific memory T cells are a recognized threat to the maintenance of solid-organ transplants. Limited information exists regarding the development of alloreactive memory T cells when post-transplant immunosuppression is present. The clinical practice of delaying calcineurin inhibitor (CNI) initiation post-transplant may permit the development of a de novo allospecific memory population. We investigated the development of de novo allospecific memory CD8+ T cells following the introduction of CNI immunosuppression in a murine model using allogeneic cell priming. Recipient mice alloprimed with splenocytes from fully mismatched donors received cyclosporine (CyA), initiated at 0, 2, 6, or 10days post-prime. Splenocytes from recipients were analyzed by flow cytometry or enzyme-linked immunosorbent assay for evidence of memory cell formation. Memory and effector CD8+ T cell development was prevented when CyA was initiated at 0day or 2days post-prime (p<0.001), but not 6days post-prime. Following a boost challenge, these memory CD8+ T cells were capable of producing a similarly sized population of secondary effectors as recipients not treated with CyA (p>0.05). Delaying CyA up to 6days or later post-prime permits the development of functional de novo allospecific memory CD8+ T cells. The development of this potentially detrimental T cell population in patients could be prevented by starting CNI immunosuppression early post-transplant. Copyright © 2014 Elsevier B.V. All rights reserved.

  7. Protective Capacity of Memory CD8+ T Cells is Dictated by Antigen Exposure History and Nature of the Infection

    PubMed Central

    Nolz, Jeffrey C.; Harty, John T.

    2011-01-01

    SUMMARY Infection or vaccination confers heightened resistance to pathogen re-challenge due to quantitative and qualitative differences between naïve and primary memory T cells. Herein, we show that secondary (boosted) memory CD8+ T cells were better than primary memory CD8+ T cells in controlling some, but not all acute infections with diverse pathogens. However, secondary memory CD8+ T cells were less efficient than an equal number of primary memory cells at preventing chronic LCMV infection and are more susceptible to functional exhaustion. Importantly, localization of memory CD8+ T cells within lymph nodes, which is reduced by antigen re-stimulation, was critical for both viral control in lymph nodes and for the sustained CD8+ T cell response required to prevent chronic LCMV infection. Thus, repeated antigen-stimulation shapes memory CD8+ T cell populations to either enhance or decrease per cell protective immunity in a pathogen-specific manner, a concept of importance in vaccine design against specific diseases. PMID:21549619

  8. Altered Memory Circulating T Follicular Helper-B Cell Interaction in Early Acute HIV Infection

    PubMed Central

    Muir, Roshell; Metcalf, Talibah; Tardif, Virginie; Takata, Hiroshi; Phanuphak, Nittaya; Kroon, Eugene; Colby, Donn J.; Trichavaroj, Rapee; Valcour, Victor; Robb, Merlin L.; Michael, Nelson L.; Ananworanich, Jintanat; Trautmann, Lydie; Haddad, Elias K.

    2016-01-01

    The RV254 cohort of HIV-infected very early acute (4thG stage 1 and 2) (stage 1/2) and late acute (4thG stage 3) (stage 3) individuals was used to study T helper- B cell responses in acute HIV infection and the impact of early antiretroviral treatment (ART) on T and B cell function. To investigate this, the function of circulating T follicular helper cells (cTfh) from this cohort was examined, and cTfh and memory B cell populations were phenotyped. Impaired cTfh cell function was observed in individuals treated in stage 3 when compared to stage 1/2. The cTfh/B cell cocultures showed lower B cell survival and IgG secretion at stage 3 compared to stage 1/2. This coincided with lower IL-10 and increased RANTES and TNF-α suggesting a role for inflammation in altering cTfh and B cell responses. Elevated plasma viral load in stage 3 was found to correlate with decreased cTfh-mediated B cell IgG production indicating a role for increased viremia in cTfh impairment and dysfunctional humoral response. Phenotypic perturbations were also evident in the mature B cell compartment, most notably a decrease in resting memory B cells in stage 3 compared to stage 1/2, coinciding with higher viremia. Our coculture assay also suggested that intrinsic memory B cell defects could contribute to the impaired response despite at a lower level. Overall, cTfh-mediated B cell responses are significantly altered in stage 3 compared to stage 1/2, coinciding with increased inflammation and a reduction in memory B cells. These data suggest that early ART for acutely HIV infected individuals could prevent immune dysregulation while preserving cTfh function and B cell memory. PMID:27463374

  9. IL-15 signaling promotes adoptive effector T-cell survival and memory formation in irradiation-induced lymphopenia.

    PubMed

    Xu, Aizhang; Bhanumathy, Kalpana Kalyanasundaram; Wu, Jie; Ye, Zhenmin; Freywald, Andrew; Leary, Scot C; Li, Rongxiu; Xiang, Jim

    2016-01-01

    Lymphopenia promotes naïve T-cell homeostatic proliferation and adoptive effector T-cell survival and memory formation. IL-7 plays a critical role in homeostatic proliferation, survival and memory formation of naïve T-cells in lymphopenia, and its underlying molecular mechanism has also been well studied. However, the mechanism for adoptively transferred effector T-cell survival and memory formation is not fully understood. Here, we transferred in vitro-activated transgenic OT-I CD8(+) effector T-cells into irradiation (600 rads)-induced lymphopenic C57BL/6, IL-7 knockout (KO) and IL-15 KO mice, and investigated the survival and memory formation of transferred T-cells in lymphopenia. We demonstrate that transferred T-cells prolong their survival and enhance their memory in lymphopenic mice, in a manner that depends on IL-15 signaling, but not IL-7. We determine that in vitro stimulation of naïve or effector T-cells with IL-7 and IL-15 reduces IL-7Rα, and increases and/or maintains IL-15Rβ expression, respectively. Consistent with these findings, the expression of IL-7Rα and IL-15Rβ is down- and up-regulated, respectively, in vivo on transferred T-cells in an early phase post T-cell transfer in lymphopenia. We further show that in vitro IL-15 restimulation-induced memory T-cells (compared to IL-2 restimulation-induced effector T-cells) and in vivo transferred T-cells in irradiated IL-15-sufficient C57BL/6 mice (compared to IL-15-deficient IL-15 KO mice) have increased mitochondrial content, but less NADH and lower mitochondrial potential (ΔΨm), and demonstrate greater phosphorylation of signal transducers and activators of transcription-5 (STAT5) and Unc-51-like kinase-1 (ULK1), and higher expression of B-cell leukemia/lymphoma-2 (Bcl2) and memory-, autophagy- and mitochondrial biogenesis-related molecules. Irradiation-induced lymphopenia promotes effector T-cell survival via IL-15 signaling the STAT5/Bcl2 pathway, enhances T-cell memory formation via IL

  10. Enhancement of Speed Margins for 16× Digital Versatile Disc-Random Access Memory

    NASA Astrophysics Data System (ADS)

    Watanabe, Koichi; Minemura, Hiroyuki; Miyamoto, Makoto; Iimura, Makoto

    2006-02-01

    We have evaluated the speed margins of write/read 16× digital versatile disc-random access memory (DVD-RAM) test discs using write strategies for 6--16× constant angular velocity (CAV) control. Our approach is to determine the writing parameters for the middle zones by interpolating the zone numbers. Using this interpolation strategy, we successfully obtained overwrite jitter values of less than 8% and bit error rates of less than 10-5 in 6--16× DVD-RAM. Moreover, we confirmed that the speed margins were ± 20% for a 6--16× CAV.

  11. Memory as Perception of the Past: Compressed Time inMind and Brain.

    PubMed

    Howard, Marc W

    2018-02-01

    In the visual system retinal space is compressed such that acuity decreases further from the fovea. Different forms of memory may rely on a compressed representation of time, manifested as decreased accuracy for events that happened further in the past. Neurophysiologically, "time cells" show receptive fields in time. Analogous to the compression of visual space, time cells show less acuity for events further in the past. Behavioral evidence suggests memory can be accessed by scanning a compressed temporal representation, analogous to visual search. This suggests a common computational language for visual attention and memory retrieval. In this view, time functions like a scaffolding that organizes memories in much the same way that retinal space functions like a scaffolding for visual perception. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. Non-volatile memory based on the ferroelectric photovoltaic effect

    PubMed Central

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  13. Modulation of Autoimmune T-Cell Memory by Stem Cell Educator Therapy: Phase 1/2 Clinical Trial

    PubMed Central

    Delgado, Elias; Perez-Basterrechea, Marcos; Suarez-Alvarez, Beatriz; Zhou, Huimin; Revuelta, Eva Martinez; Garcia-Gala, Jose Maria; Perez, Silvia; Alvarez-Viejo, Maria; Menendez, Edelmiro; Lopez-Larrea, Carlos; Tang, Ruifeng; Zhu, Zhenlong; Hu, Wei; Moss, Thomas; Guindi, Edward; Otero, Jesus; Zhao, Yong

    2015-01-01

    Background Type 1 diabetes (T1D) is a T cell-mediated autoimmune disease that causes a deficit of pancreatic islet β cells. The complexities of overcoming autoimmunity in T1D have contributed to the challenges the research community faces when devising successful treatments with conventional immune therapies. Overcoming autoimmune T cell memory represents one of the key hurdles. Methods In this open-label, phase 1/phase 2 study, Caucasian T1D patients (N = 15) received two treatments with the Stem Cell Educator (SCE) therapy, an approach that uses human multipotent cord blood-derived multipotent stem cells (CB-SCs). SCE therapy involves a closed-loop system that briefly treats the patient's lymphocytes with CB-SCs in vitro and returns the “educated” lymphocytes (but not the CB-SCs) into the patient's blood circulation. This study is registered with ClinicalTrials.gov, NCT01350219. Findings Clinical data demonstrated that SCE therapy was well tolerated in all subjects. The percentage of naïve CD4+ T cells was significantly increased at 26 weeks and maintained through the final follow-up at 56 weeks. The percentage of CD4+ central memory T cells (TCM) was markedly and constantly increased at 18 weeks. Both CD4+ effector memory T cells (TEM) and CD8+ TEM cells were considerably decreased at 18 weeks and 26 weeks respectively. Additional clinical data demonstrated the modulation of C–C chemokine receptor 7 (CCR7) expressions on naïve T, TCM, and TEM cells. Following two treatments with SCE therapy, islet β-cell function was improved and maintained in individuals with residual β-cell function, but not in those without residual β-cell function. Interpretation Current clinical data demonstrated the safety and efficacy of SCE therapy in immune modulation. SCE therapy provides lasting reversal of autoimmune memory that could improve islet β-cell function in Caucasian subjects. Funding Obra Social “La Caixa”, Instituto de Salud Carlos III, Red de

  14. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xiang; Lu, Yang; Lee, Jongho

    2016-01-04

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics formore » memory arrays.« less

  15. Cytokine-Induced Memory-Like Differentiation Enhances Unlicensed Natural Killer Cell Antileukemia and FcγRIIIa-Triggered Responses.

    PubMed

    Wagner, Julia A; Berrien-Elliott, Melissa M; Rosario, Maximillian; Leong, Jeffrey W; Jewell, Brea A; Schappe, Timothy; Abdel-Latif, Sara; Fehniger, Todd A

    2017-03-01

    Cytokine-induced memory-like natural killer (NK) cells differentiate after short-term preactivation with IL-12, IL-15, and IL-18 and display enhanced effector function in response to cytokines or tumor targets for weeks after the initial preactivation. Conventional NK cell function depends on a licensing signal, classically delivered by an inhibitory receptor engaging its cognate MHC class I ligand. How licensing status integrates with cytokine-induced memory-like NK cell responses is unknown. We investigated this interaction using killer cell immunoglobulin-like receptor- and HLA-genotyped primary human NK cells. Memory-like differentiation resulted in enhanced IFN-γ production triggered by leukemia targets or FcγRIIIa ligation within licensed NK cells, which exhibited the highest functionality of the NK cell subsets interrogated. IFN-γ production by unlicensed memory-like NK cells was also enhanced to a level comparable with that of licensed control NK cells. Mechanistically, differences in responses to FcγRIIIa-based triggering were not explained by alterations in key signaling intermediates, indicating that the underlying biology of memory-like NK cells is distinct from that of adaptive NK cells in human cytomegalovirus-positive individuals. Additionally, memory-like NK cells responded robustly to cytokine receptor restimulation with no impact of licensing status. These results demonstrate that both licensed and unlicensed memory-like NK cell populations have enhanced functionality, which may be translated to improve leukemia immunotherapy. Copyright © 2017 The American Society for Blood and Marrow Transplantation. Published by Elsevier Inc. All rights reserved.

  16. Tracing Donor-MHC Class II Reactive B cells in Mouse Cardiac Transplantation: Delayed CTLA4-Ig Treatment Prevents Memory Alloreactive B-Cell Generation.

    PubMed

    Yang, Jinghui; Chen, Jianjun; Young, James S; Wang, Qiang; Yin, Dengping; Sciammas, Roger; Chong, Anita S

    2016-08-01

    The dual role of B cells as drivers and suppressors of the immune responses have underscored the need to trace the fate of B cells recognizing donor major histocompatibility complex class I and class II after allograft transplantation. In this study, we used donor class II tetramers to trace the fate of I-E-specific B cells after immunization with BALB/c spleen cells or cardiac transplantation, in naive or sensitized C57BL/6 recipients. We combined this approach with genetic lineage tracing of memory B cells in activation-induced cytidine deaminase regulated Cre transgenic mice crossed to the ROSA26-enhanced yellow fluorescent protein reporter mice to track endogenous I-E-specific memory B cell generation. Immunization with BALB/c splenocytes or heart transplantation induced an expansion and differentiation of I-E-specific B cells into germinal center B cells, whereas BALB/c heart transplantation into sensitized recipients induced the preferential differentiation into antibody-secreting cells. A 10.8-fold increase in the frequency of I-E-specific memory B cells was observed by day 42 postimmunization. Treatment with CTLA4-Ig starting on day 0 or day 7 postimmunization abrogated I-E-specific memory B cell generation and sensitized humoral responses, but not if treatment commenced on day 14. The majority of donor-specific memory B cells are generated between days 7 and 14 postimmunization, thus revealing a flexible timeframe whereby delayed CTLA4-Ig administration can inhibit sensitization and the generation of memory graft-reactive B cells.

  17. SPATIAL MEMORY IMPAIRMENT AND HIPPOCAMPAL CELL LOSS INDUCED BY OKADAIC ACID (EXPERIMENTAL STUDY).

    PubMed

    Chighladze, M; Dashniani, M; Beselia, G; Kruashvili, L; Naneishvili, T

    2016-01-01

    In the present study, we evaluated and compared effect of intracerebroventricular (ICV) and intrahippocampal bilateral microinjection of okadaic acid (OA) on spatial memory function assessed in one day water maze paradigm and hippocampal structure in rats. Rats were divided in following groups: Control(icv) - rats injected with ICV and aCSF; Control(hipp) - rats injected intrahippocampally with aCSF; OAicv - rats injected with ICV and OA; OAhipp - rats injected intrahippocampally with OA. Nissl staining of hippocampal sections showed that the pyramidal cell loss in OAhipp group is significantly higher than that in the OAicv. The results of behavioral experiments showed that ICV or intrahippocampal bilateral microinjection of OA did not affect learning process and short-term spatial memory but induced impairment in spatial long-term memory assessed in probe test performance 24 h after training. OA-induced spatial memory impairment may be attributed to the hippocampal cell death. Based on these results OA induced memory deficit and hippocampal cell loss in rat may be considered as a potential animal model for preclinical evaluation of antidementic drug activity.

  18. Protecting and rescuing the effectors: roles of differentiation and survival in the control of memory T cell development

    PubMed Central

    Kurtulus, Sema; Tripathi, Pulak; Hildeman, David A.

    2013-01-01

    Vaccines, arguably the single most important intervention in improving human health, have exploited the phenomenon of immunological memory. The elicitation of memory T cells is often an essential part of successful long-lived protective immunity. Our understanding of T cell memory has been greatly aided by the development of TCR Tg mice and MHC tetrameric staining reagents that have allowed the precise tracking of antigen-specific T cell responses. Indeed, following acute infection or immunization, naïve T cells undergo a massive expansion culminating in the generation of a robust effector T cell population. This peak effector response is relatively short-lived and, while most effector T cells die by apoptosis, some remain and develop into memory cells. Although the molecular mechanisms underlying this cell fate decision remain incompletely defined, substantial progress has been made, particularly with regards to CD8+ T cells. For example, the effector CD8+ T cells generated during a response are heterogeneous, consisting of cells with more or less potential to develop into full-fledged memory cells. Development of CD8+ T cell memory is regulated by the transcriptional programs that control the differentiation and survival of effector T cells. While the type of antigenic stimulation and level of inflammation control effector CD8+ T cell differentiation, availability of cytokines and their ability to control expression and function of Bcl-2 family members governs their survival. These distinct differentiation and survival programs may allow for finer therapeutic intervention to control both the quality and quantity of CD8+ T cell memory. Effector to memory transition of CD4+ T cells is less well characterized than CD8+ T cells, emerging details will be discussed. This review will focus on the recent progress made in our understanding of the mechanisms underlying the development of T cell memory with an emphasis on factors controlling survival of effector T cells

  19. gp49B-mediated negative regulation of antibody production by memory and marginal zone B cells.

    PubMed

    Fukao, Saori; Haniuda, Kei; Nojima, Takuya; Takai, Toshiyuki; Kitamura, Daisuke

    2014-07-15

    The rapid Ab responses observed after primary and secondary immunizations are mainly derived from marginal zone (MZ) and memory B cells, respectively, but it is largely unknown how these responses are negatively regulated. Several inhibitory receptors have been identified and their roles have been studied, but mainly on follicular B cells and much less so on MZ B, and never on memory B cells. gp49B is an Ig superfamily member that contains two ITIMs in its cytoplasmic tail, and it has been shown to negatively regulate mast cell, macrophage, and NK cell responses. In this study, we demonstrate that gp49B is preferentially expressed on memory and MZ B cells. We show that gp49B(-/-) mice produce more IgM after a primary immunization and more IgM and IgG1 after a secondary immunization than gp49B(+/+) mice in T cell-dependent immune responses. Memory and MZ B cells from gp49B(-/-) mice also produce more Abs upon in vitro stimulation with CD40 than those from gp49B(+/+) mice. The in vitro IgM production by MZ B cells from gp49B(+/+), but not gp49B(-/-), mice is suppressed by interaction with a putative gp49B ligand, the integrin αvβ3 heterodimer. In addition, gp49B(-/-) mice exhibited exaggerated IgE production in the memory recall response. These results suggest that plasma cell development from memory and MZ B cells, as well as subsequent Ab production, are suppressed via gp49B. In memory B cells, this suppression also prevents excessive IgE production, thus curtailing allergic diseases. Copyright © 2014 by The American Association of Immunologists, Inc.

  20. Diet-induced obesity does not impact the generation and maintenance of primary memory CD8 T cells.

    PubMed

    Khan, Shaniya H; Hemann, Emily A; Legge, Kevin L; Norian, Lyse A; Badovinac, Vladimir P

    2014-12-15

    The extent to which obesity compromises the differentiation and maintenance of protective memory CD8 T cell responses and renders obese individuals susceptible to infection remains unknown. In this study, we show that diet-induced obesity did not impact the maintenance of pre-existing memory CD8 T cells, including acquisition of a long-term memory phenotype (i.e., CD27(hi), CD62L(hi), KLRG1(lo)) and function (i.e., cytokine production, secondary expansion, and memory CD8 T cell-mediated protection). Additionally, obesity did not influence the differentiation and maintenance of newly evoked memory CD8 T cell responses in inbred and outbred hosts generated in response to different types of systemic (LCMV, L. monocytogenes) and/or localized (influenza virus) infections. Interestingly, the rate of naive-to-memory CD8 T cell differentiation after a peptide-coated dendritic cell immunization was similar in lean and obese hosts, suggesting that obesity-associated inflammation, unlike pathogen- or adjuvant-induced inflammation, did not influence the development of endogenous memory CD8 T cell responses. Therefore, our studies reveal that the obese environment does not influence the development or maintenance of memory CD8 T cell responses that are either primed before or after obesity is established, a surprising notion with important implications for future studies aiming to elucidate the role obesity plays in host susceptibility to infections. Copyright © 2014 by The American Association of Immunologists, Inc.

  1. TLR4 ligands lipopolysaccharide and monophosphoryl lipid a differentially regulate effector and memory CD8+ T Cell differentiation.

    PubMed

    Cui, Weiguo; Joshi, Nikhil S; Liu, Ying; Meng, Hailong; Kleinstein, Steven H; Kaech, Susan M

    2014-05-01

    Vaccines formulated with nonreplicating pathogens require adjuvants to help bolster immunogenicity. The role of adjuvants in Ab production has been well studied, but how they influence memory CD8(+) T cell differentiation remains poorly defined. In this study we implemented dendritic cell-mediated immunization to study the effects of commonly used adjuvants, TLR ligands, on effector and memory CD8(+) T cell differentiation in mice. Intriguingly, we found that the TLR4 ligand LPS was far more superior to other TLR ligands in generating memory CD8(+) T cells upon immunization. LPS boosted clonal expansion similar to the other adjuvants, but fewer of the activated CD8(+) T cells died during contraction, generating a larger pool of memory cells. Surprisingly, monophosphoryl lipid A (MPLA), another TLR4 ligand, enhanced clonal expansion of effector CD8(+) T cells, but it also promoted their terminal differentiation and contraction; thus, fewer memory CD8(+) T cells formed, and MPLA-primed animals were less protected against secondary infection compared with those primed with LPS. Furthermore, gene expression profiling revealed that LPS-primed effector cells displayed a stronger pro-memory gene expression signature, whereas the gene expression profile of MPLA-primed effector cells aligned closer with terminal effector CD8(+) T cells. Lastly, we demonstrated that the LPS-TLR4-derived "pro-memory" signals were MyD88, but not Toll/IL-1R domain-containing adapter inducing IFN-β, dependent. This study reveals the influential power of adjuvants on the quantity and quality of CD8(+) T cell memory, and that attention to adjuvant selection is crucial because boosting effector cell expansion may not always equate with more memory T cells or greater protection.

  2. Distribution of Peripheral Memory T Follicular Helper Cells in Patients with Schistosomiasis Japonica

    PubMed Central

    Chen, Xiaojun; Li, Wei; Zhang, Yang; Song, Xian; Xu, Lei; Xu, Zhipeng; Zhou, Sha; Zhu, Jifeng; Jin, Xin; Liu, Feng; Chen, Gengxin; Su, Chuan

    2015-01-01

    Background Schistosomiasis is a helminthic disease that affects more than 200 million people. An effective vaccine would be a major step towards eliminating the disease. Studies suggest that T follicular helper (Tfh) cells provide help to B cells to generate the long-term humoral immunity, which would be a crucial component of successful vaccines. Thus, understanding the biological characteristics of Tfh cells in patients with schistosomiasis, which has never been explored, is essential for vaccine design. Methodology/Principal Findings In this study, we investigated the biological characteristics of peripheral memory Tfh cells in schistosomiasis patients by flow cytometry. Our data showed that the frequencies of total and activated peripheral memory Tfh cells in patients were significantly increased during Schistosoma japonicum infection. Moreover, Tfh2 cells, which were reported to be a specific subpopulation to facilitate the generation of protective antibodies, were increased more greatly than other subpopulations of total peripheral memory Tfh cells in patients with schistosomiasis japonica. More importantly, our result showed significant correlations of the percentage of Tfh2 cells with both the frequency of plasma cells and the level of IgG antibody. In addition, our results showed that the percentage of T follicular regulatory (Tfr) cells was also increased in patients with schistosomiasis. Conclusions/Significance Our report is the first characterization of peripheral memory Tfh cells in schistosomasis patients, which not only provides potential targets to improve immune response to vaccination, but also is important for the development of vaccination strategies to control schistosomiasis. PMID:26284362

  3. Hydrogen doping in HfO{sub 2} resistance change random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duncan, D.; Magyari-Köpe, B.; Nishi, Y.

    2016-01-25

    The structures and energies of hydrogen-doped monoclinic hafnium dioxide were calculated using density-functional theory. The electronic interactions are described within the LDA + U formalism, where on-site Coulomb corrections are applied to the 5d orbital electrons of Hf atoms and 2p orbital electrons of the O atoms. The effects of charge state, defect-defect interactions, and hydrogenation are investigated and compared with experiment. It is found that hydrogenation of HfO{sub 2} resistance-change random access memory devices energetically stabilizes the formation of oxygen vacancies and conductive vacancy filaments through multiple mechanisms, leading to improved switching characteristic and device yield.

  4. Structure for common access and support of fuel cell stacks

    DOEpatents

    Walsh, Michael M.

    2000-01-01

    A structure provides common support and access to multiple fuel cells externally mounted thereto. The structure has openings leading to passages defined therein for providing the access. Various other fuel cell power system components are connected at the openings, such as reactant and coolant sources.

  5. The contribution of epigenetic memory to immunologic memory.

    PubMed

    Zediak, Valerie P; Wherry, E John; Berger, Shelley L

    2011-04-01

    Memory T lymphocytes are distinct from antigen-inexperienced naïve T cells in that memory T cells can respond more rapidly when they re-encounter a pathogen. Work over the past decade has begun to define the epigenetic underpinnings of the transcriptional component of the memory T cell response. An emerging theme is the persistence of an active chromatin signature at relevant gene loci in resting memory T cells, even when those genes are transcriptionally inactive. This gives strength to the concept of gene poising, and has shown that memory T lymphocytes are an ideal model in which to further define various mechanisms of epigenetic poising. Copyright © 2011 Elsevier Ltd. All rights reserved.

  6. Diet-induced obesity in mice reduces the maintenance of influenza-specific CD8+ memory T cells.

    PubMed

    Karlsson, Erik A; Sheridan, Patricia A; Beck, Melinda A

    2010-09-01

    Obesity has been associated with increasing the risk for type 2 diabetes and heart disease, but its influence on the immune response to viral infection is understudied. Memory T cells generated during a primary influenza infection are important for protection against subsequent influenza exposures. Previously, we have demonstrated that diet-induced obese (DIO) mice have increased morbidity and mortality following secondary influenza infection compared with lean mice. To determine whether the problem resided in a failure to maintain functional, influenza-specific CD8(+) memory T cells, male DIO and lean mice were infected with influenza X-31. At 84 d postinfection, DIO mice had a 10% reduction in memory T cell numbers. This reduction may have resulted from significantly reduced memory T cell expression of interleukin 2 receptor beta (IL-2R beta, CD122), but not IL-7 receptor alpha (CD127), which are both required for memory cell maintenance. Peripheral leptin resistance in the DIO mice may be a contributing factor to the impairment. Indeed, leptin receptor mRNA expression was significantly reduced in the lungs of obese mice, whereas suppressor of cytokine signaling (Socs)1 and Socs3 mRNA expression were increased. It is imperative to understand how the obese state alters memory T cells, because impairment in maintenance of functional memory responses has important implications for vaccine efficacy in an obese population.

  7. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices.

    PubMed

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-21

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.

  8. Peripheral blood-derived virus-specific memory stem T cells mature to functional effector memory subsets with self-renewal potency.

    PubMed

    Schmueck-Henneresse, Michael; Sharaf, Radwa; Vogt, Katrin; Weist, Benjamin J D; Landwehr-Kenzel, Sybille; Fuehrer, Henrike; Jurisch, Anke; Babel, Nina; Rooney, Cliona M; Reinke, Petra; Volk, Hans-Dieter

    2015-06-01

    Memory T cells expressing stem cell-like properties have been described recently. The capacity of self-renewal and differentiation into various memory/effector subsets make them attractive for adoptive T cell therapy to combat severe virus infections and tumors. The very few reports on human memory stem T cells (T(SCM)) are restricted to analyses on polyclonal T cells, but extensive data on Ag-specific T(SCM )are missing. This might be due to their very low frequency limiting their enrichment and characterization. In this article, we provide functional and phenotypic data on human viral-specific T(SCM), defined as CD8(+)CD45RA(+)CCR7(+)CD127(+)CD95(+). Whereas <1% of total T cells express the T(SCM) phenotype, human CMV-specific T(SCM) can be detected at frequencies similar to those seen in other subsets, resulting in ∼ 1 /10,000 human CMV-specific T(SCM). A new virus-specific expansion protocol of sort-purified T(SCM) reveals both upregulation of various T cell subset markers and preservation of their stem cell phenotype in a significant proportion, indicating both self-renewal and differentiation potency of virus-specific T cells sharing their TCR repertoire. Furthermore, we describe a simplified culture protocol that allows fast expansion of virus-specific T(SCM) starting from a mixed naive T/T(SCM) pool of PBLs. Due to the clinical-grade compatibility, this might be the basis for novel cell therapeutic options in life-threatening courses of viral and tumor disease. Copyright © 2015 by The American Association of Immunologists, Inc.

  9. CD22 is required for formation of memory B cell precursors within germinal centers.

    PubMed

    Chappell, Craig P; Draves, Kevin E; Clark, Edward A

    2017-01-01

    CD22 is a BCR co-receptor that regulates B cell signaling, proliferation and survival and is required for T cell-independent Ab responses. To investigate the role of CD22 during T cell-dependent (TD) Ab responses and memory B cell formation, we analyzed Ag-specific B cell responses generated by wild-type (WT) or CD22-/- B cells following immunization with a TD Ag. CD22-/- B cells mounted normal early Ab responses yet failed to generate either memory B cells or long-lived plasma cells, whereas WT B cells formed both populations. Surprisingly, B cell expansion and germinal center (GC) differentiation were comparable between WT and CD22-/- B cells. CD22-/- B cells, however, were significantly less capable of generating a population of CXCR4hiCD38hi GC B cells, which we propose represent memory B cell precursors within GCs. These results demonstrate a novel role for CD22 during TD humoral responses evident during primary GC formation and underscore that CD22 functions not only during B cell maturation but also during responses to both TD and T cell-independent antigens.

  10. CD22 is required for formation of memory B cell precursors within germinal centers

    PubMed Central

    Chappell, Craig P.; Draves, Kevin E.

    2017-01-01

    CD22 is a BCR co-receptor that regulates B cell signaling, proliferation and survival and is required for T cell-independent Ab responses. To investigate the role of CD22 during T cell-dependent (TD) Ab responses and memory B cell formation, we analyzed Ag-specific B cell responses generated by wild-type (WT) or CD22-/- B cells following immunization with a TD Ag. CD22-/- B cells mounted normal early Ab responses yet failed to generate either memory B cells or long-lived plasma cells, whereas WT B cells formed both populations. Surprisingly, B cell expansion and germinal center (GC) differentiation were comparable between WT and CD22-/- B cells. CD22-/- B cells, however, were significantly less capable of generating a population of CXCR4hiCD38hi GC B cells, which we propose represent memory B cell precursors within GCs. These results demonstrate a novel role for CD22 during TD humoral responses evident during primary GC formation and underscore that CD22 functions not only during B cell maturation but also during responses to both TD and T cell-independent antigens. PMID:28346517

  11. Development of memory CD8+ T cells and their recall responses during blood-stage infection with Plasmodium berghei ANKA.

    PubMed

    Miyakoda, Mana; Kimura, Daisuke; Honma, Kiri; Kimura, Kazumi; Yuda, Masao; Yui, Katsuyuki

    2012-11-01

    Conditions required for establishing protective immune memory vary depending on the infecting microbe. Although the memory immune response against malaria infection is generally thought to be relatively slow to develop and can be lost rapidly, experimental evidence is insufficient. In this report, we investigated the generation, maintenance, and recall responses of Ag-specific memory CD8(+) T cells using Plasmodium berghei ANKA expressing OVA (PbA-OVA) as a model system. Mice were transferred with OVA-specific CD8(+) T (OT-I) cells and infected with PbA-OVA or control Listeria monocytogenes expressing OVA (LM-OVA). Central memory type OT-I cells were maintained for >2 mo postinfection and recovery from PbA-OVA. Memory OT-I cells produced IFN-γ as well as TNF-α upon activation and were protective against challenge with a tumor expressing OVA, indicating that functional memory CD8(+) T cells can be generated and maintained postinfection with P. berghei ANKA. Cotransfer of memory OT-I cells with naive OT-I cells to mice followed by infection with PbA-OVA or LM-OVA revealed that clonal expansion of memory OT-I cells was limited during PbA-OVA infection compared with expansion of naive OT-I cells, whereas it was more rapid during LM-OVA infection. The expression of inhibitory receptors programmed cell death-1 and LAG-3 was higher in memory-derived OT-I cells than naive-derived OT-I cells during infection with PbA-OVA. These results suggest that memory CD8(+) T cells can be established postinfection with P. berghei ANKA, but their recall responses during reinfection are more profoundly inhibited than responses of naive CD8(+) T cells.

  12. High density submicron magnetoresistive random access memory (invited)

    NASA Astrophysics Data System (ADS)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  13. Engrampigenetics: Epigenetics of engram memory cells.

    PubMed

    Ripoli, Cristian

    2017-05-15

    For long time, the epidemiology of late-onset sporadic Alzheimer's disease (AD) risk factors has centered on adult life-style. Recent studies have, instead, focused on the role of early life experiences in progression of such disease especially in the context of prenatal and postnatal life. Although no single unfavorable environmental event has been shown to be neither necessary nor sufficient for AD development, it is possible that the sum of several environmentally induced effects, over time, contribute to its pathophysiology through epigenetic mechanisms. Indeed, epigenetic changes are influenced by environmental factors and have been proposed to play a role in multifactorial pathologies such as AD. At the same time, recent findings suggest that epigenetic mechanisms are one method that neurons use to translate transient stimuli into stable memories. Thus, the characteristics of epigenetics being a critical link between the environment and genes and playing a crucial role in memory formation make candidate epigenetic mechanisms a natural substrate for AD research. Indeed, independent groups have reported several epigenetically dysregulated genes in AD models; however, the role of epigenetic mechanisms in AD has remained elusive owing to contradictory results. Here, I propose that restricting the analysis of epigenetic changes specifically to subpopulations of neurons (namely, engram memory cells) might be helpful in understanding the role of the epigenetic process in the memory-related specific epigenetic code and might constitute a new template for therapeutic interventions against AD. Copyright © 2016. Published by Elsevier B.V.

  14. Methyltransferases mediate cell memory of a genotoxic insult.

    PubMed

    Rugo, R E; Mutamba, J T; Mohan, K N; Yee, T; Chaillet, J R; Greenberger, J S; Engelward, B P

    2011-02-10

    Characterization of the direct effects of DNA-damaging agents shows how DNA lesions lead to specific mutations. Yet, serum from Hiroshima survivors, Chernobyl liquidators and radiotherapy patients can induce a clastogenic effect on naive cells, showing indirect induction of genomic instability that persists years after exposure. Such indirect effects are not restricted to ionizing radiation, as chemical genotoxins also induce heritable and transmissible genomic instability phenotypes. Although such indirect induction of genomic instability is well described, the underlying mechanism has remained enigmatic. Here, we show that mouse embryonic stem cells exposed to γ-radiation bear the effects of the insult for weeks. Specifically, conditioned media from the progeny of exposed cells can induce DNA damage and homologous recombination in naive cells. Notably, cells exposed to conditioned media also elicit a genome-destabilizing effect on their neighbouring cells, thus demonstrating transmission of genomic instability. Moreover, we show that the underlying basis for the memory of an insult is completely dependent on two of the major DNA cytosine methyltransferases, Dnmt1 and Dnmt3a. Targeted disruption of these genes in exposed cells completely eliminates transmission of genomic instability. Furthermore, transient inactivation of Dnmt1, using a tet-suppressible allele, clears the memory of the insult, thus protecting neighbouring cells from indirect induction of genomic instability. We have thus demonstrated that a single exposure can lead to long-term, genome-destabilizing effects that spread from cell to cell, and we provide a specific molecular mechanism for these persistent bystander effects. Collectively, our results impact the current understanding of risks from toxin exposures and suggest modes of intervention for suppressing genomic instability in people exposed to carcinogenic genotoxins.

  15. Selective memory retrieval can impair and improve retrieval of other memories.

    PubMed

    Bäuml, Karl-Heinz T; Samenieh, Anuscheh

    2012-03-01

    Research from the past decades has shown that retrieval of a specific memory (e.g., retrieving part of a previous vacation) typically attenuates retrieval of other memories (e.g., memories for other details of the event), causing retrieval-induced forgetting. More recently, however, it has been shown that retrieval can both attenuate and aid recall of other memories (K.-H. T. Bäuml & A. Samenieh, 2010). To identify the circumstances under which retrieval aids recall, the authors examined retrieval dynamics in listwise directed forgetting, context-dependent forgetting, proactive interference, and in the absence of any induced memory impairment. They found beneficial effects of selective retrieval in listwise directed forgetting and context-dependent forgetting but detrimental effects in all the other conditions. Because context-dependent forgetting and listwise directed forgetting arguably reflect impaired context access, the results suggest that memory retrieval aids recall of memories that are subject to impaired context access but attenuates recall in the absence of such circumstances. The findings are consistent with a 2-factor account of memory retrieval and suggest the existence of 2 faces of memory retrieval. 2012 APA, all rights reserved

  16. Production of IL-10 by CD4+ regulatory T cells during the resolution of infection promotes the maturation of memory CD8+ T cells

    PubMed Central

    Laidlaw, Brian J; Cui, Weiguo; Amezquita, Robert A; Gray, Simon M; Guan, Tianxia; Lu, Yisi; Kobayashi, Yasushi; Flavell, Richard A; Kleinstein, Steven H; Craft, Joe; Kaech, Susan M

    2016-01-01

    Memory CD8+ T cells are critical for host defense upon reexposure to intracellular pathogens. We found that interleukin 10 (IL-10) derived from CD4+ regulatory T cells (Treg cells) was necessary for the maturation of memory CD8+ T cells following acute infection with lymphocytic choriomeningitis virus (LCMV). Treg cell–derived IL-10 was most important during the resolution phase, calming inflammation and the activation state of dendritic cells. Adoptive transfer of IL-10-sufficient Treg cells during the resolution phase ‘restored’ the maturation of memory CD8+ T cells in IL-10-deficient mice. Our data indicate that Treg cell–derived IL-10 is needed to insulate CD8+ T cells from inflammatory signals, and reveal that the resolution phase of infection is a critical period that influences the quality and function of developing memory CD8+ T cells. PMID:26147684

  17. Oct1 and OCA-B are selectively required for CD4 memory T cell function

    PubMed Central

    Shakya, Arvind; Goren, Alon; Shalek, Alex; German, Cody N.; Snook, Jeremy; Kuchroo, Vijay K.; Yosef, Nir; Chan, Raymond C.; Regev, Aviv

    2015-01-01

    Epigenetic changes are crucial for the generation of immunological memory. Failure to generate or maintain these changes will result in poor memory responses. Similarly, augmenting or stabilizing the correct epigenetic states offers a potential method of enhancing memory. Yet the transcription factors that regulate these processes are poorly defined. We find that the transcription factor Oct1 and its cofactor OCA-B are selectively required for the in vivo generation of CD4+ memory T cells. More importantly, the memory cells that are formed do not respond properly to antigen reencounter. In vitro, both proteins are required to maintain a poised state at the Il2 target locus in resting but previously stimulated CD4+ T cells. OCA-B is also required for the robust reexpression of multiple other genes including Ifng. ChIPseq identifies ∼50 differentially expressed direct Oct1 and OCA-B targets. We identify an underlying mechanism involving OCA-B recruitment of the histone lysine demethylase Jmjd1a to targets such as Il2, Ifng, and Zbtb32. The findings pinpoint Oct1 and OCA-B as central mediators of CD4+ T cell memory. PMID:26481684

  18. Oct1 and OCA-B are selectively required for CD4 memory T cell function.

    PubMed

    Shakya, Arvind; Goren, Alon; Shalek, Alex; German, Cody N; Snook, Jeremy; Kuchroo, Vijay K; Yosef, Nir; Chan, Raymond C; Regev, Aviv; Williams, Matthew A; Tantin, Dean

    2015-11-16

    Epigenetic changes are crucial for the generation of immunological memory. Failure to generate or maintain these changes will result in poor memory responses. Similarly, augmenting or stabilizing the correct epigenetic states offers a potential method of enhancing memory. Yet the transcription factors that regulate these processes are poorly defined. We find that the transcription factor Oct1 and its cofactor OCA-B are selectively required for the in vivo generation of CD4(+) memory T cells. More importantly, the memory cells that are formed do not respond properly to antigen reencounter. In vitro, both proteins are required to maintain a poised state at the Il2 target locus in resting but previously stimulated CD4(+) T cells. OCA-B is also required for the robust reexpression of multiple other genes including Ifng. ChIPseq identifies ∼50 differentially expressed direct Oct1 and OCA-B targets. We identify an underlying mechanism involving OCA-B recruitment of the histone lysine demethylase Jmjd1a to targets such as Il2, Ifng, and Zbtb32. The findings pinpoint Oct1 and OCA-B as central mediators of CD4(+) T cell memory. © 2015 Shakya et al.

  19. Multiple core computer processor with globally-accessible local memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shalf, John; Donofrio, David; Oliker, Leonid

    A multi-core computer processor including a plurality of processor cores interconnected in a Network-on-Chip (NoC) architecture, a plurality of caches, each of the plurality of caches being associated with one and only one of the plurality of processor cores, and a plurality of memories, each of the plurality of memories being associated with a different set of at least one of the plurality of processor cores and each of the plurality of memories being configured to be visible in a global memory address space such that the plurality of memories are visible to two or more of the plurality ofmore » processor cores.« less

  20. Manipulating memory CD8 T cell numbers by timed enhancement of IL-2 signals1

    PubMed Central

    Kim, Marie T.; Kurup, Samarchith P.; Starbeck-Miller, Gabriel R.; Harty, John T.

    2016-01-01

    Due to the growing burden of tumors and chronic infections, manipulating CD8 T cell responses for clinical use has become an important goal for immunologists. Here, we show that dendritic cell (DC) immunization coupled with relatively early (days 1–3) or late (days 4–6) administration of enhanced IL-2-signals both increase peak effector CD8 T cell numbers, but only early IL-2 signals enhance memory numbers. IL-2 signals delivered at relatively late time points drive terminal differentiation, marked Bim mediated contraction and do not increase memory T cell numbers. In contrast, early IL-2 signals induce effector cell metabolic profiles more conducive to memory formation. Of note, down-regulation of CD80 and CD86 was observed on DCs in vivo following early IL-2 treatment. Mechanistically, early IL-2 treatment enhanced CTLA-4 expression on regulatory T (Treg) cells, and CTLA-4 blockade alongside IL-2 treatment in vivo prevented the decrease in CD80 and CD86, supporting a cell-extrinsic role of CTLA-4 in down-regulating B7-ligand expression on DCs. Finally, DC immunization followed by early IL-2 treatment and αCTLA-4 blockade resulted in lower memory CD8 T cell numbers compared to the DC + early IL-2 treatment group. These data suggest that curtailed signaling through the B7-CD28 co-stimulatory axis during CD8 T cell activation limits terminal differentiation and preserves memory CD8 T cell formation and thus, should be considered in future T cell vaccination strategies. PMID:27439516

  1. A new model for CD8+ T cell memory inflation based upon a recombinant adenoviral vector1

    PubMed Central

    Bolinger, Beatrice; Sims, Stuart; O’Hara, Geraldine; de Lara, Catherine; Tchilian, Elma; Firner, Sonja; Engeler, Daniel; Ludewig, Burkhard; Klenerman, Paul

    2013-01-01

    CD8+ T cell memory inflation, first described in murine cytomegalovirus (MCMV) infection, is characterized by the accumulation of high-frequency, functional antigen-specific CD8+ T cell pools with an effector-memory phenotype and enrichment in peripheral organs. Although persistence of antigen is considered essential, the rules underpinning memory inflation are still unclear. The MCMV model is, however, complicated by the virus’s low-level persistence, and stochastic reactivation. We developed a new model of memory inflation based upon a βgal-recombinant adenovirus vector (Ad-LacZ). After i.v. administration in C57BL/6 mice we observe marked memory inflation in the βgal96 epitope, while a second epitope, βgal497, undergoes classical memory formation. The inflationary T cell responses show kinetics, distribution, phenotype and functions similar to those seen in MCMV and are reproduced using alternative routes of administration. Memory inflation in this model is dependent on MHC Class II. As in MCMV, only the inflating epitope showed immunoproteasome-independence. These data define a new model for memory inflation, which is fully replication-independent, internally controlled and reproduces the key immunologic features of the CD8+ T cell response. This model provides insight into the mechanisms responsible for memory inflation, and since it is based on a vaccine vector, also is relevant to novel T cell-inducing vaccines in humans. PMID:23509359

  2. Low power consumption resistance random access memory with Pt/InOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.; Tsai, Ming-Jinn

    2013-09-01

    In this study, the resistance switching characteristics of a resistive random access memory device with Pt/InOx/TiN structure is investigated. Unstable bipolar switching behavior is observed during the initial switching cycle, which then stabilizes after several switching cycles. Analyses indicate that the current conduction mechanism in the resistance state is dominated by Ohmic conduction. The decrease in electrical conductance can be attributed to the reduction of the cross-sectional area of the conduction path. Furthermore, the device exhibits low operation voltage and power consumption.

  3. Effector and central memory T helper 2 cells respond differently to peptide immunotherapy

    PubMed Central

    Mackenzie, Karen J.; Nowakowska, Dominika J.; Leech, Melanie D.; McFarlane, Amanda J.; Wilson, Claire; Fitch, Paul M.; O’Connor, Richard A.; Howie, Sarah E. M.; Schwarze, Jürgen; Anderton, Stephen M.

    2014-01-01

    Peptide immunotherapy (PIT) offers realistic prospects for the treatment of allergic diseases, including allergic asthma. Much is understood of the behavior of naive T cells in response to PIT. However, treatment of patients with ongoing allergic disease requires detailed understanding of the responses of allergen-experienced T cells. CD62L expression by allergen-experienced T cells corresponds to effector/effector memory (CD62Llo) and central memory (CD62Lhi) subsets, which vary with allergen exposure (e.g., during, or out with, pollen season). The efficacy of PIT on different T helper 2 (Th2) cell memory populations is unknown. We developed a murine model of PIT in allergic airway inflammation (AAI) driven by adoptively transferred, traceable ovalbumin-experienced Th2 cells. PIT effectively suppressed AAI driven by unfractionated Th2 cells. Selective transfer of CD62Lhi and CD62Llo Th2 cells revealed that these two populations behaved differently from one another and from previously characterized (early deletional) responses of naive CD4+ T cells to PIT. Most notably, allergen-reactive CD62Llo Th2 cells were long-lived within the lung after PIT, before allergen challenge, in contrast to CD62Lhi Th2 cells. Despite this, PIT was most potent against CD62Llo Th2 cells in protecting from AAI, impairing their ability to produce Th2 cytokines, whereas this capacity was heightened in PIT-treated CD62Lhi Th2 cells. We conclude that Th2 cells do not undergo an early deletional form of tolerance after PIT. Moreover, memory Th2 subsets respond differently to PIT. These findings have implications for the clinical translation of PIT in different allergic scenarios. PMID:24516158

  4. Skin vaccination with live virus vectored microneedle arrays induce long lived CD8(+) T cell memory.

    PubMed

    Becker, Pablo D; Hervouet, Catherine; Mason, Gavin M; Kwon, Sung-Yun; Klavinskis, Linda S

    2015-09-08

    A simple dissolvable microneedle array (MA) platform has emerged as a promising technology for vaccine delivery, due to needle-free injection with a formulation that preserves the immunogenicity of live viral vectored vaccines dried in the MA matrix. While recent studies have focused largely on design parameters optimized to induce primary CD8(+) T cell responses, the hallmark of a vaccine is synonymous with engendering long-lasting memory. Here, we address the capacity of dried MA vaccination to programme phenotypic markers indicative of effector/memory CD8(+) T cell subsets and also responsiveness to recall antigen benchmarked against conventional intradermal (ID) injection. We show that despite a slightly lower frequency of dividing T cell receptor transgenic CD8(+) T cells in secondary lymphoid tissue at an early time point, the absolute number of CD8(+) T cells expressing an effector memory (CD62L(-)CD127(+)) and central memory (CD62L(+)CD127(+)) phenotype during peak expansion were comparable after MA and ID vaccination with a recombinant human adenovirus type 5 vector (AdHu5) encoding HIV-1 gag. Similarly, both vaccination routes generated CD8(+) memory T cell subsets detected in draining LNs for at least two years post-vaccination capable of responding to secondary antigen. These data suggest that CD8(+) T cell effector/memory generation and long-term memory is largely unaffected by physical differences in vaccine delivery to the skin via dried MA or ID suspension. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. Regionally compartmentalized resident memory T cells mediate naturally acquired protection against pneumococcal pneumonia.

    PubMed

    Smith, N Ms; Wasserman, G A; Coleman, F T; Hilliard, K L; Yamamoto, K; Lipsitz, E; Malley, R; Dooms, H; Jones, M R; Quinton, L J; Mizgerd, J P

    2018-01-01

    As children age, they become less susceptible to the diverse microbes causing pneumonia. These microbes are pathobionts that infect the respiratory tract multiple times during childhood, generating immunological memory. To elucidate mechanisms of such naturally acquired immune protection against pneumonia, we modeled a relevant immunological history in mice by infecting their airways with mismatched serotypes of Streptococcus pneumoniae (pneumococcus). Previous pneumococcal infections provided protection against a heterotypic, highly virulent pneumococcus, as evidenced by reduced bacterial burdens and long-term sterilizing immunity. This protection was diminished by depletion of CD4 + cells prior to the final infection. The resolution of previous pneumococcal infections seeded the lungs with CD4 + resident memory T (T RM ) cells, which responded to heterotypic pneumococcus stimulation by producing multiple effector cytokines, particularly interleukin (IL)-17A. Following lobar pneumonias, IL-17-producing CD4 + T RM cells were confined to the previously infected lobe, rather than dispersed throughout the lower respiratory tract. Importantly, pneumonia protection also was confined to that immunologically experienced lobe. Thus regionally localized memory cells provide superior local tissue protection to that mediated by systemic or central memory immune defenses. We conclude that respiratory bacterial infections elicit CD4 + T RM cells that fill a local niche to optimize heterotypic protection of the affected tissue, preventing pneumonia.

  6. Characterization of naïve, memory and effector T cells in progressive multiple sclerosis.

    PubMed

    Nielsen, Birgitte Romme; Ratzer, Rikke; Börnsen, Lars; von Essen, Marina Rode; Christensen, Jeppe Romme; Sellebjerg, Finn

    2017-09-15

    We characterized naïve, central memory (CM), effector memory (EM) and terminally differentiated effector memory (TEMRA) CD4 + and CD8 + T cells and their expression of CD49d and CD26 in peripheral blood in patients with multiple sclerosis (MS) and healthy controls. CD26 + CD28 + CD4 + TEMRA T cells were increased in all subtypes of MS, and CD26 + CD28 + CD8 + TEMRA T cells were increased in relapsing-remitting and secondary progressive MS. Conversely, in progressive MS, CD49d + CM T cells were decreased and natalizumab increased the circulating number of all six subsets but reduced the frequency of most subsets expressing CD49d and CD26. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Foxp3+ T cells inhibit antitumor immune memory modulated by mTOR inhibition.

    PubMed

    Wang, Yanping; Sparwasser, Tim; Figlin, Robert; Kim, Hyung L

    2014-04-15

    Inhibition of mTOR signaling enhances antitumor memory lymphocytes. However, pharmacologic mTOR inhibition also enhances regulatory T-cell (Treg) activity. To counter this effect, Treg control was added to mTOR inhibition in preclinical models. Tregs were controlled with CD4-depleting antibodies because CD4 depletion has high translational potential and already has a well-established safety profile in patients. The antitumor activity of the combination therapy was CD8 dependent and controlled growth of syngeneic tumors even when an adoptive immunotherapy was not used. Lymphocytes resulting from the combination therapy could be transferred into naïve mice to inhibit aggressive growth of lung metastases. The combination therapy enhanced CD8 memory formation as determined by memory markers and functional studies of immune recall. Removal of FoxP3-expressing T lymphocytes was the mechanism underlying immunologic memory formation following CD4 depletion. This was confirmed using transgenic DEREG (depletion of regulatory T cells) mice to specifically remove Foxp3(+) T cells. It was further confirmed with reciprocal studies where stimulation of immunologic memory because of CD4 depletion was completely neutralized by adoptively transferring tumor-specific Foxp3(+) T cells. Also contributing to tumor control, Tregs that eventually recovered following CD4 depletion were less immunosuppressive. These results provide a rationale for further study of mTOR inhibition and CD4 depletion in patients. ©2014 AACR.

  8. Negative regulation of NKG2D expression by IL-4 in memory CD8 T cells.

    PubMed

    Ventre, Erwan; Brinza, Lilia; Schicklin, Stephane; Mafille, Julien; Coupet, Charles-Antoine; Marçais, Antoine; Djebali, Sophia; Jubin, Virginie; Walzer, Thierry; Marvel, Jacqueline

    2012-10-01

    IL-4 is one of the main cytokines produced during Th2-inducing pathologies. This cytokine has been shown to affect a number of immune processes such as Th differentiation and innate immune responses. However, the impact of IL-4 on CD8 T cell responses remains unclear. In this study, we analyzed the effects of IL-4 on global gene expression profiles of Ag-induced memory CD8 T cells in the mouse. Gene ontology analysis of this signature revealed that IL-4 regulated most importantly genes associated with immune responses. Moreover, this IL-4 signature overlapped with the set of genes preferentially expressed by memory CD8 T cells over naive CD8 T cells. In particular, IL-4 downregulated in vitro and in vivo in a STAT6-dependent manner the memory-specific expression of NKG2D, thereby increasing the activation threshold of memory CD8 T cells. Furthermore, IL-4 impaired activation of memory cells as well as their differentiation into effector cells. This phenomenon could have an important clinical relevance as patients affected by Th2 pathologies such as parasitic infections or atopic dermatitis often suffer from viral-induced complications possibly linked to inefficient CD8 T cell responses.

  9. IFN-γ Induces the Erosion of Preexisting CD8 T Cell Memory during Infection with a Heterologous Intracellular Bacterium1

    PubMed Central

    Dudani, Renu; Murali-Krishna, Kaja; Krishnan, Lakshmi; Sad, Subash

    2014-01-01

    Memory T cells are critical for the control of intracellular pathogens and require few signals for maintenance; however, erosion of established preexisting memory CD8+ T cells has been shown to occur during infection with heterologous viral infections. We evaluated whether this also occurs during infection with various intracellular bacteria and what mechanisms may be involved. We demonstrate that erosion of established memory is also induced during infection of mice with various intracellular bacteria, such as Listeria monocytogenes, Salmonella typhimurium, and Mycobacterium bovis (bacillus Calmette-Guérin). The extent of erosion of established CD8+ T cell memory was dependent on the virulence of the heterologous pathogen, not persistence. Furthermore, when antibiotics were used to comprehensively eliminate the heterologous pathogen, the numbers of memory CD8+ T cells were not restored, indicating that erosion of preexisting memory CD8+ T cells was irreversible. Irrespective of the initial numbers of memory CD8+ T cells, challenge with the heterologous pathogen resulted in a similar extent of erosion of memory CD8+ T cells, suggesting that cellular competition was not responsible for erosion. After challenge with the heterologous pathogen, effector memory CD8+ T cells were rapidly eliminated. More importantly, erosion of preexisting memory CD8+ T cells was abrogated in the absence of IFN-γ. These studies help reveal the paradoxical role of IFN-γ. Although IFN-γ promotes the control of intracellular bacterial replication during primary infection, this comes at the expense of erosion of preexisting memory CD8+ T cells in the wake of infection with heterologous pathogens. PMID:18641306

  10. Telomerase Is Involved in IL-7-Mediated Differential Survival of Naive and Memory CD4+ T Cells1

    PubMed Central

    Yang, Yinhua; An, Jie; Weng, Nan-ping

    2008-01-01

    IL-7 plays an essential role in T cell maintenance and survival. The survival effect of IL-7 is thought to be mediated through regulation of Bcl2 family proteins. After a comparative analysis of IL-7-induced growth and cell death of human naive and memory CD4+ T cells, we observed that more memory CD4+ T cells underwent cell division and proceeded to apoptosis than naive cells in response to IL-7. However, IL-7-induced expressions of Bcl2 family members (Bcl2, Bcl-xL, Bax, and Bad) were similar between naive and memory cells. Instead, we found that IL-7 induced higher levels of telomerase activity in naive cells than in memory cells, and the levels of IL-7-induced telomerase activity had a significant inverse correlation with cell death in CD4+ T cells. Furthermore, we showed that reducing expression of telomerase reverse transcriptase and telomerase activity significantly increased cell death of IL-7-cultured CD4+ T cells. Together, these findings demonstrate that telomerase is involved in IL-7-mediated differential survival of naive and memory CD4+ T cells. PMID:18322183

  11. Multibit Polycristalline Silicon-Oxide-Silicon Nitride-Oxide-Silicon Memory Cells with High Density Designed Utilizing a Separated Control Gate

    NASA Astrophysics Data System (ADS)

    Rok Kim, Kyeong; You, Joo Hyung; Dal Kwack, Kae; Kim, Tae Whan

    2010-10-01

    Unique multibit NAND polycrystalline silicon-oxide-silicon nitride-oxide-silicon (SONOS) memory cells utilizing a separated control gate (SCG) were designed to increase memory density. The proposed NAND SONOS memory device based on a SCG structure was operated as two bits, resulting in an increase in the storage density of the NVM devices in comparison with conventional single-bit memories. The electrical properties of the SONOS memory cells with a SCG were investigated to clarify the charging effects in the SONOS memory cells. When the program voltage was supplied to each gate of the NAND SONOS flash memory cells, the electrons were trapped in the nitride region of the oxide-nitride-oxide layer under the gate to supply the program voltage. The electrons were accumulated without affecting the other gate during the programming operation, indicating the absence of cross-talk between two trap charge regions. It is expected that the inference effect will be suppressed by the lower program voltage than the program voltage of the conventional NAND flash memory. The simulation results indicate that the proposed unique NAND SONOS memory cells with a SCG can be used to increase memory density.

  12. Aiolos Overexpression in Systemic Lupus Erythematosus B Cell Subtypes and BAFF-Induced Memory B Cell Differentiation Are Reduced by CC-220 Modulation of Cereblon Activity

    PubMed Central

    Nakayama, Yumi; Kosek, Jolanta; Capone, Lori; Schafer, Peter H.

    2017-01-01

    BAFF is a B cell survival and maturation factor implicated in the pathogenesis of systemic lupus erythematosus (SLE). In this in vitro study, we describe that soluble BAFF in combination with IL-2 and IL-21 is a T cell contact-independent inducer of human B cell proliferation, plasmablast differentiation, and IgG secretion from circulating CD27+ memory and memory-like CD27−IgD− double-negative (DN) B cells, but not CD27−IgD+ naive B cells. In contrast, soluble CD40L in combination with IL-2 and IL-21 induces these activities in both memory and naive B cells. Blood from healthy donors and SLE patients have similar circulating levels of IL-2, whereas SLE patients exhibit elevated BAFF and DN B cells and reduced IL-21. B cell differentiation transcription factors in memory, DN, and naive B cells in SLE show elevated levels of Aiolos, whereas Ikaros levels are unchanged. Treatment with CC-220, a modulator of the cullin ring ligase 4-cereblon E3 ubiquitin ligase complex, reduces Aiolos and Ikaros protein levels and BAFF- and CD40L-induced proliferation, plasmablast differentiation, and IgG secretion. The observation that the soluble factors BAFF, IL-2, and IL-21 induce memory and DN B cell activation and differentiation has implications for extrafollicular plasmablast development within inflamed tissue. Inhibition of B cell plasmablast differentiation by reduction of Aiolos and Ikaros may have utility in the treatment of SLE, where elevated levels of BAFF and Aiolos may prime CD27+ memory and DN memory-like B cells to become Ab-producing plasmablasts in the presence of BAFF and proinflammatory cytokines. PMID:28848067

  13. CLONAL MEMORY

    PubMed Central

    McMichael, A. J.; Williamson, A. R.

    1974-01-01

    A single clone of B cells producing anti-DNP antibody recognizable by the isoelectric-focusing spectrum has been used, in a double transfer system, to study clonal memory. Trasnsferable B memory develops between 4 and 7 days after the first transfer with antigen. B-memory cells thus proliferate before or concomitantly with antibody-forming cells. PMID:4545165

  14. 76 FR 45295 - In the Matter of Certain Static Random Access Memories and Products Containing Same; Notice of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-07-28

    ... supplementing the amended complaint was filed on June 28, 2011. A second amended complaint was filed on July 13... of certain static random access memories and products containing same by reason of infringement of... 13 of the `937 patent, and whether an industry in the United States exists as required by subsection...

  15. Induction and Maintenance of CX3CR1-Intermediate Peripheral Memory CD8+ T Cells by Persistent Viruses and Vaccines.

    PubMed

    Gordon, Claire Louse; Lee, Lian Ni; Swadling, Leo; Hutchings, Claire; Zinser, Madeleine; Highton, Andrew John; Capone, Stefania; Folgori, Antonella; Barnes, Eleanor; Klenerman, Paul

    2018-04-17

    The induction and maintenance of T cell memory is critical to the success of vaccines. A recently described subset of memory CD8 + T cells defined by intermediate expression of the chemokine receptor CX3CR1 was shown to have self-renewal, proliferative, and tissue-surveillance properties relevant to vaccine-induced memory. We tracked these cells when memory is sustained at high levels: memory inflation induced by cytomegalovirus (CMV) and adenovirus-vectored vaccines. In mice, both CMV and vaccine-induced inflationary T cells showed sustained high levels of CX3R1 int cells exhibiting an effector-memory phenotype, characteristic of inflationary pools, in early memory. In humans, CX3CR1 int CD8 + T cells were strongly induced following adenovirus-vectored vaccination for hepatitis C virus (HCV) (ChAd3-NSmut) and during natural CMV infection and were associated with a memory phenotype similar to that in mice. These data indicate that CX3CR1 int cells form an important component of the memory pool in response to persistent viruses and vaccines in both mice and humans. Copyright © 2018 The Author(s). Published by Elsevier Inc. All rights reserved.

  16. Transition of late-stage effector T cells to CD27+ CD28+ tumor-reactive effector memory T cells in humans after adoptive cell transfer therapy

    PubMed Central

    Powell, Daniel J.; Dudley, Mark E.; Robbins, Paul F.; Rosenberg, Steven A.

    2007-01-01

    In humans, the pathways of memory T-cell differentiation remain poorly defined. Recently, adoptive cell transfer (ACT) of tumor-reactive T lymphocytes to metastatic melanoma patients after nonmyeloablative chemotherapy has resulted in persistence of functional, tumor-reactive lymphocytes, regression of disease, and induction of melanocyte-directed autoimmunity in some responding patients. In the current study, longitudinal phenotypic analysis was performed on melanoma antigen–specific CD8+ T cells during their transition from in vitro cultured effector cells to long-term persistent memory cells following ACT to 6 responding patients. Tumor-reactive T cells used for therapy were generally late-stage effector cells with a CD27Lo CD28Lo CD45RA− CD62 ligand− (CD62L−) CC chemokine receptor 7− (CCR7−) interleukin-7 receptor αLo (IL-7RαLo) phenotype. After transfer, rapid up-regulation and continued expression of IL-7Rα in vivo suggested an important role for IL-7R in immediate and long-term T-cell survival. Although the tumor antigen–specific T-cell population contracted between 1 and 4 weeks after transfer, stable numbers of CD27+ CD28+ tumor-reactive T cells were maintained, demonstrating their contribution to the development of long-term, melanoma-reactive memory CD8+ T cells in vivo. At 2 months after transfer, melanoma-reactive T cells persisted at high levels and displayed an effector memory phenotype, including a CD27+ CD28+ CD62L− CCR7− profile, which may explain in part their ability to mediate tumor destruction. PMID:15345595

  17. High speed magneto-resistive random access memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan (Inventor); Stadler, Henry L. (Inventor); Katti, Romney R. (Inventor)

    1992-01-01

    A high speed read MRAM memory element is configured from a sandwich of magnetizable, ferromagnetic film surrounding a magneto-resistive film which may be ferromagnetic or not. One outer ferromagnetic film has a higher coercive force than the other and therefore remains magnetized in one sense while the other may be switched in sense by a switching magnetic field. The magneto-resistive film is therefore sensitive to the amplitude of the resultant field between the outer ferromagnetic films and may be constructed of a high resistivity, high magneto-resistive material capable of higher sensing currents. This permits higher read voltages and therefore faster read operations. Alternate embodiments with perpendicular anisotropy, and in-plane anisotropy are shown, including an embodiment which uses high permeability guides to direct the closing flux path through the magneto-resistive material. High density, high speed, radiation hard, memory matrices may be constructed from these memory elements.

  18. Functional capacities of human IgM memory B cells in early inflammatory responses and secondary germinal center reactions.

    PubMed

    Seifert, Marc; Przekopowitz, Martina; Taudien, Sarah; Lollies, Anna; Ronge, Viola; Drees, Britta; Lindemann, Monika; Hillen, Uwe; Engler, Harald; Singer, Bernhard B; Küppers, Ralf

    2015-02-10

    The generation and functions of human peripheral blood (PB) IgM(+)IgD(+)CD27(+) B lymphocytes with somatically mutated IgV genes are controversially discussed. We determined their differential gene expression to naive B cells and to IgM-only and IgG(+) memory B cells. This analysis revealed a high similarity of IgM(+)(IgD(+))CD27(+) and IgG(+) memory B cells but also pointed at distinct functional capacities of both subsets. In vitro analyses revealed a tendency of activated IgM(+)IgD(+)CD27(+) B cells to migrate to B-cell follicles and undergo germinal center (GC) B-cell differentiation, whereas activated IgG(+) memory B cells preferentially showed a plasma cell (PC) fate. This observation was supported by reverse regulation of B-cell lymphoma 6 and PR domain containing 1 and differential BTB and CNC homology 1, basic leucine zipper transcription factor 2 expression. Moreover, IgM(+)IgD(+)CD27(+) B lymphocytes preferentially responded to neutrophil-derived cytokines. Costimulation with catecholamines, carcinoembryonic antigen cell adhesion molecule 8 (CEACAM8), and IFN-γ caused differentiation of IgM(+)IgD(+)CD27(+) B cells into PCs, induced class switching to IgG2, and was reproducible in cocultures with neutrophils. In conclusion, this study substantiates memory B-cell characteristics of human IgM(+)IgD(+)CD27(+) B cells in that they share typical memory B-cell transcription patterns with IgG(+) post-GC B cells and show a faster and more vigorous restimulation potential, a hallmark of immune memory. Moreover, this work reveals a functional plasticity of human IgM memory B cells by showing their propensity to undergo secondary GC reactions upon reactivation, but also by their special role in early inflammation via interaction with immunomodulatory neutrophils.

  19. Therapeutic limitations in tumor-specific CD8+ memory T cell engraftment

    PubMed Central

    Bathe, Oliver F; Dalyot-Herman, Nava; Malek, Thomas R

    2003-01-01

    Background Adoptive immunotherapy with cytotoxic T lymphocytes (CTL) represents an alternative approach to treating solid tumors. Ideally, this would confer long-term protection against tumor. We previously demonstrated that in vitro-generated tumor-specific CTL from the ovalbumin (OVA)-specific OT-I T cell receptor transgenic mouse persisted long after adoptive transfer as memory T cells. When recipient mice were challenged with the OVA-expressing E.G7 thymoma, tumor growth was delayed and sometimes prevented. The reasons for therapeutic failures were not clear. Methods OT-I CTL were adoptively transferred to C57BL/6 mice 21 – 28 days prior to tumor challenge. At this time, the donor cells had the phenotypical and functional characteristics of memory CD8+ T cells. Recipients which developed tumor despite adoptive immunotherapy were analyzed to evaluate the reason(s) for therapeutic failure. Results Dose-response studies demonstrated that the degree of tumor protection was directly proportional to the number of OT-I CTL adoptively transferred. At a low dose of OT-I CTL, therapeutic failure was attributed to insufficient numbers of OT-I T cells that persisted in vivo, rather than mechanisms that actively suppressed or anergized the OT-I T cells. In recipients of high numbers of OT-I CTL, the E.G7 tumor that developed was shown to be resistant to fresh OT-I CTL when examined ex vivo. Furthermore, these same tumor cells no longer secreted a detectable level of OVA. In this case, resistance to immunotherapy was secondary to selection of clones of E.G7 that expressed a lower level of tumor antigen. Conclusions Memory engraftment with tumor-specific CTL provides long-term protection against tumor. However, there are several limitations to this immunotherapeutic strategy, especially when targeting a single antigen. This study illustrates the importance of administering large numbers of effectors to engraft sufficiently efficacious immunologic memory. It also

  20. A room-temperature non-volatile CNT-based molecular memory cell

    NASA Astrophysics Data System (ADS)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  1. Circulating CXCR5+CD4+ T Follicular-Like Helper Cell and Memory B Cell Responses to Human Papillomavirus Vaccines

    PubMed Central

    Matsui, Ken; Adelsberger, Joseph W.; Kemp, Troy J.; Baseler, Michael W.; Ledgerwood, Julie E.; Pinto, Ligia A.

    2015-01-01

    Through the interaction of T follicular helper (Tfh) cells and B cells, efficacious vaccines can generate high-affinity, pathogen-neutralizing antibodies, and memory B cells. Using CXCR5, CXCR3, CCR6, CCR7, PD1, and ICOS as markers, Tfh-like cells can be identified in the circulation and be classified into three functionally distinct subsets that are PD1+ICOS+, PD1+ ICOS-, or PD1-ICOS-. We used these markers to identify different subsets of CXCR5+CD4+ Tfh-like cells in response to highly immunogenic and efficacious vaccines for human papillomaviruses (HPV): Cervarix and Gardasil. In this small study, we used PBMC samples from 11 Gardasil recipients, and 8 Cervarix recipients from the Vaccine Research Center 902 Study to examine the induction of circulating Tfh-like cells and IgD-CD38HiCD27+ memory B cells by flow cytometry. PD1+ICOS+ CXCR3+CCR6-CXCR5+CD4+ (Tfh1-like) cells were induced and peaked on Day (D) 7 post-first vaccination, but not as much on D7 post-third vaccination. We also observed a trend toward increase in PD1+ICOS+ CXCR3-CCR6-CXCR5+CD4+ (Tfh2-like) cells for both vaccines, and PD1+ICOS+ CXCR3-CCR6+CXCR5+CD4+ (Tfh17-like) subset was induced by Cervarix post-first vaccination. There were also minimal changes in the other cellular subsets. In addition, Cervarix recipients had more memory B cells post-first vaccination than did Gardasil recipients at D14 and D30. We found frequencies of memory B cells at D30 correlated with anti-HPV16 and 18 antibody titers from D30, and the induction levels of memory B cells at D30 and PD1+ICOS+Tfh1-like cells at D7 post-first vaccination correlated for Cervarix. Our study showed that induction of circulating CXCR5+CD4+ Tfh-like subsets can be detected following immunization with HPV vaccines, and potentially be useful as a marker of immunogenicity of vaccines. However, further investigations should be extended to different cohorts with larger sample size to better understand the functions of these T cells, as well as

  2. Oseltamivir Prophylaxis Reduces Inflammation and Facilitates Establishment of Cross-Strain Protective T Cell Memory to Influenza Viruses

    PubMed Central

    Hurt, Aeron C.; Oshansky, Christine M.; Oh, Ding Yuan; Reading, Patrick C.; Chua, Brendon Y.; Sun, Yilun; Tang, Li; Handel, Andreas; Jackson, David C.; Turner, Stephen J.; Thomas, Paul G.; Kedzierska, Katherine

    2015-01-01

    CD8+ T cells directed against conserved viral regions elicit broad immunity against distinct influenza viruses, promote rapid virus elimination and enhanced host recovery. The influenza neuraminidase inhibitor, oseltamivir, is prescribed for therapy and prophylaxis, although it remains unclear how the drug impacts disease severity and establishment of effector and memory CD8+ T cell immunity. We dissected the effects of oseltamivir on viral replication, inflammation, acute CD8+ T cell responses and the establishment of immunological CD8+ T cell memory. In mice, ferrets and humans, the effect of osteltamivir on viral titre was relatively modest. However, prophylactic oseltamivir treatment in mice markedly reduced morbidity, innate responses, inflammation and, ultimately, the magnitude of effector CD8+ T cell responses. Importantly, functional memory CD8+ T cells established during the drug-reduced effector phase were capable of mounting robust recall responses. Moreover, influenza-specific memory CD4+ T cells could be also recalled after the secondary challenge, while the antibody levels were unaffected. This provides evidence that long-term memory T cells can be generated during an oseltamivir-interrupted infection. The anti-inflammatory effect of oseltamivir was verified in H1N1-infected patients. Thus, in the case of an unpredicted influenza pandemic, while prophylactic oseltamivir treatment can reduce disease severity, the capacity to generate memory CD8+ T cells specific for the newly emerged virus is uncompromised. This could prove especially important for any new influenza pandemic which often occurs in separate waves. PMID:26086392

  3. Unified random access memory (URAM) by integration of a nanocrystal floating gate for nonvolatile memory and a partially depleted floating body for capacitorless 1T-DRAM

    NASA Astrophysics Data System (ADS)

    Ryu, Seong-Wan; Han, Jin-Woo; Kim, Chung-Jin; Kim, Sungho; Choi, Yang-Kyu

    2009-03-01

    This paper describes a unified memory (URAM) that utilizes a nanocrystal SOI MOSFET for multi-functional applications of both nonvolatile memory (NVM) and capacitorless 1T-DRAM. By using a discrete storage node (Ag nanocrystal) as the floating gate of the NVM, high defect immunity and 2-bit/cell operation were achieved. The embedded nanocrystal NVM also showed 1T-DRAM operation (program/erase time = 100 ns) characteristics, which were realized by storing holes in the floating body of the SOI MOSFET, without requiring an external capacitor. Three-bit/cell operation was accomplished for different applications - 2-bits for nonvolatility and 1-bit for fast operation.

  4. Epigenetic Networks Regulate the Transcriptional Program in Memory and Terminally Differentiated CD8+ T Cells.

    PubMed

    Rodriguez, Ramon M; Suarez-Alvarez, Beatriz; Lavín, José L; Mosén-Ansorena, David; Baragaño Raneros, Aroa; Márquez-Kisinousky, Leonardo; Aransay, Ana M; Lopez-Larrea, Carlos

    2017-01-15

    Epigenetic mechanisms play a critical role during differentiation of T cells by contributing to the formation of stable and heritable transcriptional patterns. To better understand the mechanisms of memory maintenance in CD8 + T cells, we performed genome-wide analysis of DNA methylation, histone marking (acetylated lysine 9 in histone H3 and trimethylated lysine 9 in histone), and gene-expression profiles in naive, effector memory (EM), and terminally differentiated EM (TEMRA) cells. Our results indicate that DNA demethylation and histone acetylation are coordinated to generate the transcriptional program associated with memory cells. Conversely, EM and TEMRA cells share a very similar epigenetic landscape. Nonetheless, the TEMRA transcriptional program predicts an innate immunity phenotype associated with genes never reported in these cells, including several mediators of NK cell activation (VAV3 and LYN) and a large array of NK receptors (e.g., KIR2DL3, KIR2DL4, KIR2DL1, KIR3DL1, KIR2DS5). In addition, we identified up to 161 genes that encode transcriptional regulators, some of unknown function in CD8 + T cells, and that were differentially expressed in the course of differentiation. Overall, these results provide new insights into the regulatory networks involved in memory CD8 + T cell maintenance and T cell terminal differentiation. Copyright © 2017 by The American Association of Immunologists, Inc.

  5. Aiolos Overexpression in Systemic Lupus Erythematosus B Cell Subtypes and BAFF-Induced Memory B Cell Differentiation Are Reduced by CC-220 Modulation of Cereblon Activity.

    PubMed

    Nakayama, Yumi; Kosek, Jolanta; Capone, Lori; Hur, Eun Mi; Schafer, Peter H; Ringheim, Garth E

    2017-10-01

    BAFF is a B cell survival and maturation factor implicated in the pathogenesis of systemic lupus erythematosus (SLE). In this in vitro study, we describe that soluble BAFF in combination with IL-2 and IL-21 is a T cell contact-independent inducer of human B cell proliferation, plasmablast differentiation, and IgG secretion from circulating CD27 + memory and memory-like CD27 - IgD - double-negative (DN) B cells, but not CD27 - IgD + naive B cells. In contrast, soluble CD40L in combination with IL-2 and IL-21 induces these activities in both memory and naive B cells. Blood from healthy donors and SLE patients have similar circulating levels of IL-2, whereas SLE patients exhibit elevated BAFF and DN B cells and reduced IL-21. B cell differentiation transcription factors in memory, DN, and naive B cells in SLE show elevated levels of Aiolos, whereas Ikaros levels are unchanged. Treatment with CC-220, a modulator of the cullin ring ligase 4-cereblon E3 ubiquitin ligase complex, reduces Aiolos and Ikaros protein levels and BAFF- and CD40L-induced proliferation, plasmablast differentiation, and IgG secretion. The observation that the soluble factors BAFF, IL-2, and IL-21 induce memory and DN B cell activation and differentiation has implications for extrafollicular plasmablast development within inflamed tissue. Inhibition of B cell plasmablast differentiation by reduction of Aiolos and Ikaros may have utility in the treatment of SLE, where elevated levels of BAFF and Aiolos may prime CD27 + memory and DN memory-like B cells to become Ab-producing plasmablasts in the presence of BAFF and proinflammatory cytokines. Copyright © 2017 by The American Association of Immunologists, Inc.

  6. Characterization of a CD44/CD122int memory CD8 T cell subset generated under sterile inflammatory conditions.

    PubMed

    Mbitikon-Kobo, Florentin-Martial; Vocanson, Marc; Michallet, Marie-Cécile; Tomkowiak, Martine; Cottalorda, Anne; Angelov, Georgi S; Coupet, Charles-Antoine; Djebali, Sophia; Marçais, Antoine; Dubois, Bertrand; Bonnefoy-Bérard, Nathalie; Nicolas, Jean-François; Arpin, Christophe; Marvel, Jacqueline

    2009-03-15

    Most memory CD8 T cell subsets that have been hitherto defined are generated in response to infectious pathogens. In this study, we have characterized the CD8 T cells that survive priming conditions, devoid of pathogen-derived danger signals. In both a TCR-transgenic model and a model of contact hypersensitivity, we show that the priming of naive CD8 T cells under sterile inflammatory conditions generates memory. The corresponding memory CD8 T cells can be identified by their intermediate expression levels of CD44 and CD122. We also show that CD44/122(int) memory CD8 T cells spontaneously develop in wild type mice and that they display intermediate levels of several other memory traits including functional (IFN-gamma secretion capacity, CCL5 messenger stores), phenotypic, and molecular (T-bet and eomesodermin expression levels) features. We finally show that they correspond to an early differentiation stage and can further differentiate in CD44/122(high) memory T cells. Altogether, our results identify a new memory CD8 T cell subset that is generated under sterile inflammatory conditions and involved in the recall contact hypersensitivity reactions that are responsible for allergic contact dermatitis.

  7. Bubble memory module for spacecraft application

    NASA Technical Reports Server (NTRS)

    Hayes, P. J.; Looney, K. T.; Nichols, C. D.

    1985-01-01

    Bubble domain technology offers an all-solid-state alternative for data storage in onboard data systems. A versatile modular bubble memory concept was developed. The key module is the bubble memory module which contains all of the storage devices and circuitry for accessing these devices. This report documents the bubble memory module design and preliminary hardware designs aimed at memory module functional demonstration with available commercial bubble devices. The system architecture provides simultaneous operation of bubble devices to attain high data rates. Banks of bubble devices are accessed by a given bubble controller to minimize controller parts. A power strobing technique is discussed which could minimize the average system power dissipation. A fast initialization method using EEPROM (electrically erasable, programmable read-only memory) devices promotes fast access. Noise and crosstalk problems and implementations to minimize these are discussed. Flight memory systems which incorporate the concepts and techniques of this work could now be developed for applications.

  8. Reversible Reprogramming of Circulating Memory T Follicular Helper Cell Function during Chronic HIV Infection

    PubMed Central

    Cubas, Rafael; van Grevenynghe, Julien; Wills, Saintedym; Kardava, Lela; Santich, Brian H.; Buckner, Clarisa M.; Muir, Roshell; Tardif, Virginie; Nichols, Carmen; Procopio, Francesco; He, Zhong; Metcalf, Talibah; Ghneim, Khader; Locci, Michela; Ancuta, Petronella; Routy, Jean-Pierre; Trautmann, Lydie; Li, Yuxing; McDermott, Adrian B.; Koup, Rick A.; Petrovas, Constantinos; Migueles, Steven A.; Connors, Mark; Tomaras, Georgia D.; Moir, Susan; Crotty, Shane

    2015-01-01

    Despite the overwhelming benefits of antiretroviral therapy (ART) in curtailing viral load in HIV-infected individuals, ART does not fully restore cellular and humoral immunity. HIV-infected individuals under ART show reduced responses to vaccination and infections and are unable to mount an effective antiviral immune response upon ART cessation. Many factors contribute to these defects, including persistent inflammation, especially in lymphoid tissues, where T follicular helper (Tfh) cells instruct and help B cells launch an effective humoral immune response. In this study we investigated the phenotype and function of circulating memory Tfh cells as a surrogate of Tfh cells in lymph nodes and found significant impairment of this cell population in chronically HIV-infected individuals, leading to reduced B cell responses. We further show that these aberrant memory Tfh cells exhibit an IL-2–responsive gene signature and are more polarized toward a Th1 phenotype. Treatment of functional memory Tfh cells with IL-2 was able to recapitulate the detrimental reprogramming. Importantly, this defect was reversible, as interfering with the IL-2 signaling pathway helped reverse the abnormal differentiation and improved Ab responses. Thus, reversible reprogramming of memory Tfh cells in HIV-infected individuals could be used to enhance Ab responses. Altered microenvironmental conditions in lymphoid tissues leading to altered Tfh cell differentiation could provide one explanation for the poor responsiveness of HIV-infected individuals to new Ags. This explanation has important implications for the development of therapeutic interventions to enhance HIV- and vaccine-mediated Ab responses in patients under ART. PMID:26546609

  9. Progressive CD4+ central–memory T cell decline results in CD4+ effector–memory insufficiency and overt disease in chronic SIV infection

    PubMed Central

    Okoye, Afam; Meier-Schellersheim, Martin; Brenchley, Jason M.; Hagen, Shoko I.; Walker, Joshua M.; Rohankhedkar, Mukta; Lum, Richard; Edgar, John B.; Planer, Shannon L.; Legasse, Alfred; Sylwester, Andrew W.; Piatak, Michael; Lifson, Jeffrey D.; Maino, Vernon C.; Sodora, Donald L.; Douek, Daniel C.; Axthelm, Michael K.; Grossman, Zvi; Picker, Louis J.

    2007-01-01

    Primary simian immunodeficiency virus (SIV) infections of rhesus macaques result in the dramatic depletion of CD4+ CCR5+ effector–memory T (TEM) cells from extra-lymphoid effector sites, but in most infections, an increased rate of CD4+ memory T cell proliferation appears to prevent collapse of effector site CD4+ TEM cell populations and acute-phase AIDS. Eventually, persistent SIV replication results in chronic-phase AIDS, but the responsible mechanisms remain controversial. Here, we demonstrate that in the chronic phase of progressive SIV infection, effector site CD4+ TEM cell populations manifest a slow, continuous decline, and that the degree of this depletion remains a highly significant correlate of late-onset AIDS. We further show that due to persistent immune activation, effector site CD4+ TEM cells are predominantly short-lived, and that their homeostasis is strikingly dependent on the production of new CD4+ TEM cells from central–memory T (TCM) cell precursors. The instability of effector site CD4+ TEM cell populations over time was not explained by increasing destruction of these cells, but rather was attributable to progressive reduction in their production, secondary to decreasing numbers of CCR5− CD4+ TCM cells. These data suggest that although CD4+ TEM cell depletion is a proximate mechanism of immunodeficiency, the tempo of this depletion and the timing of disease onset are largely determined by destruction, failing production, and gradual decline of CD4+ TCM cells. PMID:17724130

  10. Fucosyltransferase Induction during Influenza Virus Infection Is Required for the Generation of Functional Memory CD4+ T Cells

    PubMed Central

    Carrette, Florent; Henriquez, Monique L.; Fujita, Yu

    2018-01-01

    T cells mediating influenza viral control are instructed in lymphoid and nonlymphoid tissues to differentiate into memory T cells that confer protective immunity. The mechanisms by which influenza virus–specific memory CD4+ T cells arise have been attributed to changes in transcription factors, cytokines and cytokine receptors, and metabolic programming. The molecules involved in these biosynthetic pathways, including proteins and lipids, are modified to varying degrees of glycosylation, fucosylation, sialation, and sulfation, which can alter their function. It is currently unknown how the glycome enzymatic machinery regulates CD4+ T cell effector and memory differentiation. In a murine model of influenza virus infection, we found that fucosyltransferase enzymatic activity was induced in effector and memory CD4+ T cells. Using CD4+ T cells deficient in the Fut4/7 enzymes that are expressed only in hematopoietic cells, we found decreased frequencies of effector cells with reduced expression of T-bet and NKG2A/C/E in the lungs during primary infection. Furthermore, Fut4/7−/− effector CD4+ T cells had reduced survival with no difference in proliferation or capacity for effector function. Although Fut4/7−/− CD4+ T cells seeded the memory pool after primary infection, they failed to form tissue-resident cells, were dysfunctional, and were unable to re-expand after secondary infection. Our findings highlight an important regulatory axis mediated by cell-intrinsic fucosyltransferase activity in CD4+ T cell effectors that ensure the development of functional memory CD4+ T cells. PMID:29491007

  11. Episodic memories.

    PubMed

    Conway, Martin A

    2009-09-01

    An account of episodic memories is developed that focuses on the types of knowledge they represent, their properties, and the functions they might serve. It is proposed that episodic memories consist of episodic elements, summary records of experience often in the form of visual images, associated to a conceptual frame that provides a conceptual context. Episodic memories are embedded in a more complex conceptual system in which they can become the basis of autobiographical memories. However, the function of episodic memories is to keep a record of progress with short-term goals and access to most episodic memories is lost soon after their formation. Finally, it is suggested that developmentally episodic memories form the basis of the conceptual system and it is from sets of episodic memories that early non-verbal conceptual knowledge is abstracted.

  12. High affinity IgM(+) memory B cells are generated through a germinal center-dependent pathway.

    PubMed

    Hara, Yasushi; Tashiro, Yasuyuki; Murakami, Akikazu; Nishimura, Miyuki; Shimizu, Takeyuki; Kubo, Masato; Burrows, Peter D; Azuma, Takachika

    2015-12-01

    During a T cell-dependent immune response, B cells undergo clonal expansion and selection and the induction of isotype switching and somatic hypermutation (SHM). Although somatically mutated IgM(+) memory B cells have been reported, it has not been established whether they are really high affinity B cells. We tracked (4-hydroxy-3-nitrophenyl) acetyl hapten-specific GC B cells from normal immunized mice based on affinity of their B cell receptor (BCR) and performed BCR sequence analysis. SHM was evident by day 7 postimmunization and increased with time, such that high affinity IgM(+) as well as IgG(+) memory B cells continued to be generated up to day 42. In contrast, class-switch recombination (CSR) was almost completed by day 7 and then the ratio of IgG1(+)/IgM(+) GC B cells remained unchanged. Together these findings suggest that IgM(+) B cells undergo SHM in the GC to generate high affinity IgM(+) memory cells and that this process continues even after CSR is accomplished. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Memory strategy training in children with cerebral infarcts related to sickle cell disease.

    PubMed

    Yerys, Benjamin E; White, Desirée A; Salorio, Cynthia F; McKinstry, Robert; Moinuddin, Asif; DeBaun, Michael

    2003-06-01

    Cerebral infarcts occur in approximately 30% of children with sickle cell disease (SCD), but little information exists regarding remediation of associated cognitive deficits. The authors examined the benefits of training children with infarcts to use memory strategies. Six children with SCD-related infarcts received academic tutoring; three of these children received additional training in memory strategies (silent rehearsal to facilitate short-term memory and semantic organization to facilitate long-term memory). The performance of children receiving strategy training appeared to improve more than that of children receiving only tutoring. Memory in children with SCD-related infarcts may be enhanced through strategy training.

  14. Cancer immunotherapy and immunological memory.

    PubMed

    Murata, Kenji; Tsukahara, Tomohide; Torigoe, Toshihiko

    2016-01-01

    Human immunological memory is the key distinguishing hallmark of the adaptive immune system and plays an important role in the prevention of morbidity and the severity of infection. The differentiation system of T cell memory has been clarified using mouse models. However, the human T cell memory system has great diversity induced by natural antigens derived from many pathogens and tumor cells throughout life, and profoundly differs from the mouse memory system constructed using artificial antigens and transgenic T cells. We believe that only human studies can elucidate the human immune system. The importance of immunological memory in cancer immunotherapy has been pointed out, and the trafficking properties and long-lasting anti-tumor capacity of memory T cells play a crucial role in the control of malignant tumors. Adoptive cell transfer of less differentiated T cells has consistently demonstrated superior anti-tumor capacity relative to more differentiated T cells. Therefore, a human T cell population with the characteristics of stem cell memory is thought to be attractive for peptide vaccination and adoptive cell transfer. A novel human memory T cell population that we have identified is closer to the naive state than previous memory T cells in the T cell differentiation lineage, and has the characteristics of stem-like chemoresistance. Here we introduce this novel population and describe the fundamentals of immunological memory in cancer immunotherapy.

  15. Access to Attitude-Relevant Information in Memory as a Determinant of Persuasion: The Role of Message and Communicator Attributes.

    ERIC Educational Resources Information Center

    Wood, Wendy; And Others

    Research literature shows that people with access to attitude-relevant information in memory are able to draw on relevant beliefs and prior experiences when analyzing a persuasive message. This suggests that people who can retrieve little attitude-relevant information should be less able to engage in systematic processing. Two experiments were…

  16. Humoral Immune Reconstitution Kinetics after Allogeneic Hematopoietic Stem Cell Transplantation in Children: A Maturation Block of IgM Memory B Cells May Lead to Impaired Antibody Immune Reconstitution.

    PubMed

    Abdel-Azim, Hisham; Elshoury, Amro; Mahadeo, Kris M; Parkman, Robertson; Kapoor, Neena

    2017-09-01

    Although T cell immune reconstitution after allogeneic hematopoietic stem cell transplantation (allo-HSCT) has been well studied, long-term B cell immune reconstitution remains less characterized. We evaluated humoral immune reconstitution among 71 pediatric allo-HSCT recipients. Although tetanus toxoid antibody levels were normal at 1 year after allo-HSCT, antipolysaccharide carbohydrate antibodies remained persistently low for up to 5 years. While naive B cell counts normalized by 6 months, IgM memory B cell deficiency persisted for up to 2 years (P = .01); switched memory B cell deficiency normalized by 1 year after allo-HSCT. CD4 + T cell immune reconstitution correlated with that of switched memory B cells as early as 6 months after allo-HSCT (r = .55, P = .002) but did not correlate with IgM memory B cells at any time point after allo-HSCT. Taken together, this suggests that allo-HSCT recipients have impaired antibody immune reconstitution, mainly due to IgM memory B cell maturation block, compared with more prompt T cell-dependent switched memory cell immune reconstitution. We further explored other factors that might affect humoral immune reconstitution. The use of total body irradiation was associated with lower naive B cells counts at 6 months after HSCT (P = .04) and lower IgM (P = .008) and switched (P = .003) memory B cells up to 2 years. Allo-HSCT recipients with extensive chronic graft-versus-host disease had lower IgM memory B cell counts (P = .03) up to 2 years after allo-HSCT. The use of cord blood was associated with better naive (P = .01), IgM (P = .0005), and switched memory (P = .006) B cells immune reconstitution. These findings may inform future prophylaxis and treatment strategies regarding risk of overwhelming infection, graft-versus-host disease, and post-allogeneic HSCT revaccination. Copyright © 2017 The American Society for Blood and Marrow Transplantation. Published by Elsevier Inc. All rights

  17. Affect, accessibility of material in memory, and behavior: a cognitive loop?

    PubMed

    Isen, A M; Shalker, T E; Clark, M; Karp, L

    1978-01-01

    Two studies investigated the effect of good mood on cognitive processes. In the first study, conducted in a shopping mall, a positive feeling state was induced by giving subjects a free gift, and good mood, thus induced, was found to improve subjects' evaluations of the performance and service records of products they owned. In the second study, in which affect was induced by having subjects win or lose a computer game in a laboratory setting, subjects who had won the game were found to be better able to recall positive material in memory. The results of the two studies are discussed in terms of the effect that feelings have on accessibility of cognitions. In addition, the nature of affect and the relationship between good mood and behavior (such as helping) are discussed in terms of this proposed cognitive process.

  18. Phenotypes and distribution of mucosal memory B-cell populations in the SIV/SHIV Rhesus macaque model

    PubMed Central

    Demberg, Thorsten; Mohanram, Venkatramanan; Venzon, David; Robert-Guroff, Marjorie

    2014-01-01

    As vaccine-elicited antibodies have now been associated with HIV protective efficacy, a thorough understanding of mucosal and systemic B-cell development and maturation is needed. We phenotyped mucosal memory B-cells, investigated isotype expression and homing patterns, and defined plasmablasts and plasma cells at three mucosal sites (duodenum, jejunum and rectum) in rhesus macaques, the commonly used animal model for pre-clinical vaccine studies. Unlike humans, macaque mucosal memory B-cells lacked CD27 expression; only two sub-populations were present: naïve (CD21+CD27−) and tissue-like (CD21−CD27−) memory. Similar to humans, IgA was the dominant isotype expressed. The homing markers CXCR4, CCR6, CCR9 and α4β7 were differentially expressed between naïve and tissue-like memory B-cells. Mucosal plasmablasts were identified as CD19+CD20+/−HLA-DR+Ki-67+IRF4+CD138+/− and mucosal plasma cells as CD19+CD20−HLA-DR−Ki-67−IRF4+CD138+. Both populations were CD39+/−CD27−. Plasma cell phenotype was confirmed by spontaneous IgA secretion by ELISpot of positively-selected cells and J-chain expression by real-time PCR. Duodenal, jejunal and rectal samples were similar in B-cell memory phenotype, isotype expression, homing receptors and plasmablast/plasma cell distribution among the three tissues. Thus rectal biopsies adequately monitor B-cell dynamics in the gut mucosa, and provide a critical view of mucosal B-cell events associated with development of vaccine-elicited protective immune responses and SIV/SHIV pathogenesis and disease control. PMID:24814239

  19. The basis of distinctive IL-2- and IL-15-dependent signaling: weak CD122-dependent signaling favors CD8+ T central-memory cell survival but not T effector-memory cell development.

    PubMed

    Castro, Iris; Yu, Aixin; Dee, Michael J; Malek, Thomas R

    2011-11-15

    Recent work suggests that IL-2 and IL-15 induce distinctive levels of signaling through common receptor subunits and that such varied signaling directs the fate of Ag-activated CD8(+) T cells. In this study, we directly examined proximal signaling by IL-2 and IL-15 and CD8(+) T cell primary and memory responses as a consequence of varied CD122-dependent signaling. Initially, IL-2 and IL-15 induced similar p-STAT5 and p-S6 activation, but these activities were only sustained by IL-2. Transient IL-15-dependent signaling is due to limited expression of IL-15Rα. To investigate the outcome of varied CD122 signaling for CD8(+) T cell responses in vivo, OT-I T cells were used from mouse models where CD122 signals were attenuated by mutations within the cytoplasmic tail of CD122 or intrinsic survival function was provided in the absence of CD122 expression by transgenic Bcl-2. In the absence of CD122 signaling, generally normal primary response occurred, but the primed CD8(+) T cells were not maintained. In marked contrast, weak CD122 signaling supported development and survival of T central-memory (T(CM)) but not T effector-memory (T(EM)) cells. Transgenic expression of Bcl-2 in CD122(-/-) CD8(+) T cells also supported the survival and persistence of T(CM) cells but did not rescue T(EM) development. These data indicate that weak CD122 signals readily support T(CM) development largely through providing survival signals. However, stronger signals, independent of Bcl-2, are required for T(EM) development. Our findings are consistent with a model whereby low, intermediate, and high CD122 signaling support T(CM) memory survival, T(EM) programming, and terminal T effector cell differentiation, respectively.

  20. Early programming and late-acting checkpoints governing the development of CD4 T cell memory.

    PubMed

    Dhume, Kunal; McKinstry, K Kai

    2018-04-27

    CD4 T cells contribute to protection against pathogens through numerous mechanisms. Incorporating the goal of memory CD4 T cell generation into vaccine strategies thus offers a powerful approach to improve their efficacy, especially in situations where humoral responses alone cannot confer long-term immunity. These threats include viruses such as influenza that mutate coat proteins to avoid neutralizing antibodies, but that are targeted by T cells that recognize more conserved protein epitopes shared by different strains. A major barrier in the design of such vaccines is that the mechanisms controlling the efficiency with which memory cells form remain incompletely understood. Here, we discuss recent insights into fate decisions controlling memory generation. We focus on the importance of three general cues: interleukin-2, antigen, and costimulatory interactions. It is increasingly clear that these signals have a powerful influence on the capacity of CD4 T cells to form memory during two distinct phases of the immune response. First, through 'programming' that occurs during initial priming, and second, through 'checkpoints' that operate later during the effector stage. These findings indicate that novel vaccine strategies must seek to optimize cognate interactions, during which interleukin-2-, antigen, and costimulation-dependent signals are tightly linked, well beyond initial antigen encounter to induce robust memory CD4 T cells. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  1. Stream specificity and asymmetries in feature binding and content-addressable access in visual encoding and memory.

    PubMed

    Huynh, Duong L; Tripathy, Srimant P; Bedell, Harold E; Ögmen, Haluk

    2015-01-01

    Human memory is content addressable-i.e., contents of the memory can be accessed using partial information about the bound features of a stored item. In this study, we used a cross-feature cuing technique to examine how the human visual system encodes, binds, and retains information about multiple stimulus features within a set of moving objects. We sought to characterize the roles of three different features (position, color, and direction of motion, the latter two of which are processed preferentially within the ventral and dorsal visual streams, respectively) in the construction and maintenance of object representations. We investigated the extent to which these features are bound together across the following processing stages: during stimulus encoding, sensory (iconic) memory, and visual short-term memory. Whereas all features examined here can serve as cues for addressing content, their effectiveness shows asymmetries and varies according to cue-report pairings and the stage of information processing and storage. Position-based indexing theories predict that position should be more effective as a cue compared to other features. While we found a privileged role for position as a cue at the stimulus-encoding stage, position was not the privileged cue at the sensory and visual short-term memory stages. Instead, the pattern that emerged from our findings is one that mirrors the parallel processing streams in the visual system. This stream-specific binding and cuing effectiveness manifests itself in all three stages of information processing examined here. Finally, we find that the Leaky Flask model proposed in our previous study is applicable to all three features.

  2. CXCR4 is critical for CD8+ memory T cell homeostatic self-renewal but not rechallenge self-renewal1

    PubMed Central

    Chaix, Julie; Nish, Simone A.; Lin, Wen-Hsuan W.; Rothman, Nyanza J.; Ding, Lei; Wherry, E. John; Reiner, Steven L.

    2014-01-01

    Central memory (CM) CD8+ T cells “remember” prior encounters because they maintain themselves through cell division in the absence of ongoing challenge (homeostatic self-renewal) as well as reproduce the central memory fate while manufacturing effector cells during secondary antigen encounters (rechallenge self-renewal). We tested the consequence of conditional deletion of the bone marrow (BM) homing receptor CXCR4 on antiviral T cell responses. CXCR4-deficient CD8+ T cells have impaired memory cell maintenance due to defective homeostatic proliferation. Upon rechallenge, however, CXCR4-deficient T cells can re-expand and renew the central memory pool while producing secondary effector cells. The critical BM-derived signals essential for CD8+ T cell homeostatic self-renewal appear to be dispensable to yield self-renewing, functionally asymmetric cell fates during rechallenge. PMID:24973450

  3. Intrinsic role of FoxO3a in the development of CD8+ T cell memory

    PubMed Central

    Tzelepis, Fanny; Joseph, Julie; Haddad, Elias K.; MacLean, Susanne; Dudani, Renu; Agenes, Fabien; Peng, Stanford L.; Sekaly, Rafick-Pierre; Sad, Subash

    2013-01-01

    CD8+ T cells undergo rapid expansion during infection with intracellular pathogens, which is followed by swift and massive culling of primed CD8+ T cells. The mechanisms that govern the massive contraction and maintenance of primed CD8+ T cells are not clear. We show here that the transcription factor, FoxO3a does not influence antigen-presentation and the consequent expansion of CD8+ T cell response during Listeria monocytogenes (LM) infection, but plays a key role in the maintenance of memory CD8+ T cells. The effector function of primed CD8+ T cells as revealed by cytokine secretion and CD107a degranulation was not influenced by inactivation of FoxO3a. Interestingly, FoxO3a-deficient CD8+ T cells displayed reduced expression of pro-apoptotic molecules BIM and PUMA during the various phases of response, and underwent reduced apoptosis in comparison to WT cells. A higher number of memory precursor effector cells (MPECs) and memory subsets were detectable in FoxO3a-deficient mice compared to WT mice. Furthermore, FoxO3a-deficient memory CD8+ T cells upon transfer into normal or RAG1-deficient mice displayed enhanced survival. These results suggest that FoxO3a acts in a cell intrinsic manner to regulate the survival of primed CD8+ T cells. PMID:23277488

  4. From sensorimotor learning to memory cells in prefrontal and temporal association cortex: a neurocomputational study of disembodiment.

    PubMed

    Pulvermüller, Friedemann; Garagnani, Max

    2014-08-01

    Memory cells, the ultimate neurobiological substrates of working memory, remain active for several seconds and are most commonly found in prefrontal cortex and higher multisensory areas. However, if correlated activity in "embodied" sensorimotor systems underlies the formation of memory traces, why should memory cells emerge in areas distant from their antecedent activations in sensorimotor areas, thus leading to "disembodiment" (movement away from sensorimotor systems) of memory mechanisms? We modelled the formation of memory circuits in six-area neurocomputational architectures, implementing motor and sensory primary, secondary and higher association areas in frontotemporal cortices along with known between-area neuroanatomical connections. Sensorimotor learning driven by Hebbian neuroplasticity led to formation of cell assemblies distributed across the different areas of the network. These action-perception circuits (APCs) ignited fully when stimulated, thus providing a neural basis for long-term memory (LTM) of sensorimotor information linked by learning. Subsequent to ignition, activity vanished rapidly from APC neurons in sensorimotor areas but persisted in those in multimodal prefrontal and temporal areas. Such persistent activity provides a mechanism for working memory for actions, perceptions and symbols, including short-term phonological and semantic storage. Cell assembly ignition and "disembodied" working memory retreat of activity to multimodal areas are documented in the neurocomputational models' activity dynamics, at the level of single cells, circuits, and cortical areas. Memory disembodiment is explained neuromechanistically by APC formation and structural neuroanatomical features of the model networks, especially the central role of multimodal prefrontal and temporal cortices in bridging between sensory and motor areas. These simulations answer the "where" question of cortical working memory in terms of distributed APCs and their inner structure

  5. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  6. Histone acetylation is associated with differential gene expression in the rapid and robust memory CD8+ T-cell response

    PubMed Central

    Fann, Monchou; Godlove, Jason M.; Catalfamo, Marta; Wood, William H.; Chrest, Francis J.; Chun, Nicholas; Granger, Larry; Wersto, Robert; Madara, Karen; Becker, Kevin; Henkart, Pierre A.; Weng, Nan-ping

    2006-01-01

    To understand the molecular basis for the rapid and robust memory T-cell responses, we examined gene expression and chromatin modification by histone H3 lysine 9 (H3K9) acetylation in resting and activated human naive and memory CD8+ T cells. We found that, although overall gene expression patterns were similar, a number of genes are differentially expressed in either memory or naive cells in their resting and activated states. To further elucidate the basis for differential gene expression, we assessed the role of histone H3K9 acetylation in differential gene expression. Strikingly, higher H3K9 acetylation levels were detected in resting memory cells, prior to their activation, for those genes that were differentially expressed following activation, indicating that hyperacetylation of histone H3K9 may play a role in selective and rapid gene expression of memory CD8+ T cells. Consistent with this model, we showed that inducing high levels of H3K9 acetylation resulted in an increased expression in naive cells of those genes that are normally expressed differentially in memory cells. Together, these findings suggest that differential gene expression mediated at least in part by histone H3K9 hyperacetylation may be responsible for the rapid and robust memory CD8+ T-cell response. PMID:16868257

  7. Evaluation of 1.5-T Cell Flash Memory Total Ionizing Dose Response

    NASA Astrophysics Data System (ADS)

    Clark, Lawrence T.; Holbert, Keith E.; Adams, James W.; Navale, Harshad; Anderson, Blake C.

    2015-12-01

    Flash memory is an essential part of systems used in harsh environments, experienced by both terrestrial and aerospace TID applications. This paper presents studies of COTS flash memory TID hardness. While there is substantial literature on flash memory TID response, this work focuses for the first time on 1.5 transistor per cell flash memory. The experimental results show hardness varying from about 100 krad(Si) to over 250 krad(Si) depending on the usage model. We explore the circuit and device aspects of the results, based on the extensive reliability literature for this flash memory type. Failure modes indicate both device damage and circuit marginalities. Sector erase failure limits, but read only operation allows TID exceeding 200 krad(Si). The failures are analyzed by type.

  8. Working memory at work: how the updating process alters the nature of working memory transfer.

    PubMed

    Zhang, Yanmin; Verhaeghen, Paul; Cerella, John

    2012-01-01

    In three N-Back experiments, we investigated components of the process of working memory (WM) updating, more specifically access to items stored outside the focus of attention and transfer from the focus to the region of WM outside the focus. We used stimulus complexity as a marker. We found that when WM transfer occurred under full attention, it was slow and highly sensitive to stimulus complexity, much more so than WM access. When transfer occurred in conjunction with access, however, it was fast and no longer sensitive to stimulus complexity. Thus the updating context altered the nature of WM processing: The dual-task situation (transfer in conjunction with access) drove memory transfer into a more efficient mode, indifferent to stimulus complexity. In contrast, access times consistently increased with complexity, unaffected by the processing context. This study reinforces recent reports that retrieval is a (perhaps the) key component of working memory functioning. Copyright © 2011 Elsevier B.V. All rights reserved.

  9. Working Memory at Work: How the Updating Process Alters the Nature of Working Memory Transfer

    PubMed Central

    Zhang, Yanmin; Verhaeghen, Paul; Cerella, John

    2011-01-01

    In three N-Back experiments, we investigated components of the process of working memory (WM) updating, more specifically access to items stored outside the focus of attention and transfer from the focus to the region of WM outside the focus. We used stimulus complexity as a marker. We found that when WM transfer occurred under full attention, it was slow and highly sensitive to stimulus complexity, much more so than WM access. When transfer occurred in conjunction with access, however, it was fast and no longer sensitive to stimulus complexity. Thus the updating context altered the nature of WM processing: The dual-task situation (transfer in conjunction with access) drove memory transfer into a more efficient mode, indifferent to stimulus complexity. In contrast, access times consistently increased with complexity, unaffected by the processing context. This study reinforces recent reports that retrieval is a (perhaps the) key component of working memory functioning. PMID:22105718

  10. Early events governing memory CD8+ T-cell differentiation.

    PubMed

    Obar, Joshua J; Lefrançois, Leo

    2010-08-01

    Understanding the regulation of the CD8(+) T-cell response and how protective memory cells are generated has been intensely studied. It is now appreciated that a naive CD8(+) T cell requires at least three signals to mount an effective immune response: (i) TCR triggering, (ii) co-stimulation and (iii) inflammatory cytokines. Only recently have we begun to understand the molecular integration of those signals and how early events regulate the fate decisions of the responding CD8(+) T cells. This review will discuss the recent findings about both the extracellular and intracellular factors that regulate the destiny of responding CD8(+) T cells.

  11. Optoelectronic-cache memory system architecture.

    PubMed

    Chiarulli, D M; Levitan, S P

    1996-05-10

    We present an investigation of the architecture of an optoelectronic cache that can integrate terabit optical memories with the electronic caches associated with high-performance uniprocessors and multiprocessors. The use of optoelectronic-cache memories enables these terabit technologies to provide transparently low-latency secondary memory with frame sizes comparable with disk pages but with latencies that approach those of electronic secondary-cache memories. This enables the implementation of terabit memories with effective access times comparable with the cycle times of current microprocessors. The cache design is based on the use of a smart-pixel array and combines parallel free-space optical input-output to-and-from optical memory with conventional electronic communication to the processor caches. This cache and the optical memory system to which it will interface provide a large random-access memory space that has a lower overall latency than that of magnetic disks and disk arrays. In addition, as a consequence of the high-bandwidth parallel input-output capabilities of optical memories, fault service times for the optoelectronic cache are substantially less than those currently achievable with any rotational media.

  12. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    NASA Astrophysics Data System (ADS)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  13. A critical role for STAT3 transcription factor signaling in the development and maintenance of human T cell memory.

    PubMed

    Siegel, Andrea M; Heimall, Jennifer; Freeman, Alexandra F; Hsu, Amy P; Brittain, Erica; Brenchley, Jason M; Douek, Daniel C; Fahle, Gary H; Cohen, Jeffrey I; Holland, Steven M; Milner, Joshua D

    2011-11-23

    STAT3 transcription factor signaling in specific T helper cell differentiation has been well described, although the broader roles for STAT3 in lymphocyte memory are less clear. Patients with autosomal-dominant hyper-IgE syndrome (AD-HIES) carry dominant-negative STAT3 mutations and are susceptible to a variety of bacterial and fungal infections. We found that AD-HIES patients have a cell-intrinsic defect in the number of central memory CD4(+) and CD8(+) T cells compared to healthy controls. Naive T cells from AD-HIES patients had lower expression of memory-related transcription factors BCL6 and SOCS3, a primary proliferation defect, and they failed to acquire central memory-like surface phenotypes in vitro. AD-HIES patients showed a decreased ability to control varicella zoster virus (VZV) and Epstein-Barr virus (EBV) latency, and T cell memory to both of these viruses was compromised. These data point to a specific role for STAT3 in human central memory T cell formation and in control of certain chronic viruses. Copyright © 2011 Elsevier Inc. All rights reserved.

  14. Tracking KLRC2 (NKG2C)+ memory-like NK cells in SIV+ and rhCMV+ rhesus macaques.

    PubMed

    Ram, Daniel R; Manickam, Cordelia; Hueber, Brady; Itell, Hannah L; Permar, Sallie R; Varner, Valerie; Reeves, R Keith

    2018-05-01

    Natural killer (NK) cells classically typify the nonspecific effector arm of the innate immune system, but have recently been shown to possess memory-like properties against multiple viral infections, most notably CMV. Expression of the activating receptor NKG2C is elevated on human NK cells in response to infection with CMV as well as HIV, and may delineate cells with memory and memory-like functions. A better understanding of how NKG2C+ NK cells specifically respond to these pathogens could be significantly advanced using nonhuman primate (NHP) models but, to date, it has not been possible to distinguish NKG2C from its inhibitory counterpart, NKG2A, in NHP because of unfaithful antibody cross-reactivity. Using novel RNA-based flow cytometry, we identify for the first time true memory NKG2C+ NK cells in NHP by gene expression (KLRC2), and show that these cells have elevated frequencies and diversify their functional repertoire specifically in response to rhCMV and SIV infections.

  15. Dual representation of item positions in verbal short-term memory: Evidence for two access modes.

    PubMed

    Lange, Elke B; Verhaeghen, Paul; Cerella, John

    Memory sets of N = 1~5 digits were exposed sequentially from left-to-right across the screen, followed by N recognition probes. Probes had to be compared to memory list items on identity only (Sternberg task) or conditional on list position. Positions were probed randomly or in left-to-right order. Search functions related probe response times to set size. Random probing led to ramped, "Sternbergian" functions whose intercepts were elevated by the location requirement. Sequential probing led to flat search functions-fast responses unaffected by set size. These results suggested that items in STM could be accessed either by a slow search-on-identity followed by recovery of an associated location tag, or in a single step by following item-to-item links in study order. It is argued that this dual coding of location information occurs spontaneously at study, and that either code can be utilised at retrieval depending on test demands.

  16. Blocking Glycolytic Metabolism Increases Memory T Cells and Antitumor Function | Center for Cancer Research

    Cancer.gov

    CD8+ T cells are a major component of the cellular immune response, which is necessary to control a variety of bacterial and viral infections. CD8+ T cells also play a major role in the cell-mediated antitumor immune response. After encountering antigen, naïve CD8+ T cells undergo an extensive period of proliferation and expansion, and differentiate into effector cells and distinct memory T cell subsets. Preclinical studies using adoptive transfer of purified CD8+ T cells have shown that the ability of T cells to proliferate and survive for a long time after transfer is associated with effective antitumor and antiviral responses. Understanding how the formation of long-lived memory T cell subsets is controlled may enable development of more potent immunotherapies against cancer and infectious diseases.

  17. The yellow fever virus vaccine induces a broad and polyfunctional human memory CD8+ T cell response.

    PubMed

    Akondy, Rama S; Monson, Nathan D; Miller, Joseph D; Edupuganti, Srilatha; Teuwen, Dirk; Wu, Hong; Quyyumi, Farah; Garg, Seema; Altman, John D; Del Rio, Carlos; Keyserling, Harry L; Ploss, Alexander; Rice, Charles M; Orenstein, Walter A; Mulligan, Mark J; Ahmed, Rafi

    2009-12-15

    The live yellow fever vaccine (YF-17D) offers a unique opportunity to study memory CD8(+) T cell differentiation in humans following an acute viral infection. We have performed a comprehensive analysis of the virus-specific CD8(+) T cell response using overlapping peptides spanning the entire viral genome. Our results showed that the YF-17D vaccine induces a broad CD8(+) T cell response targeting several epitopes within each viral protein. We identified a dominant HLA-A2-restricted epitope in the NS4B protein and used tetramers specific for this epitope to track the CD8(+) T cell response over a 2 year period. This longitudinal analysis showed the following. 1) Memory CD8(+) T cells appear to pass through an effector phase and then gradually down-regulate expression of activation markers and effector molecules. 2) This effector phase was characterized by down-regulation of CD127, Bcl-2, CCR7, and CD45RA and was followed by a substantial contraction resulting in a pool of memory T cells that re-expressed CD127, Bcl-2, and CD45RA. 3) These memory cells were polyfunctional in terms of degranulation and production of the cytokines IFN-gamma, TNF-alpha, IL-2, and MIP-1beta. 4) The YF-17D-specific memory CD8(+) T cells had a phenotype (CCR7(-)CD45RA(+)) that is typically associated with terminally differentiated cells with limited proliferative capacity (T(EMRA)). However, these cells exhibited robust proliferative potential showing that expression of CD45RA may not always associate with terminal differentiation and, in fact, may be an indicator of highly functional memory CD8(+) T cells generated after acute viral infections.

  18. An amorphous titanium dioxide metal insulator metal selector device for resistive random access memory crossbar arrays with tunable voltage margin

    NASA Astrophysics Data System (ADS)

    Cortese, Simone; Khiat, Ali; Carta, Daniela; Light, Mark E.; Prodromakis, Themistoklis

    2016-01-01

    Resistive random access memory (ReRAM) crossbar arrays have become one of the most promising candidates for next-generation non volatile memories. To become a mature technology, the sneak path current issue must be solved without compromising all the advantages that crossbars offer in terms of electrical performances and fabrication complexity. Here, we present a highly integrable access device based on nickel and sub-stoichiometric amorphous titanium dioxide (TiO2-x), in a metal insulator metal crossbar structure. The high voltage margin of 3 V, amongst the highest reported for monolayer selector devices, and the good current density of 104 A/cm2 make it suitable to sustain ReRAM read and write operations, effectively tackling sneak currents in crossbars without compromising fabrication complexity in a 1 Selector 1 Resistor (1S1R) architecture. Furthermore, the voltage margin is found to be tunable by an annealing step without affecting the device's characteristics.

  19. Progressive CD4+ central memory T cell decline results in CD4+ effector memory insufficiency and overt disease in chronic SIV infection.

    PubMed

    Okoye, Afam; Meier-Schellersheim, Martin; Brenchley, Jason M; Hagen, Shoko I; Walker, Joshua M; Rohankhedkar, Mukta; Lum, Richard; Edgar, John B; Planer, Shannon L; Legasse, Alfred; Sylwester, Andrew W; Piatak, Michael; Lifson, Jeffrey D; Maino, Vernon C; Sodora, Donald L; Douek, Daniel C; Axthelm, Michael K; Grossman, Zvi; Picker, Louis J

    2007-09-03

    Primary simian immunodeficiency virus (SIV) infections of rhesus macaques result in the dramatic depletion of CD4(+) CCR5(+) effector-memory T (T(EM)) cells from extra-lymphoid effector sites, but in most infections, an increased rate of CD4(+) memory T cell proliferation appears to prevent collapse of effector site CD4(+) T(EM) cell populations and acute-phase AIDS. Eventually, persistent SIV replication results in chronic-phase AIDS, but the responsible mechanisms remain controversial. Here, we demonstrate that in the chronic phase of progressive SIV infection, effector site CD4(+) T(EM) cell populations manifest a slow, continuous decline, and that the degree of this depletion remains a highly significant correlate of late-onset AIDS. We further show that due to persistent immune activation, effector site CD4(+) T(EM) cells are predominantly short-lived, and that their homeostasis is strikingly dependent on the production of new CD4(+) T(EM) cells from central-memory T (T(CM)) cell precursors. The instability of effector site CD4(+) T(EM) cell populations over time was not explained by increasing destruction of these cells, but rather was attributable to progressive reduction in their production, secondary to decreasing numbers of CCR5(-) CD4(+) T(CM) cells. These data suggest that although CD4(+) T(EM) cell depletion is a proximate mechanism of immunodeficiency, the tempo of this depletion and the timing of disease onset are largely determined by destruction, failing production, and gradual decline of CD4(+) T(CM) cells.

  20. Airway Memory CD4(+) T Cells Mediate Protective Immunity against Emerging Respiratory Coronaviruses.

    PubMed

    Zhao, Jincun; Zhao, Jingxian; Mangalam, Ashutosh K; Channappanavar, Rudragouda; Fett, Craig; Meyerholz, David K; Agnihothram, Sudhakar; Baric, Ralph S; David, Chella S; Perlman, Stanley

    2016-06-21

    Two zoonotic coronaviruses (CoVs)-SARS-CoV and MERS-CoV-have crossed species to cause severe human respiratory disease. Here, we showed that induction of airway memory CD4(+) T cells specific for a conserved epitope shared by SARS-CoV and MERS-CoV is a potential strategy for developing pan-coronavirus vaccines. Airway memory CD4(+) T cells differed phenotypically and functionally from lung-derived cells and were crucial for protection against both CoVs in mice. Protection was dependent on interferon-γ and required early induction of robust innate and virus-specific CD8(+) T cell responses. The conserved epitope was also recognized in SARS-CoV- and MERS-CoV-infected human leukocyte antigen DR2 and DR3 transgenic mice, indicating potential relevance in human populations. Additionally, this epitope was cross-protective between human and bat CoVs, the progenitors for many human CoVs. Vaccine strategies that induce airway memory CD4(+) T cells targeting conserved epitopes might have broad applicability in the context of new CoVs and other respiratory virus outbreaks. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. The boundary vector cell model of place cell firing and spatial memory

    PubMed Central

    Barry, Caswell; Lever, Colin; Hayman, Robin; Hartley, Tom; Burton, Stephen; O'Keefe, John; Jeffery, Kate; Burgess, Neil

    2009-01-01

    We review evidence for the boundary vector cell model of the environmental determinants of the firing of hippocampal place cells. Preliminary experimental results are presented concerning the effects of addition or removal of environmental boundaries on place cell firing and evidence that boundary vector cells may exist in the subiculum. We review and update computational simulations predicting the location of human search within a virtual environment of variable geometry, assuming that boundary vector cells provide one of the input representations of location used in mammalian spatial memory. Finally, we extend the model to include experience-dependent modification of connection strengths through a BCM-like learning rule, and compare the effects to experimental data on the firing of place cells under geometrical manipulations to their environment. The relationship between neurophysiological results in rats and spatial behaviour in humans is discussed. PMID:16703944

  2. Efficient Memory Access with NumPy Global Arrays using Local Memory Access

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daily, Jeffrey A.; Berghofer, Dan C.

    This paper discusses the work completed working with Global Arrays of data on distributed multi-computer systems and improving their performance. The tasks completed were done at Pacific Northwest National Laboratory in the Science Undergrad Laboratory Internship program in the summer of 2013 for the Data Intensive Computing Group in the Fundamental and Computational Sciences DIrectorate. This work was done on the Global Arrays Toolkit developed by this group. This toolkit is an interface for programmers to more easily create arrays of data on networks of computers. This is useful because scientific computation is often done on large amounts of datamore » sometimes so large that individual computers cannot hold all of it. This data is held in array form and can best be processed on supercomputers which often consist of a network of individual computers doing their computation in parallel. One major challenge for this sort of programming is that operations on arrays on multiple computers is very complex and an interface is needed so that these arrays seem like they are on a single computer. This is what global arrays does. The work done here is to use more efficient operations on that data that requires less copying of data to be completed. This saves a lot of time because copying data on many different computers is time intensive. The way this challenge was solved is when data to be operated on with binary operations are on the same computer, they are not copied when they are accessed. When they are on separate computers, only one set is copied when accessed. This saves time because of less copying done although more data access operations were done.« less

  3. Initiation of Antiretroviral Therapy Restores CD4+ T Memory Stem Cell Homeostasis in Simian Immunodeficiency Virus-Infected Macaques

    PubMed Central

    Cartwright, Emily K.; Palesch, David; Mavigner, Maud; Paiardini, Mirko; Chahroudi, Ann

    2016-01-01

    ABSTRACT Treatment of human immunodeficiency virus (HIV) infection with antiretroviral therapy (ART) has significantly improved prognosis. Unfortunately, interruption of ART almost invariably results in viral rebound, attributed to a pool of long-lived, latently infected cells. Based on their longevity and proliferative potential, CD4+ T memory stem cells (TSCM) have been proposed as an important site of HIV persistence. In a previous study, we found that in simian immunodeficiency virus (SIV)-infected rhesus macaques (RM), CD4+ TSCM are preserved in number but show (i) a decrease in the frequency of CCR5+ cells, (ii) an expansion of the fraction of proliferating Ki-67+ cells, and (iii) high levels of SIV DNA. To understand the impact of ART on both CD4+ TSCM homeostasis and virus persistence, we conducted a longitudinal analysis of these cells in the blood and lymph nodes of 25 SIV-infected RM. We found that ART induced a significant restoration of CD4+ CCR5+ TSCM both in blood and in lymph nodes and a reduction in the fraction of proliferating CD4+ Ki-67+ TSCM in blood (but not lymph nodes). Importantly, we found that the level of SIV DNA in CD4+ transitional memory (TTM) and effector memory (TEM) T cells declined ∼100-fold after ART in both blood and lymph nodes, while the level of SIV DNA in CD4+ TSCM and central memory T cells (TCM-) did not significantly change. These data suggest that ART is effective at partially restoring CD4+ TSCM homeostasis, and the observed stable level of virus in TSCM supports the hypothesis that these cells are a critical contributor to SIV persistence. IMPORTANCE Understanding the roles of various CD4+ T cell memory subsets in immune homeostasis and HIV/SIV persistence during antiretroviral therapy (ART) is critical to effectively treat and cure HIV infection. T memory stem cells (TSCM) are a unique memory T cell subset with enhanced self-renewal capacity and the ability to differentiate into other memory T cell subsets, such as

  4. Preliminary design for a standard 10 sup 7 bit Solid State Memory (SSM)

    NASA Technical Reports Server (NTRS)

    Hayes, P. J.; Howle, W. M., Jr.; Stermer, R. L., Jr.

    1978-01-01

    A modular concept with three separate modules roughly separating bubble domain technology, control logic technology, and power supply technology was employed. These modules were respectively the standard memory module (SMM), the data control unit (DCU), and power supply module (PSM). The storage medium was provided by bubble domain chips organized into memory cells. These cells and the circuitry for parallel data access to the cells make up the SMM. The DCU provides a flexible serial data interface to the SMM. The PSM provides adequate power to enable one DCU and one SMM to operate simultaneously at the maximum data rate. The SSM was designed to handle asynchronous data rates from dc to 1.024 Mbs with a bit error rate less than 1 error in 10 to the eight power bits. Two versions of the SSM, a serial data memory and a dual parallel data memory were specified using the standard modules. The SSM specification includes requirements for radiation hardness, temperature and mechanical environments, dc magnetic field emission and susceptibility, electromagnetic compatibility, and reliability.

  5. Multiple memory stores and operant conditioning: a rationale for memory's complexity.

    PubMed

    Meeter, Martijn; Veldkamp, Rob; Jin, Yaochu

    2009-02-01

    Why does the brain contain more than one memory system? Genetic algorithms can play a role in elucidating this question. Here, model animals were constructed containing a dorsal striatal layer that controlled actions, and a ventral striatal layer that controlled a dopaminergic learning signal. Both layers could gain access to three modeled memory stores, but such access was penalized as energy expenditure. Model animals were then selected on their fitness in simulated operant conditioning tasks. Results suggest that having access to multiple memory stores and their representations is important in learning to regulate dopamine release, as well as in contextual discrimination. For simple operant conditioning, as well as stimulus discrimination, hippocampal compound representations turned out to suffice, a counterintuitive result given findings that hippocampal lesions tend not to affect performance in such tasks. We argue that there is in fact evidence to support a role for compound representations and the hippocampus in even the simplest conditioning tasks.

  6. Remote Memory Access Protocol Target Node Intellectual Property

    NASA Technical Reports Server (NTRS)

    Haddad, Omar

    2013-01-01

    The MagnetoSpheric Multiscale (MMS) mission had a requirement to use the Remote Memory Access Protocol (RMAP) over its SpaceWire network. At the time, no known intellectual property (IP) cores were available for purchase. Additionally, MMS preferred to implement the RMAP functionality with control over the low-level details of the design. For example, not all the RMAP standard functionality was needed, and it was desired to implement only the portions of the RMAP protocol that were needed. RMAP functionality had been previously implemented in commercial off-the-shelf (COTS) products, but the IP core was not available for purchase. The RMAP Target IP core is a VHDL (VHSIC Hardware Description Language description of a digital logic design suitable for implementation in an FPGA (field-programmable gate array) or ASIC (application-specific integrated circuit) that parses SpaceWire packets that conform to the RMAP standard. The RMAP packet protocol allows a network host to access and control a target device using address mapping. This capability allows SpaceWire devices to be managed in a standardized way that simplifies the hardware design of the device, as well as the development of the software that controls the device. The RMAP Target IP core has some features that are unique and not specified in the RMAP standard. One such feature is the ability to automatically abort transactions if the back-end logic does not respond to read/write requests within a predefined time. When a request times out, the RMAP Target IP core automatically retracts the request and returns a command response with an appropriate status in the response packet s header. Another such feature is the ability to control the SpaceWire node or router using RMAP transactions in the extended address range. This allows the SpaceWire network host to manage the SpaceWire network elements using RMAP packets, which reduces the number of protocols that the network host needs to support.

  7. Simultaneous measurement of chromatin accessibility, DNA methylation, and nucleosome phasing in single cells

    PubMed Central

    Pott, Sebastian

    2017-01-01

    Gaining insights into the regulatory mechanisms that underlie the transcriptional variation observed between individual cells necessitates the development of methods that measure chromatin organization in single cells. Here I adapted Nucleosome Occupancy and Methylome-sequencing (NOMe-seq) to measure chromatin accessibility and endogenous DNA methylation in single cells (scNOMe-seq). scNOMe-seq recovered characteristic accessibility and DNA methylation patterns at DNase hypersensitive sites (DHSs). An advantage of scNOMe-seq is that sequencing reads are sampled independently of the accessibility measurement. scNOMe-seq therefore controlled for fragment loss, which enabled direct estimation of the fraction of accessible DHSs within individual cells. In addition, scNOMe-seq provided high resolution of chromatin accessibility within individual loci which was exploited to detect footprints of CTCF binding events and to estimate the average nucleosome phasing distances in single cells. scNOMe-seq is therefore well-suited to characterize the chromatin organization of single cells in heterogeneous cellular mixtures. DOI: http://dx.doi.org/10.7554/eLife.23203.001 PMID:28653622

  8. Fluorescently labeled dengue viruses as probes to identify antigen-specific memory B cells by multiparametric flow cytometry.

    PubMed

    Woda, Marcia; Mathew, Anuja

    2015-01-01

    Low frequencies of memory B cells in the peripheral blood make it challenging to measure the functional and phenotypic characteristics of this antigen experienced subset of B cells without in vitro culture. To date, reagents are lacking to measure ex vivo frequencies of dengue virus (DENV)-specific memory B cells. We wanted to explore the possibility of using fluorescently labeled DENV as probes to detect antigen-specific memory B cells in the peripheral blood of DENV immune individuals. Alexa Fluor dye-labeled DENV yielded viable virus that could be stored at -80°C for long periods of time. Using a careful gating strategy and methods to decrease non-specific binding, we were able to identify a small frequency of B cells from dengue immune individuals that bound labeled DENV. Sorted DENV(+) B cells from immune, but not naïve donors secreted antibodies that bound DENV after in vitro stimulation. Overall, Alexa Fluor dye-labeled DENVs are useful reagents to enable the detection and characterization of memory B cells in DENV immune individuals. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. Fluorescently labeled dengue viruses as probes to identify antigen-specific memory B cells by multiparametric flow cytometry

    PubMed Central

    Woda, Marcia; Mathew, Anuja

    2015-01-01

    Low frequencies of memory B cells in the peripheral blood make it challenging to measure the functional and phenotypic characteristics of this antigen experienced subset of B cells without in vitro culture. To date, reagents are lacking to measure ex vivo frequencies of dengue virus (DENV)-specific memory B cells. We wanted to explore the possibility of using fluorescently labeled DENV as probes to detect antigen-specific memory B cells in the peripheral blood of DENV immune individuals. Alexa Fluor dye-labeled DENV yielded viable virus that could be stored at −80°C for long periods of time. Using a careful gating strategy and methods to decrease non-specific binding, we were able to identify a small frequency of B cells from dengue immune individuals that bound labeled DENV. Sorted DENV+ B cells from immune, but not naïve donors secreted antibodies that bound intact virions after in vitro stimulation. Overall, Alexa Fluor dye labeled -DENV are useful reagents to enable the detection and characterization of memory B cells in DENV immune individuals. PMID:25497702

  10. Inducible colitis-associated glycome capable of stimulating the proliferation of memory CD4+ T cells.

    PubMed

    Nishida, Atsushi; Nagahama, Kiyotaka; Imaeda, Hirotsugu; Ogawa, Atsuhiro; Lau, Cindy W; Kobayashi, Taku; Hisamatsu, Tadakazu; Preffer, Frederic I; Mizoguchi, Emiko; Ikeuchi, Hiroki; Hibi, Toshifumi; Fukuda, Minoru; Andoh, Akira; Blumberg, Richard S; Mizoguchi, Atsushi

    2012-12-17

    Immune responses are modified by a diverse and abundant repertoire of carbohydrate structures on the cell surface, which is known as the glycome. In this study, we propose that a unique glycome that can be identified through the binding of galectin-4 is created on local, but not systemic, memory CD4+ T cells under diverse intestinal inflammatory conditions, but not in the healthy state. The colitis-associated glycome (CAG) represents an immature core 1-expressing O-glycan. Development of CAG may be mediated by down-regulation of the expression of core-2 β1,6-N-acetylglucosaminyltransferase (C2GnT) 1, a key enzyme responsible for the production of core-2 O-glycan branch through addition of N-acetylglucosamine (GlcNAc) to a core-1 O-glycan structure. Mechanistically, the CAG seems to contribute to super raft formation associated with the immunological synapse on colonic memory CD4+ T cells and to the consequent stabilization of protein kinase C θ activation, resulting in the stimulation of memory CD4+ T cell expansion in the inflamed intestine. Functionally, CAG-mediated CD4+ T cell expansion contributes to the exacerbation of T cell-mediated experimental intestinal inflammations. Therefore, the CAG may be an attractive therapeutic target to specifically suppress the expansion of effector memory CD4+ T cells in intestinal inflammation such as that seen in inflammatory bowel disease.

  11. Primary Sjögren's syndrome is characterized by distinct phenotypic and transcriptional profiles of IgD+ unswitched memory B cells.

    PubMed

    Roberts, Mustimbo E P; Kaminski, Denise; Jenks, Scott A; Maguire, Craig; Ching, Kathryn; Burbelo, Peter D; Iadarola, Michael J; Rosenberg, Alexander; Coca, Andreea; Anolik, Jennifer; Sanz, Iñaki

    2014-09-01

    The significance of distinct B cell abnormalities in primary Sjögren's syndrome (SS) remains to be established. We undertook this study to analyze the phenotype and messenger RNA (mRNA) transcript profiles of B cell subsets in patients with primary SS and to compare them with those in sicca syndrome patients and healthy controls. CD19+ B cells from 26 patients with primary SS, 27 sicca syndrome patients, and 22 healthy controls were analyzed by flow cytometry. Gene expression profiles of purified B cell subsets (from 3-5 subjects per group per test) were analyzed using Affymetrix gene arrays. Patients with primary SS had lower frequencies of CD27+IgD- switched memory B cells and CD27+IgD+ unswitched memory B cells compared with healthy controls. Unswitched memory B cell frequencies were also lower in sicca syndrome patients and correlated inversely with serologic hyperactivity in both disease states. Further, unswitched memory B cells in primary SS had lower expression of CD1c and CD21. Gene expression analysis of CD27+ memory B cells separated patients with primary SS from healthy controls and identified a subgroup of sicca syndrome patients with a primary SS-like transcript profile. Moreover, unswitched memory B cell gene expression analysis identified 187 genes differentially expressed between patients with primary SS and healthy controls. A decrease in unswitched memory B cells with serologic hyperactivity is characteristic of both established primary SS and a subgroup of sicca syndrome, which suggests the value of these B cells both as biomarkers of future disease progression and for understanding disease pathogenesis. Overall, the mRNA transcript analysis of unswitched memory B cells suggests that their activation in primary SS takes place through innate immune pathways in the context of attenuated antigen-mediated adaptive signaling. Thus, our findings provide important insight into the mechanisms and potential consequences of decreased unswitched memory B

  12. IL-7 promotes long-term in vitro survival of unique long-lived memory subset generated from mucosal effector memory CD4+ T cells in chronic colitis mice.

    PubMed

    Takahara, Masahiro; Nemoto, Yasuhiro; Oshima, Shigeru; Matsuzawa, Yu; Kanai, Takanori; Okamoto, Ryuichi; Tsuchiya, Kiichiro; Nakamura, Tetsuya; Yamamoto, Kazuhide; Watanabe, Mamoru

    2013-01-01

    Colitogenic memory CD4(+) T cells are important in the pathogenesis of inflammatory bowel disease (IBD). Although memory stem cells with high survival and self-renewal capacity were recently identified in both mice and humans, it is unclear whether a similar subset is present in chronic colitis mice. We sought to identify and purify a long-lived subset of colitogenic memory CD4(+) T cells, which may be targets for treatment of IBD. A long-lived subset of colitogenic memory CD4(+) T cells was purified using a long-term culture system. The characteristics of these cells were assessed. Interleukin (IL)-7 promoted the in vitro survival for >8 weeks of lamina propria (LP) CD4(+) T cells from colitic SCID mice previously injected with CD4(+)CD45RB(high) T cells. These cells were in a quiescent state and divided a maximum of 5 times in 4 weeks. LP CD4(+) T cells expressed higher levels of Bcl-2, integrin-α4β7, CXCR3 and CD25 after than before culture, as well as secreting high concentrations of IL-2 and low concentrations of IFN-γ and IL-17 in response to intestinal bacterial antigens. LP CD4(+) T cells from colitic mice cultured with IL-7 for 8 weeks induced more severe colitis than LP CD4(+) T cells cultured for 4 weeks. We developed a novel culture system to purify a long-lived, highly pathogenic memory subset from activated LP CD4(+) T cells. IL-7 promoted long-term in vitro survival of this subset in a quiescent state. This subset will be a novel, effective target for the treatment of IBD. Copyright © 2013 Elsevier B.V. All rights reserved.

  13. IGF1-Dependent Synaptic Plasticity of Mitral Cells in Olfactory Memory during Social Learning.

    PubMed

    Liu, Zhihui; Chen, Zijun; Shang, Congping; Yan, Fei; Shi, Yingchao; Zhang, Jiajing; Qu, Baole; Han, Hailin; Wang, Yanying; Li, Dapeng; Südhof, Thomas C; Cao, Peng

    2017-07-05

    During social transmission of food preference (STFP), mice form long-term memory of food odors presented by a social partner. How does the brain associate a social context with odor signals to promote memory encoding? Here we show that odor exposure during STFP, but not unconditioned odor exposure, induces glomerulus-specific long-term potentiation (LTP) of synaptic strength selectively at the GABAergic component of dendrodendritic synapses of granule and mitral cells in the olfactory bulb. Conditional deletion of synaptotagmin-10, the Ca 2+ sensor for IGF1 secretion from mitral cells, or deletion of IGF1 receptor in the olfactory bulb prevented the socially relevant GABAergic LTP and impaired memory formation after STFP. Conversely, the addition of IGF1 to acute olfactory bulb slices elicited the GABAergic LTP in mitral cells by enhancing postsynaptic GABA receptor responses. Thus, our data reveal a synaptic substrate for a socially conditioned long-term memory that operates at the level of the initial processing of sensory information. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. Regulation of germinal center responses and B-cell memory by the chromatin modifier MOZ.

    PubMed

    Good-Jacobson, Kim L; Chen, Yunshun; Voss, Anne K; Smyth, Gordon K; Thomas, Tim; Tarlinton, David

    2014-07-01

    Memory B cells and long-lived bone marrow-resident plasma cells maintain humoral immunity. Little is known about the intrinsic mechanisms that are essential for forming memory B cells or endowing them with the ability to rapidly differentiate upon reexposure while maintaining the population over time. Histone modifications have been shown to regulate lymphocyte development, but their role in regulating differentiation and maintenance of B-cell subsets during an immune response is unclear. Using stage-specific deletion of monocytic leukemia zinc finger protein (MOZ), a histone acetyltransferase, we demonstrate that mutation of this chromatin modifier alters fate decisions in both primary and secondary responses. In the absence of MOZ, germinal center B cells were significantly impaired in their ability to generate dark zone centroblasts, with a concomitant decrease in both cell-cycle progression and BCL-6 expression. In contrast, there was increased differentiation to IgM and low-affinity IgG1(+) memory B cells. The lack of MOZ affected the functional outcome of humoral immune responses, with an increase in secondary germinal centers and a corresponding decrease in secondary high-affinity antibody-secreting cell formation. Therefore, these data provide strong evidence that manipulating epigenetic modifiers can regulate fate decisions during humoral responses, and thus could be targeted for therapeutic intervention.

  15. Human TSCM cell dynamics in vivo are compatible with long-lived immunological memory and stemness.

    PubMed

    Del Amo, Pedro Costa; Beneytez, Julio Lahoz; Boelen, Lies; Ahmed, Raya; Miners, Kelly L; Zhang, Yan; Roger, Laureline; Jones, Rhiannon E; Marraco, Silvia A Fuertes; Speiser, Daniel E; Baird, Duncan M; Price, David A; Ladell, Kristin; Macallan, Derek; Asquith, Becca

    2018-06-22

    Adaptive immunity relies on the generation and maintenance of memory T cells to provide protection against repeated antigen exposure. It has been hypothesised that a self-renewing population of T cells, named stem cell-like memory T (TSCM) cells, are responsible for maintaining memory. However, it is not clear if the dynamics of TSCM cells in vivo are compatible with this hypothesis. To address this issue, we investigated the dynamics of TSCM cells under physiological conditions in humans in vivo using a multidisciplinary approach that combines mathematical modelling, stable isotope labelling, telomere length analysis, and cross-sectional data from vaccine recipients. We show that, unexpectedly, the average longevity of a TSCM clone is very short (half-life < 1 year, degree of self-renewal = 430 days): far too short to constitute a stem cell population. However, we also find that the TSCM population is comprised of at least 2 kinetically distinct subpopulations that turn over at different rates. Whilst one subpopulation is rapidly replaced (half-life = 5 months) and explains the rapid average turnover of the bulk TSCM population, the half-life of the other TSCM subpopulation is approximately 9 years, consistent with the longevity of the recall response. We also show that this latter population exhibited a high degree of self-renewal, with a cell residing without dying or differentiating for 15% of our lifetime. Finally, although small, the population was not subject to excessive stochasticity. We conclude that the majority of TSCM cells are not stem cell-like but that there is a subpopulation of TSCM cells whose dynamics are compatible with their putative role in the maintenance of T cell memory.

  16. Towards Terabit Memories

    NASA Astrophysics Data System (ADS)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  17. Peripheral CD4+ naïve/memory ratio is an independent predictor of survival in non-small cell lung cancer

    PubMed Central

    Yang, Peng; Ma, Junhong; Yang, Xin; Li, Wei

    2017-01-01

    Background To investigate the clinical significance of naïve T cells, memory T cells, CD45RA+CD45RO+ T cells, and naïve/memory ratio in non-small cell lung cancer (NSCLC) patients. Methods Pretreatment peripheral blood samples from 76 NSCLC patients and 28 age- and sex-matched healthy volunteers were collected and tested for immune cells by flow cytometry. We compared the expression of these immune cells between patients and healthy controls and evaluated their predictive roles for survival in NSCLC by cox proportional hazards model. Results Decreased naïve CD4+ T cells, naïve CD8+ T cells, CD4+ naïve/memory ratios and CD4+CD45RA+CD45RO+ T cells, and increased memory CD4+ T cells, were observed in 76 NSCLC patients compared to healthy volunteers. Univariate analysis revealed that elevated CD4+ naïve/memory ratio correlated with prolonged progression-free survival (P=0.013). Multivariate analysis confirmed its predictive role with a hazard ratio of 0.35 (95% confidence interval, 0.19-0.75, P=0.012). Conclusions Peripheral CD4+ naïve/memory ratio can be used as a predictive biomarker in NSCLC patients and used to optimize personalized treatment strategies. PMID:29137371

  18. Some pitfalls in measuring memory in animals.

    PubMed

    Thorpe, Christina M; Jacova, Claudia; Wilkie, Donald M

    2004-11-01

    Because the presence or absence of memories in the brain cannot be directly observed, scientists must rely on indirect measures and use inferential reasoning to make statements about the status of memories. In humans, memories are often accessed through spoken or written language. In animals, memory is accessed through overt behaviours such as running down an arm in a maze, pressing a lever, or visiting a food cache site. Because memory is measured by these indirect methods, errors in the veracity of statements about memory can occur. In this brief paper, we identify three areas that may serve as pitfalls in reasoning about memory in animals: (1) the presence of 'silent associations', (2) intrusions of species-typical behaviours on memory tasks, and (3) improper mapping between human and animals memory tasks. There are undoubtedly other areas in which scientists should act cautiously when reasoning about the status of memory.

  19. TNFR2-deficient memory CD8 T cells provide superior protection against tumor cell growth.

    PubMed

    Kim, Edward Y; Teh, Soo-Jeet; Yang, Jocelyn; Chow, Michael T; Teh, Hung-Sia

    2009-11-15

    TNF receptor-2 (TNFR2) plays a critical role in promoting the activation and survival of naive T cells during the primary response. Interestingly, anti-CD3 plus IL-2 activated TNFR2(-/-) CD8 T cells are highly resistant to activation-induced cell death (AICD), which correlates with high expression levels of prosurvival molecules such as Bcl-2, survivin, and CD127 (IL-7Ralpha). We determined whether the resistance of activated TNFR2(-/-) CD8 T cells to AICD contributes to more effective protection against tumor cell growth. We found that during a primary tumor challenge, despite initial inferiority in controlling tumor cell growth, TNFR2(-/-) mice were able to more effectively control tumor burden over time compared with wild-type (WT) mice. Furthermore, vaccination of TNFR2(-/-) mice with recombinant Listeria monocytogenes that express OVA confers better protection against the growth of OVA-expressing E.G7 tumor cells relative to similarly vaccinated WT mice. The enhanced protection against tumor cell growth was not due to more effective activation of OVA-specific memory CD8 T cells in vaccinated TNFR2(-/-) mice. In vitro studies indicate that optimally activated OVA-specific TNFR2(-/-) CD8 T cells proliferated to the same extent and possess similar cytotoxicity against E.G7 tumor cells as WT CD8 T cells. However, relative to WT cells, activated OVA-specific TNFR2(-/-) CD8 T cells were highly resistant to AICD. Thus, the enhanced protection against E.G7 in TNFR2(-/-) mice is likely due to the recruitment and activation of OVA-specific memory TNFR2(-/-) CD8 T cells and their prolonged survival at the tumor site.

  20. Long-term reliable physically unclonable function based on oxide tunnel barrier breakdown on two-transistors two-magnetic-tunnel-junctions cell-based embedded spin transfer torque magnetoresistive random access memory

    NASA Astrophysics Data System (ADS)

    Takaya, Satoshi; Tanamoto, Tetsufumi; Noguchi, Hiroki; Ikegami, Kazutaka; Abe, Keiko; Fujita, Shinobu

    2017-04-01

    Among the diverse applications of spintronics, security for internet-of-things (IoT) devices is one of the most important. A physically unclonable function (PUF) with a spin device (spin transfer torque magnetoresistive random access memory, STT-MRAM) is presented. Oxide tunnel barrier breakdown is used to realize long-term stability for PUFs. A secure PUF has been confirmed by evaluating the Hamming distance of a 32-bit STT-MRAM-PUF fabricated using 65 nm CMOS technology.