Sample records for access memory ram

  1. Quantum random access memory.

    PubMed

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-04-25

    A random access memory (RAM) uses n bits to randomly address N=2(n) distinct memory cells. A quantum random access memory (QRAM) uses n qubits to address any quantum superposition of N memory cells. We present an architecture that exponentially reduces the requirements for a memory call: O(logN) switches need be thrown instead of the N used in conventional (classical or quantum) RAM designs. This yields a more robust QRAM algorithm, as it in general requires entanglement among exponentially less gates, and leads to an exponential decrease in the power needed for addressing. A quantum optical implementation is presented.

  2. Multi-wavelength access gate for WDM-formatted words in optical RAM row architectures

    NASA Astrophysics Data System (ADS)

    Fitsios, D.; Alexoudi, T.; Vagionas, C.; Miliou, A.; Kanellos, G. T.; Pleros, N.

    2013-03-01

    Optical RAM has emerged as a promising solution for overcoming the "Memory Wall" of electronics, indicating the use of light in RAM architectures as the approach towards enabling ps-regime memory access times. Taking a step further towards exploiting the unique wavelength properties of optical signals, we reveal new architectural perspectives in optical RAM structures by introducing WDM principles in the storage area. To this end, we demonstrate a novel SOAbased multi-wavelength Access Gate for utilization in a 4x4 WDM optical RAM bank architecture. The proposed multiwavelength Access Gate can simultaneously control random access to a 4-bit optical word, exploiting Cross-Gain-Modulation (XGM) to process 8 Bit and Bit channels encoded in 8 different wavelengths. It also suggests simpler optical RAM row architectures, allowing for the effective sharing of one multi-wavelength Access Gate for each row, substituting the eight AGs in the case of conventional optical RAM architectures. The scheme is shown to support 10Gbit/s operation for the incoming 4-bit data streams, with a power consumption of 15mW/Gbit/s. All 8 wavelength channels demonstrate error-free operation with a power penalty lower than 3 dB for all channels, compared to Back-to-Back measurements. The proposed optical RAM architecture reveals that exploiting the WDM capabilities of optical components can lead to RAM bank implementations with smarter column/row encoders/decoders, increased circuit simplicity, reduced number of active elements and associated power consumption. Moreover, exploitation of the wavelength entity can release significant potential towards reconfigurable optical cache mapping schemes when using the wavelength dimension for memory addressing.

  3. Integrated semiconductor-magnetic random access memory system

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Blaes, Brent R. (Inventor)

    2001-01-01

    The present disclosure describes a non-volatile magnetic random access memory (RAM) system having a semiconductor control circuit and a magnetic array element. The integrated magnetic RAM system uses CMOS control circuit to read and write data magnetoresistively. The system provides a fast access, non-volatile, radiation hard, high density RAM for high speed computing.

  4. Garnet Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R.

    1995-01-01

    Random-access memory (RAM) devices of proposed type exploit magneto-optical properties of magnetic garnets exhibiting perpendicular anisotropy. Magnetic writing and optical readout used. Provides nonvolatile storage and resists damage by ionizing radiation. Because of basic architecture and pinout requirements, most likely useful as small-capacity memory devices.

  5. A 16K-bit static IIL RAM with 25-ns access time

    NASA Astrophysics Data System (ADS)

    Inabe, Y.; Hayashi, T.; Kawarada, K.; Miwa, H.; Ogiue, K.

    1982-04-01

    A 16,384 x 1-bit RAM with 25-ns access time, 600-mW power dissipation, and 33 sq mm chip size has been developed. Excellent speed-power performance with high packing density has been achieved by an oxide isolation technology in conjunction with novel ECL circuit techniques and IIL flip-flop memory cells, 980 sq microns (35 x 28 microns) in cell size. Development results have shown that IIL flip-flop memory cell is a trump card for assuring achievement of a high-performance large-capacity bipolar RAM, in the above 16K-bit/chip area.

  6. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    NASA Technical Reports Server (NTRS)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  7. Paging memory from random access memory to backing storage in a parallel computer

    DOEpatents

    Archer, Charles J; Blocksome, Michael A; Inglett, Todd A; Ratterman, Joseph D; Smith, Brian E

    2013-05-21

    Paging memory from random access memory (`RAM`) to backing storage in a parallel computer that includes a plurality of compute nodes, including: executing a data processing application on a virtual machine operating system in a virtual machine on a first compute node; providing, by a second compute node, backing storage for the contents of RAM on the first compute node; and swapping, by the virtual machine operating system in the virtual machine on the first compute node, a page of memory from RAM on the first compute node to the backing storage on the second compute node.

  8. Is random access memory random?

    NASA Technical Reports Server (NTRS)

    Denning, P. J.

    1986-01-01

    Most software is contructed on the assumption that the programs and data are stored in random access memory (RAM). Physical limitations on the relative speeds of processor and memory elements lead to a variety of memory organizations that match processor addressing rate with memory service rate. These include interleaved and cached memory. A very high fraction of a processor's address requests can be satified from the cache without reference to the main memory. The cache requests information from main memory in blocks that can be transferred at the full memory speed. Programmers who organize algorithms for locality can realize the highest performance from these computers.

  9. Dynamic-RAM Data Storage Unit

    NASA Technical Reports Server (NTRS)

    Sturman, J. C.

    1985-01-01

    Dynamic random-access-memory (RAM) data delay and storage unit developed to insure data received from satellite is stored and not lost when satellite is not within range of ground station. Stores 256K of serial data, with independent read and write capability.

  10. Review of radiation effects on ReRAM devices and technology

    NASA Astrophysics Data System (ADS)

    Gonzalez-Velo, Yago; Barnaby, Hugh J.; Kozicki, Michael N.

    2017-08-01

    A review of the ionizing radiation effects on resistive random access memory (ReRAM) technology and devices is presented in this article. The review focuses on vertical devices exhibiting bipolar resistance switching, devices that have already exhibited interesting properties and characteristics for memory applications and, in particular, for non-volatile memory applications. Non-volatile memories are important devices for any type of electronic and embedded system, as they are for space applications. In such applications, specific environmental issues related to the existence of cosmic rays and Van Allen radiation belts around the Earth contribute to specific failure mechanisms related to the energy deposition induced by such ionizing radiation. Such effects are important in non-volatile memory as the current leading technology, i.e. flash-based technology, is sensitive to the total ionizing dose (TID) and single-event effects. New technologies such as ReRAM, if competing with or complementing the existing non-volatile area of memories from the point of view of performance, also have to exhibit great reliability for use in radiation environments such as space. This has driven research on the radiation effects of such ReRAM technology, on both the conductive-bridge RAM as well as the valence-change memories, or OxRAM variants of the technology. Initial characterizations of ReRAM technology showed a high degree of resilience to TID, developing researchers’ interest in characterizing such resilience as well as investigating the cause of such behavior. The state of the art of such research is reviewed in this article.

  11. Optical RAM-enabled cache memory and optical routing for chip multiprocessors: technologies and architectures

    NASA Astrophysics Data System (ADS)

    Pleros, Nikos; Maniotis, Pavlos; Alexoudi, Theonitsa; Fitsios, Dimitris; Vagionas, Christos; Papaioannou, Sotiris; Vyrsokinos, K.; Kanellos, George T.

    2014-03-01

    The processor-memory performance gap, commonly referred to as "Memory Wall" problem, owes to the speed mismatch between processor and electronic RAM clock frequencies, forcing current Chip Multiprocessor (CMP) configurations to consume more than 50% of the chip real-estate for caching purposes. In this article, we present our recent work spanning from Si-based integrated optical RAM cell architectures up to complete optical cache memory architectures for Chip Multiprocessor configurations. Moreover, we discuss on e/o router subsystems with up to Tb/s routing capacity for cache interconnection purposes within CMP configurations, currently pursued within the FP7 PhoxTrot project.

  12. TiO2-based memristors and ReRAM: materials, mechanisms and models (a review)

    NASA Astrophysics Data System (ADS)

    Gale, Ella

    2014-10-01

    The memristor is the fundamental nonlinear circuit element, with uses in computing and computer memory. Resistive Random Access Memory (ReRAM) is a resistive switching memory proposed as a non-volatile memory. In this review we shall summarize the state of the art for these closely-related fields, concentrating on titanium dioxide, the well-utilized and archetypal material for both. We shall cover material properties, switching mechanisms and models to demonstrate what ReRAM and memristor scientists can learn from each other and examine the outlook for these technologies.

  13. Static RAM data recorder for flight tests

    NASA Astrophysics Data System (ADS)

    Stoner, D. C.; Eklund, T. F. F.

    A static random access memory (RAM) data recorder has been developed to recover strain and acceleration data during development tests of high-speed earth penetrating vehicles. Bilevel inputs are also available for continuity measurements. An iteration of this system was modified for use on water entry evaluations.

  14. Switching characteristics in Cu:SiO2 by chemical soak methods for resistive random access memory (ReRAM)

    NASA Astrophysics Data System (ADS)

    Chin, Fun-Tat; Lin, Yu-Hsien; Yang, Wen-Luh; Liao, Chin-Hsuan; Lin, Li-Min; Hsiao, Yu-Ping; Chao, Tien-Sheng

    2015-01-01

    A limited copper (Cu)-source Cu:SiO2 switching layer composed of various Cu concentrations was fabricated using a chemical soaking (CS) technique. The switching layer was then studied for developing applications in resistive random access memory (ReRAM) devices. Observing the resistive switching mechanism exhibited by all the samples suggested that Cu conductive filaments formed and ruptured during the set/reset process. The experimental results indicated that the endurance property failure that occurred was related to the joule heating effect. Moreover, the endurance switching cycle increased as the Cu concentration decreased. In high-temperature tests, the samples demonstrated that the operating (set/reset) voltages decreased as the temperature increased, and an Arrhenius plot was used to calculate the activation energy of the set/reset process. In addition, the samples demonstrated stable data retention properties when baked at 85 °C, but the samples with low Cu concentrations exhibited short retention times in the low-resistance state (LRS) during 125 °C tests. Therefore, Cu concentration is a crucial factor in the trade-off between the endurance and retention properties; furthermore, the Cu concentration can be easily modulated using this CS technique.

  15. Enhancement of Speed Margins for 16× Digital Versatile Disc-Random Access Memory

    NASA Astrophysics Data System (ADS)

    Watanabe, Koichi; Minemura, Hiroyuki; Miyamoto, Makoto; Iimura, Makoto

    2006-02-01

    We have evaluated the speed margins of write/read 16× digital versatile disc-random access memory (DVD-RAM) test discs using write strategies for 6--16× constant angular velocity (CAV) control. Our approach is to determine the writing parameters for the middle zones by interpolating the zone numbers. Using this interpolation strategy, we successfully obtained overwrite jitter values of less than 8% and bit error rates of less than 10-5 in 6--16× DVD-RAM. Moreover, we confirmed that the speed margins were ± 20% for a 6--16× CAV.

  16. Non-Volatile Memory Technology Symposium 2001: Proceedings

    NASA Technical Reports Server (NTRS)

    Aranki, Nazeeh; Daud, Taher; Strauss, Karl

    2001-01-01

    This publication contains the proceedings for the Non-Volatile Memory Technology Symposium 2001 that was held on November 7-8, 2001 in San Diego, CA. The proceedings contains a a wide range of papers that cover current and new memory technologies including Flash memories, Magnetic Random Access Memories (MRAM and GMRAM), Ferro-electric RAM (FeRAM), and Chalcogenide RAM (CRAM). The papers presented in the proceedings address the use of these technologies for space applications as well as radiation effects and packaging issues.

  17. A CMOS Compatible, Forming Free TaO x ReRAM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lohn, A. J.; Stevens, J. E.; Mickel, P. R.

    2013-08-31

    Resistive random access memory (ReRAM) has become a promising candidate for next-generation high-performance non-volatile memory that operates by electrically tuning resistance states via modulating vacancy concentrations. Here, we demonstrate a wafer-scale process for resistive switching in tantalum oxide that is completely CMOS compatible. The resulting devices are forming-free and with greater than 1x10 5 cycle endurance.

  18. Radiation Response of Emerging FeRAM Technology

    NASA Technical Reports Server (NTRS)

    Nguyen, D. N.; Scheick, L. Z.

    2001-01-01

    The test results of measurements performed on two different sizes of ferroelectric random access memory (FeRAM) suggest the degradation is due to the low radiation tolerance of sense amplifiers and reference voltage generators which are based on commercial complementary metal oxide semiconductor (CMOS) technology. This paper presents total ionizing dose (TID) testing of 64Kb Ramtron FM1608 and 256Kb Ramtron FM1808.

  19. Influence of ultraviolet irradiation on data retention characteristics in resistive random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kimura, K.; Ohmi, K.; Tottori University Electronic Display Research Center, 101 Minami4-chome, Koyama-cho, Tottori-shi, Tottori 680-8551

    With increasing density of memory devices, the issue of generating soft errors by cosmic rays is becoming more and more serious. Therefore, the irradiation resistance of resistance random access memory (ReRAM) to cosmic radiation has to be elucidated for practical use. In this paper, we investigated the data retention characteristics of ReRAM against ultraviolet irradiation with a Pt/NiO/ITO structure. Soft errors were confirmed to be caused by ultraviolet irradiation in both low- and high-resistance states. An analysis of the wavelength dependence of light irradiation on data retention characteristics suggested that electronic excitation from the valence to the conduction band andmore » to the energy level generated due to the introduction of oxygen vacancies caused the errors. Based on a statistically estimated soft error rates, the errors were suggested to be caused by the cohesion and dispersion of oxygen vacancies owing to the generation of electron-hole pairs and valence changes by the ultraviolet irradiation.« less

  20. Random Access Memories: A New Paradigm for Target Detection in High Resolution Aerial Remote Sensing Images.

    PubMed

    Zou, Zhengxia; Shi, Zhenwei

    2018-03-01

    We propose a new paradigm for target detection in high resolution aerial remote sensing images under small target priors. Previous remote sensing target detection methods frame the detection as learning of detection model + inference of class-label and bounding-box coordinates. Instead, we formulate it from a Bayesian view that at inference stage, the detection model is adaptively updated to maximize its posterior that is determined by both training and observation. We call this paradigm "random access memories (RAM)." In this paradigm, "Memories" can be interpreted as any model distribution learned from training data and "random access" means accessing memories and randomly adjusting the model at detection phase to obtain better adaptivity to any unseen distribution of test data. By leveraging some latest detection techniques e.g., deep Convolutional Neural Networks and multi-scale anchors, experimental results on a public remote sensing target detection data set show our method outperforms several other state of the art methods. We also introduce a new data set "LEarning, VIsion and Remote sensing laboratory (LEVIR)", which is one order of magnitude larger than other data sets of this field. LEVIR consists of a large set of Google Earth images, with over 22 k images and 10 k independently labeled targets. RAM gives noticeable upgrade of accuracy (an mean average precision improvement of 1% ~ 4%) of our baseline detectors with acceptable computational overhead.

  1. ACS Science Data Buffer Check/Self-Tests for CS Buffer RAM and MIE RAM

    NASA Astrophysics Data System (ADS)

    Balzano, V.

    2001-07-01

    The ACS Science Buffer RAM is checked for bit flips during SAA passages. This is followed by a Control Section {CS} self-test consisting of writing/reading a specified bit pattern from each memory location in Buffer RAM and a similar test for MIE RAM. The MIE must be placed in BOOT mode for its self-test. The CS Buffer RAM self-test as well as the bit flip tests are all done with the CS in Operate.

  2. ACS Science Data Buffer Check/Self-Tests for CS Buffer RAM and MIE RAM

    NASA Astrophysics Data System (ADS)

    Welty, Alan

    2005-07-01

    The ACS Science Buffer RAM is checked for bit flips during SAA passages. Thisis followed by a Control Section {CS} self-test consisting of writing/reading a specified bit pattern from each memory location in Buffer RAM and a similar test for MIE RAM. The MIE must be placed in BOOT mode for its self-test. The CS Buffer RAM self-test as well as the bit flip tests are all done with the CS in Operate.

  3. Accessing memory

    DOEpatents

    Yoon, Doe Hyun; Muralimanohar, Naveen; Chang, Jichuan; Ranganthan, Parthasarathy

    2017-09-26

    A disclosed example method involves performing simultaneous data accesses on at least first and second independently selectable logical sub-ranks to access first data via a wide internal data bus in a memory device. The memory device includes a translation buffer chip, memory chips in independently selectable logical sub-ranks, a narrow external data bus to connect the translation buffer chip to a memory controller, and the wide internal data bus between the translation buffer chip and the memory chips. A data access is performed on only the first independently selectable logical sub-rank to access second data via the wide internal data bus. The example method also involves locating a first portion of the first data, a second portion of the first data, and the second data on the narrow external data bus during separate data transfers.

  4. RAM Technology Study.

    DTIC Science & Technology

    1980-01-03

    characteristics. 4 2 Example of MOS scaling. 18 3 RAM chip area comparison. 31 4 Summary of RAM switching response. 34 5 Summary of RAM power dissipation...array to retain the data after power is removed (volatility). The level of chip complexity is that of the most complex arrays in current production and is...4) ..4 L) . C U ~~~~ -- -- t 0 -, 4 4 . . Data in the Read-Only-Memory is defined by the metallization pattern during chip fabrication. The stored

  5. SQL-RAMS

    NASA Technical Reports Server (NTRS)

    Alfaro, Victor O.; Casey, Nancy J.

    2005-01-01

    SQL-RAMS (where "SQL" signifies Structured Query Language and "RAMS" signifies Rocketdyne Automated Management System) is a successor to the legacy version of RAMS -- a computer program used to manage all work, nonconformance, corrective action, and configuration management on rocket engines and ground support equipment at Stennis Space Center. The legacy version resided in the File-Maker Pro software system and was constructed in modules that could act as standalone programs. There was little or no integration among modules. Because of limitations on file-management capabilities in FileMaker Pro, and because of difficulty of integration of FileMaker Pro with other software systems for exchange of data using such industry standards as SQL, the legacy version of RAMS proved to be limited, and working to circumvent its limitations too time-consuming. In contrast, SQL-RAMS is an integrated SQL-server-based program that supports all data-exchange software industry standards. Whereas in the legacy version, it was necessary to access individual modules to gain insight into a particular workstatus document, SQL-RAMS provides access through a single-screen presentation of core modules. In addition, SQL-RAMS enables rapid and efficient filtering of displayed statuses by predefined categories and test numbers. SQL-RAMS is rich in functionality and encompasses significant improvements over the legacy system. It provides users the ability to perform many tasks, which in the past required administrator intervention. Additionally, many of the design limitations have been corrected, allowing for a robust application that is user centric.

  6. SQL-RAMS

    NASA Technical Reports Server (NTRS)

    Alfaro, Victor O.; Casey, Nancy J.

    2005-01-01

    SQL-RAMS (where "SQL" signifies Structured Query Language and "RAMS" signifies Rocketdyne Automated Management System) is a successor to the legacy version of RAMS a computer program used to manage all work, nonconformance, corrective action, and configuration management on rocket engines and ground support equipment at Stennis Space Center. The legacy version resided in the FileMaker Pro software system and was constructed in modules that could act as stand-alone programs. There was little or no integration among modules. Because of limitations on file-management capabilities in FileMaker Pro, and because of difficulty of integration of FileMaker Pro with other software systems for exchange of data using such industry standards as SQL, the legacy version of RAMS proved to be limited, and working to circumvent its limitations too time-consuming. In contrast, SQL-RAMS is an integrated SQL-server-based program that supports all data-exchange software industry standards. Whereas in the legacy version, it was necessary to access individual modules to gain insight to a particular work-status documents, SQL-RAMS provides access through a single-screen presentation of core modules. In addition, SQL-RAMS enable rapid and efficient filtering of displayed statuses by predefined categories and test numbers. SQL-RAMS is rich in functionality and encompasses significant improvements over the legacy system. It provides users the ability to perform many tasks which in the past required administrator intervention. Additionally many of the design limitations have been corrected allowing for a robust application that is user centric.

  7. COS Science Data Buffer Check/Self-Tests for CS Buffer RAM and DIB RAM

    NASA Astrophysics Data System (ADS)

    Welty, Alan

    2009-07-01

    The COS Science Buffer RAM is checked for bit flips during SAA passages. This is followed by a Control Section {CS} self-test consisting of writing/reading a specified bit pattern from each memory location in Buffer RAM and a similar test for DIB RAM. The DIB must be placed in BOOT mode for its self-test. The CS Buffer RAM self-test as well as the bit flip tests are all done with the CS in Operate.Supports Activity COS-03

  8. FPS-RAM: Fast Prefix Search RAM-Based Hardware for Forwarding Engine

    NASA Astrophysics Data System (ADS)

    Zaitsu, Kazuya; Yamamoto, Koji; Kuroda, Yasuto; Inoue, Kazunari; Ata, Shingo; Oka, Ikuo

    Ternary content addressable memory (TCAM) is becoming very popular for designing high-throughput forwarding engines on routers. However, TCAM has potential problems in terms of hardware and power costs, which limits its ability to deploy large amounts of capacity in IP routers. In this paper, we propose new hardware architecture for fast forwarding engines, called fast prefix search RAM-based hardware (FPS-RAM). We designed FPS-RAM hardware with the intent of maintaining the same search performance and physical user interface as TCAM because our objective is to replace the TCAM in the market. Our RAM-based hardware architecture is completely different from that of TCAM and has dramatically reduced the costs and power consumption to 62% and 52%, respectively. We implemented FPS-RAM on an FPGA to examine its lookup operation.

  9. Implementation of Ferroelectric Memories for Space Applications

    NASA Technical Reports Server (NTRS)

    Philpy, Stephen C.; Derbenwick, Gary F.; Kamp, David A.; Isaacson, Alan F.

    2000-01-01

    Ferroelectric random access semiconductor memories (FeRAMs) are an ideal nonvolatile solution for space applications. These memories have low power performance, high endurance and fast write times. By combining commercial ferroelectric memory technology with radiation hardened CMOS technology, nonvolatile semiconductor memories for space applications can be attained. Of the few radiation hardened semiconductor manufacturers, none have embraced the development of radiation hardened FeRAMs, due a limited commercial space market and funding limitations. Government funding may be necessary to assure the development of radiation hardened ferroelectric memories for space applications.

  10. COS Side 2 Science Data Buffer Check/Self-Tests for CS Buffer RAM and DIB RAM

    NASA Astrophysics Data System (ADS)

    Bacinski, John

    2013-10-01

    The COS Science Buffer RAM is checked for bit flips during SAA passages. This is followed by a Control Section {CS} self-test consisting of writing/reading a specified bit pattern from each memory location in Buffer RAM and a similar test for DIB RAM. The DIB must be placed in BOOT mode for its self-test. The CS Buffer RAM self-test as well as the bit flip tests are all done with the CS in Operate.

  11. Metal oxide resistive random access memory based synaptic devices for brain-inspired computing

    NASA Astrophysics Data System (ADS)

    Gao, Bin; Kang, Jinfeng; Zhou, Zheng; Chen, Zhe; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan

    2016-04-01

    The traditional Boolean computing paradigm based on the von Neumann architecture is facing great challenges for future information technology applications such as big data, the Internet of Things (IoT), and wearable devices, due to the limited processing capability issues such as binary data storage and computing, non-parallel data processing, and the buses requirement between memory units and logic units. The brain-inspired neuromorphic computing paradigm is believed to be one of the promising solutions for realizing more complex functions with a lower cost. To perform such brain-inspired computing with a low cost and low power consumption, novel devices for use as electronic synapses are needed. Metal oxide resistive random access memory (ReRAM) devices have emerged as the leading candidate for electronic synapses. This paper comprehensively addresses the recent work on the design and optimization of metal oxide ReRAM-based synaptic devices. A performance enhancement methodology and optimized operation scheme to achieve analog resistive switching and low-energy training behavior are provided. A three-dimensional vertical synapse network architecture is proposed for high-density integration and low-cost fabrication. The impacts of the ReRAM synaptic device features on the performances of neuromorphic systems are also discussed on the basis of a constructed neuromorphic visual system with a pattern recognition function. Possible solutions to achieve the high recognition accuracy and efficiency of neuromorphic systems are presented.

  12. An amorphous titanium dioxide metal insulator metal selector device for resistive random access memory crossbar arrays with tunable voltage margin

    NASA Astrophysics Data System (ADS)

    Cortese, Simone; Khiat, Ali; Carta, Daniela; Light, Mark E.; Prodromakis, Themistoklis

    2016-01-01

    Resistive random access memory (ReRAM) crossbar arrays have become one of the most promising candidates for next-generation non volatile memories. To become a mature technology, the sneak path current issue must be solved without compromising all the advantages that crossbars offer in terms of electrical performances and fabrication complexity. Here, we present a highly integrable access device based on nickel and sub-stoichiometric amorphous titanium dioxide (TiO2-x), in a metal insulator metal crossbar structure. The high voltage margin of 3 V, amongst the highest reported for monolayer selector devices, and the good current density of 104 A/cm2 make it suitable to sustain ReRAM read and write operations, effectively tackling sneak currents in crossbars without compromising fabrication complexity in a 1 Selector 1 Resistor (1S1R) architecture. Furthermore, the voltage margin is found to be tunable by an annealing step without affecting the device's characteristics.

  13. Atomic memory access hardware implementations

    DOEpatents

    Ahn, Jung Ho; Erez, Mattan; Dally, William J

    2015-02-17

    Atomic memory access requests are handled using a variety of systems and methods. According to one example method, a data-processing circuit having an address-request generator that issues requests to a common memory implements a method of processing the requests using a memory-access intervention circuit coupled between the generator and the common memory. The method identifies a current atomic-memory access request from a plurality of memory access requests. A data set is stored that corresponds to the current atomic-memory access request in a data storage circuit within the intervention circuit. It is determined whether the current atomic-memory access request corresponds to at least one previously-stored atomic-memory access request. In response to determining correspondence, the current request is implemented by retrieving data from the common memory. The data is modified in response to the current request and at least one other access request in the memory-access intervention circuit.

  14. A graphite based STT-RAM cell with reduction in switching current

    NASA Astrophysics Data System (ADS)

    Varghani, Ali; Peiravi, Ali

    2015-10-01

    Spin Transfer Torque Random Access Memory (STT-RAM) is a serious candidate for "universal memory" because of its non-volatility, fast access time, high density, good scalability, high endurance and relatively low power dissipation. However, problems with low write speed and large write current are important existing challenges in STT-RAM design and there is a tradeoff between them and data retention time. In this study, a novel STT-RAM cell structure which uses perfect graphite based Magnetic Tunnel Junction (MTJ) is proposed. First, the cross-section of the structure is selected to be an ellipse of 45 nm and 180 nm dimensions and a six-layer graphite is used as tunnel barrier. By passing a lateral current with a short pulse width (before applying STT current and independent of it) through four middle graphene layers of the tunnel barrier, a 27% reduction in the amplitude of the switching current (for fast switching time of 2 ns) or a 58% reduction in its pulse width is achieved without any reduction in data retention time. Finally, the effect of downscaling of technology on the proposed structure is evaluated. A reduction of 31.6% and 9% in switching current is achieved for 90 and 22 nm cell width respectively by passing sufficient current (100 μA with 0.1 ns pulse width) through the tunnel barrier. Simulations are done using Object Oriented Micro Magnetic Framework (OOMMF).

  15. Integration of SrBi2Ta2O9 thin films for high density ferroelectric random access memory

    NASA Astrophysics Data System (ADS)

    Wouters, D. J.; Maes, D.; Goux, L.; Lisoni, J. G.; Paraschiv, V.; Johnson, J. A.; Schwitters, M.; Everaert, J.-L.; Boullart, W.; Schaekers, M.; Willegems, M.; Vander Meeren, H.; Haspeslagh, L.; Artoni, C.; Caputa, C.; Casella, P.; Corallo, G.; Russo, G.; Zambrano, R.; Monchoix, H.; Vecchio, G.; Van Autryve, L.

    2006-09-01

    Ferroelectric random access memory (FeRAM) is an attractive candidate technology for embedded nonvolatile memory, especially in applications where low power and high program speed are important. Market introduction of high-density FeRAM is, however, lagging behind standard complementary metal-oxide semiconductor (CMOS) because of the difficult integration technology. This paper discusses the major integration issues for high-density FeRAM, based on SrBi2Ta2O9 (strontium bismuth tantalate or SBT), in relation to the fabrication of our stacked cell structure. We have worked in the previous years on the development of SBT-FeRAM integration technology, based on a so-called pseudo-three-dimensional (3D) cell, with a capacitor that can be scaled from quasi two-dimensional towards a true three-dimensional capacitor where the sidewalls will importantly contribute to the signal. In the first phase of our integration development, we integrated our FeRAM cell in a 0.35μm CMOS technology. In a second phase, then, possibility of scaling of our cell is demonstrated in 0.18μm technology. The excellent electrical and reliability properties of the small integrated ferroelectric capacitors prove the feasibility of the technology, while the verification of the potential 3D effect confirms the basic scaling potential of our concept beyond that of the single-mask capacitor. The paper outlines the different material and technological challenges, and working solutions are demonstrated. While some issues are specific to our own cell, many are applicable to different stacked FeRAM cell concepts, or will become more general concerns when more developments are moving into 3D structures.

  16. An automatic analyzer of solid state nuclear track detectors using an optic RAM as image sensor

    NASA Astrophysics Data System (ADS)

    Staderini, Enrico Maria; Castellano, Alfredo

    1986-02-01

    An optic RAM is a conventional digital random access read/write dynamic memory device featuring a quartz windowed package and memory cells regularly ordered on the chip. Such a device is used as an image sensor because each cell retains data stored in it for a time depending on the intensity of the light incident on the cell itself. The authors have developed a system which uses an optic RAM to acquire and digitize images from electrochemically etched CR39 solid state nuclear track detectors (SSNTD) in the track count rate up to 5000 cm -2. On the digital image so obtained, a microprocessor, with appropriate software, performs image analysis, filtering, tracks counting and evaluation.

  17. All-optical clocked flip-flops and random access memory cells using the nonlinear polarization rotation effect of low-polarization-dependent semiconductor optical amplifiers

    NASA Astrophysics Data System (ADS)

    Wang, Yongjun; Liu, Xinyu; Tian, Qinghua; Wang, Lina; Xin, Xiangjun

    2018-03-01

    Basic configurations of various all-optical clocked flip-flops (FFs) and optical random access memory (RAM) based on the nonlinear polarization rotation (NPR) effect of low-polarization-dependent semiconductor optical amplifiers (SOA) are proposed. As the constituent elements, all-optical logic gates and all-optical SR latches are constructed by taking advantage of the SOA's NPR switch. Different all-optical FFs (AOFFs), including SR-, D-, T-, and JK-types as well as an optical RAM cell were obtained by the combination of the proposed all-optical SR latches and logic gates. The effectiveness of the proposed schemes were verified by simulation results and demonstrated by a D-FF and 1-bit RAM cell experimental system. The proposed all-optical clocked FFs and RAM cell are significant to all-optical signal processing.

  18. Resistive Random Access Memory from Materials Development fnd Engineering to Novel Encryption and Neuromorphic Applications

    NASA Astrophysics Data System (ADS)

    Beckmann, Karsten

    Resistive random access memory (ReRAM or RRAM) is a novel form of non-volatile memory that is expected to play a major role in future computing and memory solutions. It has been shown that the resistance state of ReRAM devices can be precisely tuned by modulating switching voltages, by limiting peak current, and by adjusting the switching pulse properties. This enables the realization of novel applications such as memristive neuromorphic computing and neural network computing. I have developed two processes based on 100 and 300mm wafer platforms to demonstrate functional HfO2 based ReRAM devices. The first process is designed for a rapid materials engineering and device characterization, while the second is an advanced hybrid ReRAM/CMOS combination based on the IBM 65nm 10LPe process technology. The 100mm wafer efforts were used to show impacts of etch processes on ReRAM switching performance and the need for a rigorous structural evaluation of ReRAM devices before starting materials development. After an etch development, a bottom electrode comparison between the inert materials Pt, Ru and W was performed where Ru showed superior results with respect to yield and resilience against environmental impacts such as humidity over a 2-month period. A comparison of amorphous and crystalline devices showed no statistical difference in the performance with respect to random telegraph noise. This demonstrates, that the forming process fundamentally alters the crystallographic structure within and around the filament. The 300mm wafer development efforts were aimed towards implementing ReRAM in the FEOL, combined with CMOS, to yield a seamless process flow of 1 transistor 1 ReRAM structures (1T1R). This technology was customized with custom-developed tungsten metal 1 (M1) and dual tungsten/copper via 1 (V1) structures, within which the ReRAM stack is embedded. The ReRAM itself consists of an inert W bottom electrode, HfO2 based active switching layer, a Ti oxygen scavenger

  19. Overview of emerging nonvolatile memory technologies

    PubMed Central

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  20. Overview of emerging nonvolatile memory technologies.

    PubMed

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  1. Thermal characterization and analysis of phase change random access memory

    NASA Astrophysics Data System (ADS)

    Giraud, V.; Cluzel, J.; Sousa, V.; Jacquot, A.; Dauscher, A.; Lenoir, B.; Scherrer, H.; Romer, S.

    2005-07-01

    The cross-plane thermal conductivity of Ge2Sb2Te5, either in its amorphous state or fcc crystallized state, and titanium nitride (TiN) thin films has been measured at room temperature by the 3ω method. These materials are involved in the fabrication of phase change random access memory (PC-RAM), Ge2Sb2Te5 and TiN being the PC and pseudoelectrode materials, respectively. The thermal conductivity of insulating SiO2 and ZnS :SiO2 layers was determined too. Each thermal conductivity measurement was performed by the means of at least two strip widths in order to check both the measurement self-consistency and the measurement accuracy. The performance of PC-RAM cells, i.e., the time needed to reach the melting temperature of the PC material and the cooling speed, has been evaluated as a function of both the measured thermal conductivity of the PC material and the reset current intensity independently of the thermal properties of the pseudoelectrodes by the way of analytical formula. The influence of the thickness and the thermal properties of the pseudoelectrodes on the performances have been determined by numerical simulations.

  2. Origin of the OFF state variability in ReRAM cells

    NASA Astrophysics Data System (ADS)

    Salaoru, Iulia; Khiat, Ali; Li, Qingjiang; Berdan, Radu; Papavassiliou, Christos; Prodromakis, Themistoklis

    2014-04-01

    This work exploits the switching dynamics of nanoscale resistive random access memory (ReRAM) cells with particular emphasis on the origin of the observed variability when cells are consecutively cycled/programmed at distinct memory states. It is demonstrated that this variance is a common feature of all ReRAM elements and is ascribed to the formation and rupture of conductive filaments that expand across the active core, independently of the material employed as the active switching core, the causal physical switching mechanism, the switching mode (bipolar/unipolar) or even the unit cells' dimensions. Our hypothesis is supported through both experimental and theoretical studies on TiO2 and In2O3 : SnO2 (ITO) based ReRAM cells programmed at three distinct resistive states. Our prototypes employed TiO2 or ITO active cores over 5 × 5 µm2 and 100 × 100 µm2 cell areas, with all tested devices demonstrating both unipolar and bipolar switching modalities. In the case of TiO2-based cells, the underlying switching mechanism is based on the non-uniform displacement of ionic species that foster the formation of conductive filaments. On the other hand, the resistive switching observed in the ITO-based devices is considered to be due to a phase change mechanism. The selected experimental parameters allowed us to demonstrate that the observed programming variance is a common feature of all ReRAM devices, proving that its origin is dependent upon randomly oriented local disorders within the active core that have a substantial impact on the overall state variance, particularly for high-resistive states.

  3. An energy and cost efficient majority-based RAM cell in quantum-dot cellular automata

    NASA Astrophysics Data System (ADS)

    Khosroshahy, Milad Bagherian; Moaiyeri, Mohammad Hossein; Navi, Keivan; Bagherzadeh, Nader

    Nanotechnologies, notably quantum-dot cellular automata, have achieved major attentions for their prominent features as compared to the conventional CMOS circuitry. Quantum-dot cellular automata, particularly owning to its considerable reduction in size, high switching speed and ultra-low energy consumption, is considered as a potential alternative for the CMOS technology. As the memory unit is one of the most essential components in a digital system, designing a well-optimized QCA random access memory (RAM) cell is an important area of research. In this paper, a new five-input majority gate is presented which is suitable for implementing efficient single-layer QCA circuits. In addition, a new RAM cell with set and reset capabilities is designed based on the proposed majority gate, which has an efficient and low-energy structure. The functionality, performance and energy consumption of the proposed designs are evaluated based on the QCADesigner and QCAPro tools. According to the simulation results, the proposed RAM design leads to on average 38% lower total energy dissipation, 25% smaller area, 20% lower cell count, 28% lower delay and 60% lower QCA cost as compared to its previous counterparts.

  4. Single-Event Effect Response of a Commercial ReRAM

    NASA Technical Reports Server (NTRS)

    Chen, Dakai; Label, Kenneth A.; Kim, Hak; Phan, Anthony; Wilcox, Edward; Buchner, Stephen; Khachatrian, Ani; Roche, Nicolas

    2014-01-01

    We show heavy ion test results of a commercial production-level ReRAM. The memory array is robust to bit upsets. However the ReRAM system is vulnerable to SEFIs due to upsets in peripheral circuits, including the sense amplifier.

  5. Memory availability and referential access

    PubMed Central

    Johns, Clinton L.; Gordon, Peter C.; Long, Debra L.; Swaab, Tamara Y.

    2013-01-01

    Most theories of coreference specify linguistic factors that modulate antecedent accessibility in memory; however, whether non-linguistic factors also affect coreferential access is unknown. Here we examined the impact of a non-linguistic generation task (letter transposition) on the repeated-name penalty, a processing difficulty observed when coreferential repeated names refer to syntactically prominent (and thus more accessible) antecedents. In Experiment 1, generation improved online (event-related potentials) and offline (recognition memory) accessibility of names in word lists. In Experiment 2, we manipulated generation and syntactic prominence of antecedent names in sentences; both improved online and offline accessibility, but only syntactic prominence elicited a repeated-name penalty. Our results have three important implications: first, the form of a referential expression interacts with an antecedent’s status in the discourse model during coreference; second, availability in memory and referential accessibility are separable; and finally, theories of coreference must better integrate known properties of the human memory system. PMID:24443621

  6. Ion beam synthesis of indium-oxide nanocrystals for improvement of oxide resistive random-access memories

    NASA Astrophysics Data System (ADS)

    Bonafos, C.; Benassayag, G.; Cours, R.; Pécassou, B.; Guenery, P. V.; Baboux, N.; Militaru, L.; Souifi, A.; Cossec, E.; Hamga, K.; Ecoffey, S.; Drouin, D.

    2018-01-01

    We report on the direct ion beam synthesis of a delta-layer of indium oxide nanocrystals (In2O3-NCs) in silica matrices by using ultra-low energy ion implantation. The formation of the indium oxide phase can be explained by (i) the affinity of indium with oxygen, (ii) the generation of a high excess of oxygen recoils generated by the implantation process in the region where the nanocrystals are formed and (iii) the proximity of the indium-based nanoparticles with the free surface and oxidation from the air. Taking advantage of the selective diffusivity of implanted indium in SiO2 with respect to Si3N4, In2O3-NCs have been inserted in the SiO2 switching oxide of micrometric planar oxide-based resistive random access memory (OxRAM) devices fabricated using the nanodamascene process. Preliminary electrical measurements show switch voltage from high to low resistance state. The devices with In2O3-NCs have been cycled 5 times with identical operating voltages and RESET current meanwhile no switch has been observed for non implanted devices. This first measurement of switching is very promising for the concept of In2O3-NCs based OxRAM memories.

  7. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    PubMed

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  8. Switching behavior of resistive change memory using oxide nanowires

    NASA Astrophysics Data System (ADS)

    Aono, Takashige; Sugawa, Kosuke; Shimizu, Tomohiro; Shingubara, Shoso; Takase, Kouichi

    2018-06-01

    Resistive change random access memory (ReRAM), which is expected to be the next-generation nonvolatile memory, often has wide switching voltage distributions due to many kinds of conductive filaments. In this study, we have tried to suppress the distribution through the structural restriction of the filament-forming area using NiO nanowires. The capacitor with Ni metal nanowires whose surface is oxidized showed good switching behaviors with narrow distributions. The knowledge gained from our study will be very helpful in producing practical ReRAM devices.

  9. Organic Ferroelectric-Based 1T1T Random Access Memory Cell Employing a Common Dielectric Layer Overcoming the Half-Selection Problem.

    PubMed

    Zhao, Qiang; Wang, Hanlin; Ni, Zhenjie; Liu, Jie; Zhen, Yonggang; Zhang, Xiaotao; Jiang, Lang; Li, Rongjin; Dong, Huanli; Hu, Wenping

    2017-09-01

    Organic electronics based on poly(vinylidenefluoride/trifluoroethylene) (P(VDF-TrFE)) dielectric is facing great challenges in flexible circuits. As one indispensable part of integrated circuits, there is an urgent demand for low-cost and easy-fabrication nonvolatile memory devices. A breakthrough is made on a novel ferroelectric random access memory cell (1T1T FeRAM cell) consisting of one selection transistor and one ferroelectric memory transistor in order to overcome the half-selection problem. Unlike complicated manufacturing using multiple dielectrics, this system simplifies 1T1T FeRAM cell fabrication using one common dielectric. To achieve this goal, a strategy for semiconductor/insulator (S/I) interface modulation is put forward and applied to nonhysteretic selection transistors with high performances for driving or addressing purposes. As a result, high hole mobility of 3.81 cm 2 V -1 s -1 (average) for 2,6-diphenylanthracene (DPA) and electron mobility of 0.124 cm 2 V -1 s -1 (average) for N,N'-1H,1H-perfluorobutyl dicyanoperylenecarboxydiimide (PDI-FCN 2 ) are obtained in selection transistors. In this work, we demonstrate this technology's potential for organic ferroelectric-based pixelated memory module fabrication. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Implementing a bubble memory hierarchy system

    NASA Technical Reports Server (NTRS)

    Segura, R.; Nichols, C. D.

    1979-01-01

    This paper reports on implementation of a magnetic bubble memory in a two-level hierarchial system. The hierarchy used a major-minor loop device and RAM under microprocessor control. Dynamic memory addressing, dual bus primary memory, and hardware data modification detection are incorporated in the system to minimize access time. It is the objective of the system to incorporate the advantages of bipolar memory with that of bubble domain memory to provide a smart, optimal memory system which is easy to interface and independent of user's system.

  11. Windsock memory COnditioned RAM (CO-RAM) pressure effect: Forced reconnection in the Earth's magnetotail

    NASA Astrophysics Data System (ADS)

    Vörös, Z.; Facskó, G.; Khodachenko, M.; Honkonen, I.; Janhunen, P.; Palmroth, M.

    2014-08-01

    Magnetic reconnection (MR) is a key physical concept explaining the addition of magnetic flux to the magnetotail and closed flux lines back-motion to the dayside magnetosphere. This scenario elaborated by Dungey (1963) can explain many aspects of solar wind-magnetosphere interaction processes, including substorms. However, neither the Dungey model nor its numerous modifications were able to explain fully the onset conditions for MR in the tail. In this paper, we introduce new onset conditions for forced MR in the tail. We call our scenario the "windsock memory conditioned ram pressure effect." Our nonflux transfer-associated forcing is introduced by a combination of the large-scale windsock motions exhibiting memory effects and solar wind dynamic pressure actions on the nightside magnetopause during northward oriented interplanetary magnetic field (IMF). Using global MHD Grand Unified Magnetosphere Ionosphere Coupling Simulation version 4 simulation results, upstream data from Wind, magnetosheath data from Cluster 1 and distant tail data from the two-probe Acceleration, Reconnection, Turbulence and Electrodynamics of the Moon's Interaction with the Sun mission, we show that the simultaneous occurrence of vertical windsock motions of the magnetotail and enhanced solar wind dynamic pressure introduces strong nightside disturbances, including enhanced electric fields and persistent vertical cross-tail shear flows. These perturbations, associated with a stream interaction region in the solar wind, drive MR in the tail during episodes of northward oriented interplanetary magnetic field (IMF). We detect MR indirectly, observing plasmoids in the tail and ground-based signatures of earthward moving fast flows. We also consider the application to solar system planets and close-in exoplanets, where the proposed scenario can elucidate some new aspects of solar/stellar wind-magnetosphere interactions.

  12. A Novel Ni/WOX/W Resistive Random Access Memory with Excellent Retention and Low Switching Current

    NASA Astrophysics Data System (ADS)

    Chien, Wei-Chih; Chen, Yi-Chou; Lee, Feng-Ming; Lin, Yu-Yu; Lai, Erh-Kun; Yao, Yeong-Der; Gong, Jeng; Horng, Sheng-Fu; Yeh, Chiao-Wen; Tsai, Shih-Chang; Lee, Ching-Hsiung; Huang, Yu-Kai; Chen, Chun-Fu; Kao, Hsiao-Feng; Shih, Yen-Hao; Hsieh, Kuang-Yeu; Lu, Chih-Yuan

    2011-04-01

    The behavior of WOX resistive random access memory (ReRAM) is a strong function of the top electrode material, which controls the conduction mechanism and the forming process. When using a top electrode with low work function, the current conduction is limited by space charges. On the other hand, the mechanism becomes thermionic emission for devices with a high work function top electrode. These (thermionic) devices are also found to have higher initial resistance, reduced forming current, and larger resistance window. Based on these insights and considering the compatibility to complementary metal-oxide-semiconductor (CMOS) process, we proposed to use Ni as the top electrode for high performance WOX ReRAM devices. The new Ni/WOX/W device can be switched at a low current density less than 8×105 A/cm2, with RESET/SET resistance ratio greater than 100, and extremely good data retention of more than 300 years at 85 °C.

  13. Experimental Results and Issues on Equalization for Nonlinear Memory Channel: Pre-Cursor Enhanced Ram-DFE Canceler

    NASA Technical Reports Server (NTRS)

    Yuan, Lu; LeBlanc, James

    1998-01-01

    This thesis investigates the effects of the High Power Amplifier (HPA) and the filters over a satellite or telemetry channel. The Volterra series expression is presented for the nonlinear channel with memory, and the algorithm is based on the finite-state machine model. A RAM-based algorithm operating on the receiver side, Pre-cursor Enhanced RAM-FSE Canceler (PERC) is developed. A high order modulation scheme , 16-QAM is used for simulation, the results show that PERC provides an efficient and reliable method to transmit data on the bandlimited nonlinear channel. The contribution of PERC algorithm is that it includes both pre-cursors and post-cursors as the RAM address lines, and suggests a new way to make decision on the pre-addresses. Compared with the RAM-DFE structure that only includes post- addresses, the BER versus Eb/NO performance of PERC is substantially enhanced. Experiments are performed for PERC algorithms with different parameters on AWGN channels, and the results are compared and analyzed. The investigation of this thesis includes software simulation and hardware verification. Hardware is setup to collect actual TWT data. Simulation on both the software-generated data and the real-world data are performed. Practical limitations are considered for the hardware collected data. Simulation results verified the reliability of the PERC algorithm. This work was conducted at NMSU in the Center for Space Telemetering and Telecommunications Systems in the Klipsch School of Electrical and Computer Engineering Department.

  14. Memory-based frame synchronizer. [for digital communication systems

    NASA Technical Reports Server (NTRS)

    Stattel, R. J.; Niswander, J. K. (Inventor)

    1981-01-01

    A frame synchronizer for use in digital communications systems wherein data formats can be easily and dynamically changed is described. The use of memory array elements provide increased flexibility in format selection and sync word selection in addition to real time reconfiguration ability. The frame synchronizer comprises a serial-to-parallel converter which converts a serial input data stream to a constantly changing parallel data output. This parallel data output is supplied to programmable sync word recognizers each consisting of a multiplexer and a random access memory (RAM). The multiplexer is connected to both the parallel data output and an address bus which may be connected to a microprocessor or computer for purposes of programming the sync word recognizer. The RAM is used as an associative memory or decorder and is programmed to identify a specific sync word. Additional programmable RAMs are used as counter decoders to define word bit length, frame word length, and paragraph frame length.

  15. Exploration of perpendicular magnetic anisotropy material system for application in spin transfer torque - Random access memory

    NASA Astrophysics Data System (ADS)

    Natarajarathinam, Anusha

    Perpendicular magnetic anisotropy (PMA) materials have unique advantages when used in magnetic tunnel junctions (MTJ) which are the most critical part of spin-torque transfer random access memory devices (STT-RAMs) that are being researched intensively as future non-volatile memory technology. They have high magnetoresistance which improves their sensitivity. The STT-RAM has several advantages over competing technologies, for instance, low power consumption, non-volatility, ultra-fast read and write speed and high endurance. In personal computers, it can replace SRAM for high-speed applications, Flash for non-volatility, and PSRAM and DRAM for high-speed program execution. The main aim of this research is to identify and optimize the best perpendicular magnetic anisotropy (PMA) material system for application to STT-RAM technology. Preliminary search for perpendicular magnetic anisotropy (PMA) materials for pinned layer for MTJs started with the exploration and optimization of crystalline alloys such as Co50Pd50 alloy, Mn50Al50 and amorphous alloys such as Tb21Fe72Co7 and are first presented in this work. Further optimization includes the study of Co/[Pd/Pt]x multilayers (ML), and the development of perpendicular synthetic antiferromagnets (SAF) utilizing these multilayers. Focused work on capping and seed layers to evaluate interfacial perpendicular anisotropy in free layers for pMTJs is then discussed. Optimization of the full perpendicular magnetic tunnel junction (pMTJ) includes the CoFeB/MgO/CoFeB trilayer coupled to a pinned/pinning layer with perpendicular Co/[Pd/Pt]x SAF and a thin Ta seeded CoFeB free layer. Magnetometry, simulations, annealing studies, transport measurements and TEM analysis on these samples will then be presented.

  16. 32-Bit-Wide Memory Tolerates Failures

    NASA Technical Reports Server (NTRS)

    Buskirk, Glenn A.

    1990-01-01

    Electronic memory system of 32-bit words corrects bit errors caused by some common type of failures - even failure of entire 4-bit-wide random-access-memory (RAM) chip. Detects failure of two such chips, so user warned that ouput of memory may contain errors. Includes eight 4-bit-wide DRAM's configured so each bit of each DRAM assigned to different one of four parallel 8-bit words. Each DRAM contributes only 1 bit to each 8-bit word.

  17. Sparse distributed memory: Principles and operation

    NASA Technical Reports Server (NTRS)

    Flynn, M. J.; Kanerva, P.; Bhadkamkar, N.

    1989-01-01

    Sparse distributed memory is a generalized random access memory (RAM) for long (1000 bit) binary words. Such words can be written into and read from the memory, and they can also be used to address the memory. The main attribute of the memory is sensitivity to similarity, meaning that a word can be read back not only by giving the original write address but also by giving one close to it as measured by the Hamming distance between addresses. Large memories of this kind are expected to have wide use in speech recognition and scene analysis, in signal detection and verification, and in adaptive control of automated equipment, in general, in dealing with real world information in real time. The memory can be realized as a simple, massively parallel computer. Digital technology has reached a point where building large memories is becoming practical. Major design issues were resolved which were faced in building the memories. The design is described of a prototype memory with 256 bit addresses and from 8 to 128 K locations for 256 bit words. A key aspect of the design is extensive use of dynamic RAM and other standard components.

  18. Advanced Cu chemical displacement technique for SiO2-based electrochemical metallization ReRAM application.

    PubMed

    Chin, Fun-Tat; Lin, Yu-Hsien; You, Hsin-Chiang; Yang, Wen-Luh; Lin, Li-Min; Hsiao, Yu-Ping; Ko, Chum-Min; Chao, Tien-Sheng

    2014-01-01

    This study investigates an advanced copper (Cu) chemical displacement technique (CDT) with varying the chemical displacement time for fabricating Cu/SiO2-stacked resistive random-access memory (ReRAM). Compared with other Cu deposition methods, this CDT easily controls the interface of the Cu-insulator, the switching layer thickness, and the immunity of the Cu etching process, assisting the 1-transistor-1-ReRAM (1T-1R) structure and system-on-chip integration. The modulated shape of the Cu-SiO2 interface and the thickness of the SiO2 layer obtained by CDT-based Cu deposition on SiO2 were confirmed by scanning electron microscopy and atomic force microscopy. The CDT-fabricated Cu/SiO2-stacked ReRAM exhibited lower operation voltages and more stable data retention characteristics than the control Cu/SiO2-stacked sample. As the Cu CDT processing time increased, the forming and set voltages of the CDT-fabricated Cu/SiO2-stacked ReRAM decreased. Conversely, decreasing the processing time reduced the on-state current and reset voltage while increasing the endurance switching cycle time. Therefore, the switching characteristics were easily modulated by Cu CDT, yielding a high performance electrochemical metallization (ECM)-type ReRAM.

  19. Solution-processed flexible NiO resistive random access memory device

    NASA Astrophysics Data System (ADS)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  20. Sparse distributed memory prototype: Principles of operation

    NASA Technical Reports Server (NTRS)

    Flynn, Michael J.; Kanerva, Pentti; Ahanin, Bahram; Bhadkamkar, Neal; Flaherty, Paul; Hickey, Philip

    1988-01-01

    Sparse distributed memory is a generalized random access memory (RAM) for long binary words. Such words can be written into and read from the memory, and they can be used to address the memory. The main attribute of the memory is sensitivity to similarity, meaning that a word can be read back not only by giving the original right address but also by giving one close to it as measured by the Hamming distance between addresses. Large memories of this kind are expected to have wide use in speech and scene analysis, in signal detection and verification, and in adaptive control of automated equipment. The memory can be realized as a simple, massively parallel computer. Digital technology has reached a point where building large memories is becoming practical. The research is aimed at resolving major design issues that have to be faced in building the memories. The design of a prototype memory with 256-bit addresses and from 8K to 128K locations for 256-bit words is described. A key aspect of the design is extensive use of dynamic RAM and other standard components.

  1. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    DOEpatents

    Ohmacht, Martin

    2017-08-15

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  2. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    DOEpatents

    Ohmacht, Martin

    2014-09-09

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  3. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells

    PubMed Central

    Pu, Y-F; Jiang, N.; Chang, W.; Yang, H-X; Li, C.; Duan, L-M

    2017-01-01

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology. PMID:28480891

  4. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells.

    PubMed

    Pu, Y-F; Jiang, N; Chang, W; Yang, H-X; Li, C; Duan, L-M

    2017-05-08

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology.

  5. Atomistic mechanisms of ReRAM cell operation and reliability

    NASA Astrophysics Data System (ADS)

    Pandey, Sumeet C.

    2018-01-01

    We present results from first-principles-based modeling that captures functionally important physical phenomena critical to cell materials selection, operation, and reliability for resistance-switching memory technologies. An atomic-scale description of retention, the low- and high-resistance states (RS), and the sources of intrinsic cell-level variability in ReRAM is discussed. Through the results obtained from density functional theory, non-equilibrium Green’s function, molecular dynamics, and kinetic Monte Carlo simulations; the role of variable-charge vacancy defects and metal impurities in determining the RS, the LRS-stability, and electron-conduction in such RS is reported. Although, the statistical electrical characteristics of the oxygen-vacancy (Ox-ReRAM) and conductive-bridging RAM (M-ReRAM) are notably different, the underlying similar electrochemical phenomena describing retention and formation/dissolution of RS are being discussed.

  6. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    NASA Astrophysics Data System (ADS)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  7. Low latency memory access and synchronization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blumrich, Matthias A.; Chen, Dong; Coteus, Paul W.

    A low latency memory system access is provided in association with a weakly-ordered multiprocessor system. Each processor in the multiprocessor shares resources, and each shared resource has an associated lock within a locking device that provides support for synchronization between the multiple processors in the multiprocessor and the orderly sharing of the resources. A processor only has permission to access a resource when it owns the lock associated with that resource, and an attempt by a processor to own a lock requires only a single load operation, rather than a traditional atomic load followed by store, such that the processormore » only performs a read operation and the hardware locking device performs a subsequent write operation rather than the processor. A simple prefetching for non-contiguous data structures is also disclosed. A memory line is redefined so that in addition to the normal physical memory data, every line includes a pointer that is large enough to point to any other line in the memory, wherein the pointers to determine which memory line to prefetch rather than some other predictive algorithm. This enables hardware to effectively prefetch memory access patterns that are non-contiguous, but repetitive.« less

  8. Low latency memory access and synchronization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blumrich, Matthias A.; Chen, Dong; Coteus, Paul W.

    A low latency memory system access is provided in association with a weakly-ordered multiprocessor system. Bach processor in the multiprocessor shares resources, and each shared resource has an associated lock within a locking device that provides support for synchronization between the multiple processors in the multiprocessor and the orderly sharing of the resources. A processor only has permission to access a resource when it owns the lock associated with that resource, and an attempt by a processor to own a lock requires only a single load operation, rather than a traditional atomic load followed by store, such that the processormore » only performs a read operation and the hardware locking device performs a subsequent write operation rather than the processor. A simple prefetching for non-contiguous data structures is also disclosed. A memory line is redefined so that in addition to the normal physical memory data, every line includes a pointer that is large enough to point to any other line in the memory, wherein the pointers to determine which memory line to prefetch rather than some other predictive algorithm. This enables hardware to effectively prefetch memory access patterns that are non-contiguous, but repetitive.« less

  9. Method and apparatus for managing access to a memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeBenedictis, Erik

    A method and apparatus for managing access to a memory of a computing system. A controller transforms a plurality of operations that represent a computing job into an operational memory layout that reduces a size of a selected portion of the memory that needs to be accessed to perform the computing job. The controller stores the operational memory layout in a plurality of memory cells within the selected portion of the memory. The controller controls a sequence by which a processor in the computing system accesses the memory to perform the computing job using the operational memory layout. The operationalmore » memory layout reduces an amount of energy consumed by the processor to perform the computing job.« less

  10. Programmable Direct-Memory-Access Controller

    NASA Technical Reports Server (NTRS)

    Hendry, David F.

    1990-01-01

    Proposed programmable direct-memory-access controller (DMAC) operates with computer systems of 32000 series, which have 32-bit data buses and use addresses of 24 (or potentially 32) bits. Controller functions with or without help of central processing unit (CPU) and starts itself. Includes such advanced features as ability to compare two blocks of memory for equality and to search block of memory for specific value. Made as single very-large-scale integrated-circuit chip.

  11. On-orbit observations of single event upset in Harris HM-6508 1K RAMs, reissue A

    NASA Astrophysics Data System (ADS)

    Blake, J. B.; Mandel, R.

    1987-02-01

    The Harris HM-6508 1K x 1 RAMs are part of a subsystem of a satellite in a low, polar orbit. The memory module, used in the subsystem containing the RAMs, consists of three printed circuit cards, with each card containing eight 2K byte memory hybrids, for a total of 48K bytes. Each memory hybrid contains 16 HM-6508 RAM chips. On a regular basis all but 256 bytes of the 48K bytes are examined for bit errors. Two different techniques were used for detecting bit errors. The first technique, a memory check sum, was capable of automatically detecting all single bit and some double bit errors which occurred within a page of memory. A memory page consists of 256 bytes. Memory check sum tests are performed approximately every 90 minutes. To detect a multiple error or to determine the exact location of the bit error within the page the entire contents of the memory is dumped and compared to the load file. Memory dumps are normally performed once a month, or immediately after the check sum routine detects an error. Once the exact location of the error is found, the correct value is reloaded into memory. After the memory is reloaded, the contents of the memory location in question is verified in order to determine if the error was a soft error generated by an SEU or a hard error generated by a part failure or cosmic-ray induced latchup.

  12. Plated wire random access memories

    NASA Technical Reports Server (NTRS)

    Gouldin, L. D.

    1975-01-01

    A program was conducted to construct 4096-work by 18-bit random access, NDRO-plated wire memory units. The memory units were subjected to comprehensive functional and environmental tests at the end-item level to verify comformance with the specified requirements. A technical description of the unit is given, along with acceptance test data sheets.

  13. Error free physically unclonable function with programmed resistive random access memory using reliable resistance states by specific identification-generation method

    NASA Astrophysics Data System (ADS)

    Tseng, Po-Hao; Hsu, Kai-Chieh; Lin, Yu-Yu; Lee, Feng-Min; Lee, Ming-Hsiu; Lung, Hsiang-Lan; Hsieh, Kuang-Yeu; Chung Wang, Keh; Lu, Chih-Yuan

    2018-04-01

    A high performance physically unclonable function (PUF) implemented with WO3 resistive random access memory (ReRAM) is presented in this paper. This robust ReRAM-PUF can eliminated bit flipping problem at very high temperature (up to 250 °C) due to plentiful read margin by using initial resistance state and set resistance state. It is also promised 10 years retention at the temperature range of 210 °C. These two stable resistance states enable stable operation at automotive environments from -40 to 125 °C without need of temperature compensation circuit. The high uniqueness of PUF can be achieved by implementing a proposed identification (ID)-generation method. Optimized forming condition can move 50% of the cells to low resistance state and the remaining 50% remain at initial high resistance state. The inter- and intra-PUF evaluations with unlimited separation of hamming distance (HD) are successfully demonstrated even under the corner condition. The number of reproduction was measured to exceed 107 times with 0% bit error rate (BER) at read voltage from 0.4 to 0.7 V.

  14. Hf layer thickness dependence of resistive switching characteristics of Ti/Hf/HfO2/Au resistive random access memory device

    NASA Astrophysics Data System (ADS)

    Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso

    2018-06-01

    Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.

  15. Blackcomb: Hardware-Software Co-design for Non-Volatile Memory in Exascale Systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schreiber, Robert

    crosspoint ReRAM array [Niu 2012b]. We have conducted an in depth analysis of the circuit and system level design implications of multi-layer cross-point Resistive RAM (MLCReRAM) from performance, power and reliability perspectives [Xu 2013]. The objective of this study is to understand the design trade-offs of this technology with respect to the MLC Phase Change Memory (MLCPCM).Our MLC ReRAM design at the circuit and system levels indicates that different resistance allocation schemes, programming strategies, peripheral designs, and material selections profoundly affect the area, latency, power, and reliability of MLC ReRAM. Based on this analysis, we conduct two case studies: first we compare MLC ReRAM design against MLC phase-change memory (PCM) and multi-layer cross-point ReRAM design, and point out why multi-level ReRAM is appealing; second we further explore the design space for MLC ReRAM. Architecture and Application We explored hybrid checkpointing using phase-change memory for future exascale systems [Dong 2011] and showed that the use of nonvolatile memory for local checkpointing significantly increases the number of faults covered by local checkpoints and reduces the probability of a global failure in the middle of a global checkpoint to less than 1%. We also proposed a technique called i2WAP to mitigate the write variations in NVM-based last-level cache for the improvement of the NVM lifetime [Wang 2013]. Our wear leveling technique attempts to work around the limitations of write endurance by arranging data access so that write operations can be distributed evenly across all the storage cells. During our intensive research on fault-tolerant NVM design, we found that ECC cannot effectively tolerate hard errors from limited write endurance and process imperfection. Therefore, we devised a novel Point and Discard (PAD) architecture in in [ 2012] as a hard-error-tolerant architecture for ReRAM-based Last Level Caches. PAD improves the lifetime of ReRAM caches by 1

  16. Cost aware cache replacement policy in shared last-level cache for hybrid memory based fog computing

    NASA Astrophysics Data System (ADS)

    Jia, Gangyong; Han, Guangjie; Wang, Hao; Wang, Feng

    2018-04-01

    Fog computing requires a large main memory capacity to decrease latency and increase the Quality of Service (QoS). However, dynamic random access memory (DRAM), the commonly used random access memory, cannot be included into a fog computing system due to its high consumption of power. In recent years, non-volatile memories (NVM) such as Phase-Change Memory (PCM) and Spin-transfer torque RAM (STT-RAM) with their low power consumption have emerged to replace DRAM. Moreover, the currently proposed hybrid main memory, consisting of both DRAM and NVM, have shown promising advantages in terms of scalability and power consumption. However, the drawbacks of NVM, such as long read/write latency give rise to potential problems leading to asymmetric cache misses in the hybrid main memory. Current last level cache (LLC) policies are based on the unified miss cost, and result in poor performance in LLC and add to the cost of using NVM. In order to minimize the cache miss cost in the hybrid main memory, we propose a cost aware cache replacement policy (CACRP) that reduces the number of cache misses from NVM and improves the cache performance for a hybrid memory system. Experimental results show that our CACRP behaves better in LLC performance, improving performance up to 43.6% (15.5% on average) compared to LRU.

  17. Ferroelectric tunneling element and memory applications which utilize the tunneling element

    DOEpatents

    Kalinin, Sergei V [Knoxville, TN; Christen, Hans M [Knoxville, TN; Baddorf, Arthur P [Knoxville, TN; Meunier, Vincent [Knoxville, TN; Lee, Ho Nyung [Oak Ridge, TN

    2010-07-20

    A tunneling element includes a thin film layer of ferroelectric material and a pair of dissimilar electrically-conductive layers disposed on opposite sides of the ferroelectric layer. Because of the dissimilarity in composition or construction between the electrically-conductive layers, the electron transport behavior of the electrically-conductive layers is polarization dependent when the tunneling element is below the Curie temperature of the layer of ferroelectric material. The element can be used as a basis of compact 1R type non-volatile random access memory (RAM). The advantages include extremely simple architecture, ultimate scalability and fast access times generic for all ferroelectric memories.

  18. Fast Magnetoresistive Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    Magnetoresistive binary digital memories of proposed new type expected to feature high speed, nonvolatility, ability to withstand ionizing radiation, high density, and low power. In memory cell, magnetoresistive effect exploited more efficiently by use of ferromagnetic material to store datum and adjacent magnetoresistive material to sense datum for readout. Because relative change in sensed resistance between "zero" and "one" states greater, shorter sampling and readout access times achievable.

  19. Error analysis and prevention of cosmic ion-induced soft errors in static CMOS RAMs

    NASA Astrophysics Data System (ADS)

    Diehl, S. E.; Ochoa, A., Jr.; Dressendorfer, P. V.; Koga, P.; Kolasinski, W. A.

    1982-12-01

    Cosmic ray interactions with memory cells are known to cause temporary, random, bit errors in some designs. The sensitivity of polysilicon gate CMOS static RAM designs to logic upset by impinging ions has been studied using computer simulations and experimental heavy ion bombardment. Results of the simulations are confirmed by experimental upset cross-section data. Analytical models have been extended to determine and evaluate design modifications which reduce memory cell sensitivity to cosmic ions. A simple design modification, the addition of decoupling resistance in the feedback path, is shown to produce static RAMs immune to cosmic ray-induced bit errors.

  20. Working memory capacity and retrieval limitations from long-term memory: an examination of differences in accessibility.

    PubMed

    Unsworth, Nash; Spillers, Gregory J; Brewer, Gene A

    2012-01-01

    In two experiments, the locus of individual differences in working memory capacity and long-term memory recall was examined. Participants performed categorical cued and free recall tasks, and individual differences in the dynamics of recall were interpreted in terms of a hierarchical-search framework. The results from this study are in accordance with recent theorizing suggesting a strong relation between working memory capacity and retrieval from long-term memory. Furthermore, the results also indicate that individual differences in categorical recall are partially due to differences in accessibility. In terms of accessibility of target information, two important factors drive the difference between high- and low-working-memory-capacity participants. Low-working-memory-capacity participants fail to utilize appropriate retrieval strategies to access cues, and they also have difficulty resolving cue overload. Thus, when low-working-memory-capacity participants were given specific cues that activated a smaller set of potential targets, their recall performance was the same as that of high-working-memory-capacity participants.

  1. Non-volatile magnetic random access memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Stadler, Henry L. (Inventor); Wu, Jiin-Chuan (Inventor)

    1994-01-01

    Improvements are made in a non-volatile magnetic random access memory. Such a memory is comprised of an array of unit cells, each having a Hall-effect sensor and a thin-film magnetic element made of material having an in-plane, uniaxial anisotropy and in-plane, bipolar remanent magnetization states. The Hall-effect sensor is made more sensitive by using a 1 m thick molecular beam epitaxy grown InAs layer on a silicon substrate by employing a GaAs/AlGaAs/InAlAs superlattice buffering layer. One improvement avoids current shunting problems of matrix architecture. Another improvement reduces the required magnetizing current for the micromagnets. Another improvement relates to the use of GaAs technology wherein high electron-mobility GaAs MESFETs provide faster switching times. Still another improvement relates to a method for configuring the invention as a three-dimensional random access memory.

  2. Efficient accesses of data structures using processing near memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jayasena, Nuwan S.; Zhang, Dong Ping; Diez, Paula Aguilera

    Systems, apparatuses, and methods for implementing efficient queues and other data structures. A queue may be shared among multiple processors and/or threads without using explicit software atomic instructions to coordinate access to the queue. System software may allocate an atomic queue and corresponding queue metadata in system memory and return, to the requesting thread, a handle referencing the queue metadata. Any number of threads may utilize the handle for accessing the atomic queue. The logic for ensuring the atomicity of accesses to the atomic queue may reside in a management unit in the memory controller coupled to the memory wheremore » the atomic queue is allocated.« less

  3. Ferroelectric memory evaluation and development system

    NASA Astrophysics Data System (ADS)

    Bondurant, David W.

    Attention is given to the Ramtron FEDS-1, an IBM PC/AT compatible single-board 16-b microcomputer with 8-kbyte program/data memory implemented with nonvolatile ferroelectric dynamic RAM. This is the first demonstration of a new type of solid state nonvolatile read/write memory, the ferroelectric RAM (FRAM). It is suggested that this memory technology will have a significant impact on avionics system performance and reliability.

  4. Improving the leakage current of polyimide-based resistive memory by tuning the molecular chain stack of the polyimide film

    NASA Astrophysics Data System (ADS)

    Wu, Chi-Chang; Hsiao, Yu-Ping; You, Hsin-Chiang; Lin, Guan-Wei; Kao, Min-Fang; Manga, Yankuba B.; Yang, Wen-Luh

    2018-02-01

    We have developed an organic-based resistive random access memory (ReRAM) by using spin-coated polyimide (PI) as the resistive layer. In this study, the chain distance and number of chain stacks of PI molecules are investigated. We employed different solid contents of polyamic acid (PAA) to synthesize various PI films, which served as the resistive layer of ReRAM, the electrical performance of which was evaluated. By tuning the PAA solid content, the intermolecular interaction energy of the PI films is changed without altering the molecular structure. Our results show that the leakage current in the high-resistance state and the memory window of the PI-based ReRAM can be substantially improved using this technique. The superior properties of the PI-based ReRAM are ascribed to fewer molecular chain stacks in the PI films when the PAA solid content is decreased, hence suppressing the leakage current. In addition, a device retention time of more than 107 s can be achieved using this technique. Finally, the conduction mechanism in the PI-based ReRAM was analyzed using hopping and conduction models.

  5. Accessibility Limits Recall from Visual Working Memory

    ERIC Educational Resources Information Center

    Rajsic, Jason; Swan, Garrett; Wilson, Daryl E.; Pratt, Jay

    2017-01-01

    In this article, we demonstrate limitations of accessibility of information in visual working memory (VWM). Recently, cued-recall has been used to estimate the fidelity of information in VWM, where the feature of a cued object is reproduced from memory (Bays, Catalao, & Husain, 2009; Wilken & Ma, 2004; Zhang & Luck, 2008). Response…

  6. 76 FR 55417 - In the Matter of Certain Dynamic Random Access Memory and Nand Flash Memory Devices and Products...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-09-07

    ... Access Memory and Nand Flash Memory Devices and Products Containing Same; Notice of Institution of... importation, and the sale within the United States after importation of certain dynamic random access memory and NAND flash memory devices and products containing same by reason of infringement of certain claims...

  7. A Cerebellar-model Associative Memory as a Generalized Random-access Memory

    NASA Technical Reports Server (NTRS)

    Kanerva, Pentti

    1989-01-01

    A versatile neural-net model is explained in terms familiar to computer scientists and engineers. It is called the sparse distributed memory, and it is a random-access memory for very long words (for patterns with thousands of bits). Its potential utility is the result of several factors: (1) a large pattern representing an object or a scene or a moment can encode a large amount of information about what it represents; (2) this information can serve as an address to the memory, and it can also serve as data; (3) the memory is noise tolerant--the information need not be exact; (4) the memory can be made arbitrarily large and hence an arbitrary amount of information can be stored in it; and (5) the architecture is inherently parallel, allowing large memories to be fast. Such memories can become important components of future computers.

  8. Resistive switching mechanism of ZnO/ZrO2-stacked resistive random access memory device annealed at 300 °C by sol-gel method with forming-free operation

    NASA Astrophysics Data System (ADS)

    Jian, Wen-Yi; You, Hsin-Chiang; Wu, Cheng-Yen

    2018-01-01

    In this work, we used a sol-gel process to fabricate a ZnO-ZrO2-stacked resistive switching random access memory (ReRAM) device and investigated its switching mechanism. The Gibbs free energy in ZnO, which is higher than that in ZrO2, facilitates the oxidation and reduction reactions of filaments in the ZnO layer. The current-voltage (I-V) characteristics of the device revealed a forming-free operation because of nonlattice oxygen in the oxide layer. In addition, the device can operate under bipolar or unipolar conditions with a reset voltage of 0 to ±2 V, indicating that in this device, Joule heating dominates at reset and the electric field dominates in the set process. Furthermore, the characteristics reveal why the fabricated device exhibits a greater discrete distribution phenomenon for the set voltage than for the reset voltage. These results will enable the fabrication of future ReRAM devices with double-layer oxide structures with improved characteristics.

  9. Memory interface simulator: A computer design aid

    NASA Technical Reports Server (NTRS)

    Taylor, D. S.; Williams, T.; Weatherbee, J. E.

    1972-01-01

    Results are presented of a study conducted with a digital simulation model being used in the design of the Automatically Reconfigurable Modular Multiprocessor System (ARMMS), a candidate computer system for future manned and unmanned space missions. The model simulates the activity involved as instructions are fetched from random access memory for execution in one of the system central processing units. A series of model runs measured instruction execution time under various assumptions pertaining to the CPU's and the interface between the CPU's and RAM. Design tradeoffs are presented in the following areas: Bus widths, CPU microprogram read only memory cycle time, multiple instruction fetch, and instruction mix.

  10. Radiation Effects of Commercial Resistive Random Access Memories

    NASA Technical Reports Server (NTRS)

    Chen, Dakai; LaBel, Kenneth A.; Berg, Melanie; Wilcox, Edward; Kim, Hak; Phan, Anthony; Figueiredo, Marco; Buchner, Stephen; Khachatrian, Ani; Roche, Nicolas

    2014-01-01

    We present results for the single-event effect response of commercial production-level resistive random access memories. We found that the resistive memory arrays are immune to heavy ion-induced upsets. However, the devices were susceptible to single-event functional interrupts, due to upsets from the control circuits. The intrinsic radiation tolerant nature of resistive memory makes the technology an attractive consideration for future space applications.

  11. eRAM: encyclopedia of rare disease annotations for precision medicine.

    PubMed

    Jia, Jinmeng; An, Zhongxin; Ming, Yue; Guo, Yongli; Li, Wei; Liang, Yunxiang; Guo, Dongming; Li, Xin; Tai, Jun; Chen, Geng; Jin, Yaqiong; Liu, Zhimei; Ni, Xin; Shi, Tieliu

    2018-01-04

    Rare diseases affect over a hundred million people worldwide, most of these patients are not accurately diagnosed and effectively treated. The limited knowledge of rare diseases forms the biggest obstacle for improving their treatment. Detailed clinical phenotyping is considered as a keystone of deciphering genes and realizing the precision medicine for rare diseases. Here, we preset a standardized system for various types of rare diseases, called encyclopedia of Rare disease Annotations for Precision Medicine (eRAM). eRAM was built by text-mining nearly 10 million scientific publications and electronic medical records, and integrating various data in existing recognized databases (such as Unified Medical Language System (UMLS), Human Phenotype Ontology, Orphanet, OMIM, GWAS). eRAM systematically incorporates currently available data on clinical manifestations and molecular mechanisms of rare diseases and uncovers many novel associations among diseases. eRAM provides enriched annotations for 15 942 rare diseases, yielding 6147 human disease related phenotype terms, 31 661 mammalians phenotype terms, 10,202 symptoms from UMLS, 18 815 genes and 92 580 genotypes. eRAM can not only provide information about rare disease mechanism but also facilitate clinicians to make accurate diagnostic and therapeutic decisions towards rare diseases. eRAM can be freely accessed at http://www.unimd.org/eram/. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  12. Endurance Enhancement and High Speed Set/Reset of 50 nm Generation HfO2 Based Resistive Random Access Memory Cell by Intelligent Set/Reset Pulse Shape Optimization and Verify Scheme

    NASA Astrophysics Data System (ADS)

    Higuchi, Kazuhide; Miyaji, Kousuke; Johguchi, Koh; Takeuchi, Ken

    2012-02-01

    This paper proposes a verify-programming method for the resistive random access memory (ReRAM) cell which achieves a 50-times higher endurance and a fast set and reset compared with the conventional method. The proposed verify-programming method uses the incremental pulse width with turnback (IPWWT) for the reset and the incremental voltage with turnback (IVWT) for the set. With the combination of IPWWT reset and IVWT set, the endurance-cycle increases from 48 ×103 to 2444 ×103 cycles. Furthermore, the measured data retention-time after 20 ×103 set/reset cycles is estimated to be 10 years. Additionally, the filamentary based physical model is proposed to explain the set/reset failure mechanism with various set/reset pulse shapes. The reset pulse width and set voltage correspond to the width and length of the conductive-filament, respectively. Consequently, since the proposed IPWWT and IVWT recover set and reset failures of ReRAM cells, the endurance-cycles are improved.

  13. Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order

    NASA Technical Reports Server (NTRS)

    Schwab, Andrew J. (Inventor); Aylor, James (Inventor); Hitchcock, Charles Young (Inventor); Wulf, William A. (Inventor); McKee, Sally A. (Inventor); Moyer, Stephen A. (Inventor); Klenke, Robert (Inventor)

    2000-01-01

    A data processing system is disclosed which comprises a data processor and memory control device for controlling the access of information from the memory. The memory control device includes temporary storage and decision ability for determining what order to execute the memory accesses. The compiler detects the requirements of the data processor and selects the data to stream to the memory control device which determines a memory access order. The order in which to access said information is selected based on the location of information stored in the memory. The information is repeatedly accessed from memory and stored in the temporary storage until all streamed information is accessed. The information is stored until required by the data processor. The selection of the order in which to access information maximizes bandwidth and decreases the retrieval time.

  14. Analog Nonvolatile Computer Memory Circuits

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd

    2007-01-01

    In nonvolatile random-access memory (RAM) circuits of a proposed type, digital data would be stored in analog form in ferroelectric field-effect transistors (FFETs). This type of memory circuit would offer advantages over prior volatile and nonvolatile types: In a conventional complementary metal oxide/semiconductor static RAM, six transistors must be used to store one bit, and storage is volatile in that data are lost when power is turned off. In a conventional dynamic RAM, three transistors must be used to store one bit, and the stored bit must be refreshed every few milliseconds. In contrast, in a RAM according to the proposal, data would be retained when power was turned off, each memory cell would contain only two FFETs, and the cell could store multiple bits (the exact number of bits depending on the specific design). Conventional flash memory circuits afford nonvolatile storage, but they operate at reading and writing times of the order of thousands of conventional computer memory reading and writing times and, hence, are suitable for use only as off-line storage devices. In addition, flash memories cease to function after limited numbers of writing cycles. The proposed memory circuits would not be subject to either of these limitations. Prior developmental nonvolatile ferroelectric memories are limited to one bit per cell, whereas, as stated above, the proposed memories would not be so limited. The design of a memory circuit according to the proposal must reflect the fact that FFET storage is only partly nonvolatile, in that the signal stored in an FFET decays gradually over time. (Retention times of some advanced FFETs exceed ten years.) Instead of storing a single bit of data as either a positively or negatively saturated state in a ferroelectric device, each memory cell according to the proposal would store two values. The two FFETs in each cell would be denoted the storage FFET and the control FFET. The storage FFET would store an analog signal value

  15. Magnet/Hall-Effect Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    In proposed magnet/Hall-effect random-access memory (MHRAM), bits of data stored magnetically in Perm-alloy (or equivalent)-film memory elements and read out by using Hall-effect sensors to detect magnetization. Value of each bit represented by polarity of magnetization. Retains data for indefinite time or until data rewritten. Speed of Hall-effect sensors in MHRAM results in readout times of about 100 nanoseconds. Other characteristics include high immunity to ionizing radiation and storage densities of order 10(Sup6)bits/cm(Sup 2) or more.

  16. Perpendicular STT_RAM cell in 8 nm technology node using Co1/Ni3(1 1 1)||Gr2||Co1/Ni3(1 1 1) structure as magnetic tunnel junction

    NASA Astrophysics Data System (ADS)

    Varghani, Ali; Peiravi, Ali; Moradi, Farshad

    2018-04-01

    The perpendicular anisotropy Spin-Transfer Torque Random Access Memory (P-STT-RAM) is considered to be a promising candidate for high-density memories. Many distinct advantages of Perpendicular Magnetic Tunnel Junction (P-MTJ) compared to the conventional in-plane MTJ (I-MTJ) such as lower switching current, circular cell shape that facilitates manufacturability in smaller technology nodes, large thermal stability, smaller cell size, and lower dipole field interaction between adjacent cells make it a promising candidate as a universal memory. However, for small MTJ cell sizes, the perpendicular technology requires new materials with high polarization and low damping factor as well as low resistance area product of a P-MTJ in order to avoid a high write voltage as technology is scaled down. A new graphene-based STT-RAM cell for 8 nm technology node that uses high perpendicular magnetic anisotropy cobalt/nickel (Co/Ni) multilayer as magnetic layers is proposed in this paper. The proposed junction benefits from enough Tunneling Magnetoresistance Ratio (TMR), low resistance area product, low write voltage, and low power consumption that make it suitable for 8 nm technology node.

  17. An Investigation of Unified Memory Access Performance in CUDA

    PubMed Central

    Landaverde, Raphael; Zhang, Tiansheng; Coskun, Ayse K.; Herbordt, Martin

    2015-01-01

    Managing memory between the CPU and GPU is a major challenge in GPU computing. A programming model, Unified Memory Access (UMA), has been recently introduced by Nvidia to simplify the complexities of memory management while claiming good overall performance. In this paper, we investigate this programming model and evaluate its performance and programming model simplifications based on our experimental results. We find that beyond on-demand data transfers to the CPU, the GPU is also able to request subsets of data it requires on demand. This feature allows UMA to outperform full data transfer methods for certain parallel applications and small data sizes. We also find, however, that for the majority of applications and memory access patterns, the performance overheads associated with UMA are significant, while the simplifications to the programming model restrict flexibility for adding future optimizations. PMID:26594668

  18. Spiking Neural Networks Based on OxRAM Synapses for Real-Time Unsupervised Spike Sorting.

    PubMed

    Werner, Thilo; Vianello, Elisa; Bichler, Olivier; Garbin, Daniele; Cattaert, Daniel; Yvert, Blaise; De Salvo, Barbara; Perniola, Luca

    2016-01-01

    In this paper, we present an alternative approach to perform spike sorting of complex brain signals based on spiking neural networks (SNN). The proposed architecture is suitable for hardware implementation by using resistive random access memory (RRAM) technology for the implementation of synapses whose low latency (<1μs) enables real-time spike sorting. This offers promising advantages to conventional spike sorting techniques for brain-computer interfaces (BCI) and neural prosthesis applications. Moreover, the ultra-low power consumption of the RRAM synapses of the spiking neural network (nW range) may enable the design of autonomous implantable devices for rehabilitation purposes. We demonstrate an original methodology to use Oxide based RRAM (OxRAM) as easy to program and low energy (<75 pJ) synapses. Synaptic weights are modulated through the application of an online learning strategy inspired by biological Spike Timing Dependent Plasticity. Real spiking data have been recorded both intra- and extracellularly from an in-vitro preparation of the Crayfish sensory-motor system and used for validation of the proposed OxRAM based SNN. This artificial SNN is able to identify, learn, recognize and distinguish between different spike shapes in the input signal with a recognition rate about 90% without any supervision.

  19. Multi-port, optically addressed RAM

    NASA Technical Reports Server (NTRS)

    Johnston, Alan R. (Inventor); Nixon, Robert H. (Inventor); Bergman, Larry A. (Inventor); Esener, Sadik (Inventor)

    1989-01-01

    A random access memory addressing system utilizing optical links between memory and the read/write logic circuits comprises addressing circuits including a plurality of light signal sources, a plurality of optical gates including optical detectors associated with the memory cells, and a holographic optical element adapted to reflect and direct the light signals to the desired memory cell locations. More particularly, it is a multi-port, binary computer memory for interfacing with a plurality of computers. There are a plurality of storage cells for containing bits of binary information, the storage cells being disposed at the intersections of a plurality of row conductors and a plurality of column conductors. There is interfacing logic for receiving information from the computers directing access to ones of the storage cells. There are first light sources associated with the interfacing logic for transmitting a first light beam with the access information modulated thereon. First light detectors are associated with the storage cells for receiving the first light beam, for generating an electrical signal containing the access information, and for conducting the electrical signal to the one of the storage cells to which it is directed. There are holographic optical elements for reflecting the first light beam from the first light sources to the first light detectors.

  20. An FPGA-Based Test-Bed for Reliability and Endurance Characterization of Non-Volatile Memory

    NASA Technical Reports Server (NTRS)

    Rao, Vikram; Patel, Jagdish; Patel, Janak; Namkung, Jeffrey

    2001-01-01

    Memory technologies are divided into two categories. The first category, nonvolatile memories, are traditionally used in read-only or read-mostly applications because of limited write endurance and slow write speed. These memories are derivatives of read only memory (ROM) technology, which includes erasable programmable ROM (EPROM), electrically-erasable programmable ROM (EEPROM), Flash, and more recent ferroelectric non-volatile memory technology. Nonvolatile memories are able to retain data in the absence of power. The second category, volatile memories, are random access memory (RAM) devices including SRAM and DRAM. Writing to these memories is fast and write endurance is unlimited, so they are most often used to store data that change frequently, but they cannot store data in the absence of power. Nonvolatile memory technologies with better future potential are FRAM, Chalcogenide, GMRAM, Tunneling MRAM, and Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) EEPROM.

  1. Individual differences in memory span: the contribution of rehearsal, access to lexical memory, and output speed.

    PubMed

    Tehan, G; Lalor, D M

    2000-11-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the subject population, have suggested other contributors to span performance, notably contributions from long-term memory and forgetting and retrieval processes occurring during recall. In the current research we explore individual differences in span with respect to measures of rehearsal, output time, and access to lexical memory. We replicate standard short-term phenomena; we show that the variables that influence children's span performance influence adult performance in the same way; and we show that lexical memory access appears to be a more potent source of individual differences in span than either rehearsal speed or output factors.

  2. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    NASA Astrophysics Data System (ADS)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  3. 76 FR 80964 - Certain Dynamic Random Access Memory Devices, and Products Containing Same; Institution of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-12-27

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-821] Certain Dynamic Random Access Memory... importation, and the sale within the United States after importation of certain dynamic random access memory... certain dynamic random access memory devices, and products containing same that infringe one or more of...

  4. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    NASA Astrophysics Data System (ADS)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  5. System for loading executable code into volatile memory in a downhole tool

    DOEpatents

    Hall, David R.; Bartholomew, David B.; Johnson, Monte L.

    2007-09-25

    A system for loading an executable code into volatile memory in a downhole tool string component comprises a surface control unit comprising executable code. An integrated downhole network comprises data transmission elements in communication with the surface control unit and the volatile memory. The executable code, stored in the surface control unit, is not permanently stored in the downhole tool string component. In a preferred embodiment of the present invention, the downhole tool string component comprises boot memory. In another embodiment, the executable code is an operating system executable code. Preferably, the volatile memory comprises random access memory (RAM). A method for loading executable code to volatile memory in a downhole tool string component comprises sending the code from the surface control unit to a processor in the downhole tool string component over the network. A central processing unit writes the executable code in the volatile memory.

  6. Circuit-Switched Memory Access in Photonic Interconnection Networks for High-Performance Embedded Computing

    DTIC Science & Technology

    2010-07-22

    dependent , providing a natural bandwidth match between compute cores and the memory subsystem. • High Bandwidth Dcnsity. Waveguides crossing the chip...simulate this memory access architecture on a 2S6-core chip with a concentrated 64-node network lIsing detailed traces of high-performance embedded...memory modulcs, wc placc memory access poi nts (MAPs) around the pcriphery of the chip connected to thc nctwork. These MAPs, shown in Figure 4, contain

  7. Accessing sparse arrays in parallel memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Banerjee, U.; Gajski, D.; Kuck, D.

    The concept of dense and sparse execution of arrays is introduced. Arrays themselves can be stored in a dense or sparse manner in a parallel memory with m memory modules. The paper proposes hardware for speeding up the execution of array operations of the form c(c/sub 0/+ci)=a(a/sub 0/+ai) op b(b/sub 0/+bi), where a/sub 0/, a, b/sub 0/, b, c/sub 0/, c are integer constants and i is an index variable. The hardware handles 'sparse execution', in which the operation op is not executed for every value of i. The hardware also makes provision for 'sparse storage', in which memory spacemore » is not provided for every array element. It is shown how to access array elements of the above form without conflict in an efficient way. The efficiency is obtained by using some specialised units which are basically smart memories with priority detection, one's counting or associative searching. Generalisation to multidimensional arrays is shown possible under restrictions defined in the paper. 12 references.« less

  8. Analysis of ramming settlement based on dissipative principle

    NASA Astrophysics Data System (ADS)

    Fu, Hao; Yu, Kaining; Chen, Changli; Li, Changrong; Wang, Xiuli

    2018-03-01

    The deformation of soil is a kind of dissipative structure under the action of dynamic compaction. The macroscopic performance of soil to steady state evolution is the change of ramming settlement in the process of dynamic compaction. based on the existing solution of dynamic compaction boundary problem, calculated ramming effectiveness (W) and ramming efficiency coefficient( η ). For the same soil, ramming efficiency coefficient is related to ramming factor λ = M/ρr3. By using the dissipative principle to analyze the law between ramming settlements and ramming times under different ramming energy and soil density, come to the conclusion that: Firstly, with the increase of ramming numbers, ramming settlement tends to a stable value, ramming effectiveness coefficient tends to a stable value. Secondly, under the condition of the same single ramming energy, the soil density of before ramming has effect on ramming effectiveness of previous ramming, almost no effect on ramming effectiveness of subsequent ramming. Thirdly, under the condition of the same soil density, different ramming energy correspond to different steady-state, the cumulative ramming settlement and steady-state increase with ramming energy.

  9. Accessibility versus Accuracy in Retrieving Spatial Memory: Evidence for Suboptimal Assumed Headings

    ERIC Educational Resources Information Center

    Yerramsetti, Ashok; Marchette, Steven A.; Shelton, Amy L.

    2013-01-01

    Orientation dependence in spatial memory has often been interpreted in terms of accessibility: Object locations are encoded relative to a reference orientation that affords the most accurate access to spatial memory. An open question, however, is whether people naturally use this "preferred" orientation whenever recalling the space. We…

  10. The Dynamics of Access to Groups in Working Memory

    ERIC Educational Resources Information Center

    Farrell, Simon; Lelievre, Anna

    2012-01-01

    The finding that participants leave a pause between groups when attempting serial recall of temporally grouped lists has been taken to indicate access to a hierarchical representation of the list in working memory. An alternative explanation is that the dynamics of serial recall solely reflect output (rather than memorial) processes, with the…

  11. Kokkos: Enabling manycore performance portability through polymorphic memory access patterns

    DOE PAGES

    Carter Edwards, H.; Trott, Christian R.; Sunderland, Daniel

    2014-07-22

    The manycore revolution can be characterized by increasing thread counts, decreasing memory per thread, and diversity of continually evolving manycore architectures. High performance computing (HPC) applications and libraries must exploit increasingly finer levels of parallelism within their codes to sustain scalability on these devices. We found that a major obstacle to performance portability is the diverse and conflicting set of constraints on memory access patterns across devices. Contemporary portable programming models address manycore parallelism (e.g., OpenMP, OpenACC, OpenCL) but fail to address memory access patterns. The Kokkos C++ library enables applications and domain libraries to achieve performance portability on diversemore » manycore architectures by unifying abstractions for both fine-grain data parallelism and memory access patterns. In this paper we describe Kokkos’ abstractions, summarize its application programmer interface (API), present performance results for unit-test kernels and mini-applications, and outline an incremental strategy for migrating legacy C++ codes to Kokkos. Furthermore, the Kokkos library is under active research and development to incorporate capabilities from new generations of manycore architectures, and to address a growing list of applications and domain libraries.« less

  12. 76 FR 73676 - Certain Dynamic Random Access Memory Devices, and Products Containing Same; Receipt of Complaint...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-11-29

    ... INTERNATIONAL TRADE COMMISSION [DN 2859] Certain Dynamic Random Access Memory Devices, and.... International Trade Commission has received a complaint entitled In Re Certain Dynamic Random Access Memory... certain dynamic random access memory devices, and products containing same. The complaint names Elpida...

  13. 75 FR 16507 - In the Matter of Certain Semiconductor Chips Having Synchronous Dynamic Random Access Memory...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-04-01

    ... Semiconductor Chips Having Synchronous Dynamic Random Access Memory Controllers and Products Containing Same... synchronous dynamic random access memory controllers and products containing same by reason of infringement of... semiconductor chips having synchronous dynamic random access memory controllers and products containing same...

  14. PADDLEFISH BUCCAL FLOW VELOCITY DURING RAM SUSPENSION FEEDING AND RAM VENTILATION

    PubMed

    Cech; Cheer

    1994-01-01

    A micro-thermistor probe was inserted into the buccal cavity of freely swimming paddlefish to measure flow velocity during ram ventilation, ram suspension feeding and prey processing. Swimming speed was measured from videotapes recorded simultaneously with the buccal flow velocity measurements. Both swimming velocity and buccal flow velocity were significantly higher during suspension feeding than during ram ventilation. As the paddlefish shifted from ventilation to feeding, buccal flow velocity increased to approximately 60 % of the swimming velocity. During prey processing, buccal flow velocity was significantly higher than the swimming velocity, indicating that prey processing involves the generation of suction. The Reynolds number (Re) for flow at the level of the paddlefish gill rakers during feeding is about 30, an order of magnitude lower than the Re calculated previously for pump suspension-feeding blackfish. These data, combined with data available from the literature, indicate that the gill rakers of ram suspension-feeding teleost fishes may operate at a substantially lower Re than the rakers of pump suspension feeders.

  15. Development of battering ram vibrator system

    NASA Astrophysics Data System (ADS)

    Sun, F.; Chen, Z.; Lin, J.; Tong, X.

    2012-12-01

    This paper researched the battering ram vibrator system, by electric machinery we can control oil system of battering ram, we realized exact control of battering ram, after analyzed pseudorandom coding, code "0" and "1" correspond to rest and shake of battering ram, then we can get pseudorandom coding which is the same with battering ram vibrator. After testing , by the reference trace and single shot record, when we using pseudorandom coding mode, the ratio of seismic wavelet to correlation interfere is about 68 dB, while the general mode , the ratio of seismic wavelet to correlation interfere only is 27.9dB, by battering ram vibrator system, we can debase the correlation interfere which come from the single shaking frequency of battering ram, this system advanced the signal-to-noise ratio of seismic data, which can give direction of the application of battering ram vibrator in metal mine exploration and high resolving seismic exploration.

  16. Remote Attitude Measurement Sensor (RAMS)

    NASA Technical Reports Server (NTRS)

    Davis, H. W.

    1989-01-01

    Remote attitude measurement sensor (RAMS) offers a low-cost, low-risk, proven design concept that is based on mature, demonstrated space sensor technology. The electronic design concepts and interpolation algorithms were tested and proven in space hardware like th Retroreflector Field Tracker and various star trackers. The RAMS concept is versatile and has broad applicability to both ground testing and spacecraft needs. It is ideal for use as a precision laboratory sensor for structural dynamics testing. It requires very little set-up or preparation time and the output data is immediately usable without integration or extensive analysis efforts. For on-orbit use, RAMS rivals any other type of dynamic structural sensor (accelerometer, lidar, photogrammetric techniques, etc.) for overall performance, reliability, suitability, and cost. Widespread acceptance and extensive usage of RAMS will occur only after some interested agency, such as OAST, adopts the RAMS concept and provides the funding support necessary for further development and implementation of RAMS for a specific program.

  17. 75 FR 14467 - In the Matter of: Certain Dynamic Random Access Memory Semiconductors and Products Containing...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-25

    ... Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of... the sale within the United States after importation of certain dynamic random access memory semiconductors and products containing same, including memory modules, by reason of infringement of certain...

  18. Enhancing Memory Access for Less Skilled Readers

    ERIC Educational Resources Information Center

    Smith, Emily R.; O'Brien, Edward J.

    2016-01-01

    Less skilled readers' comprehension often suffers because they have an impoverished representation of text in long-term memory; this, in turn, increases the difficulty of gaining access to backgrounded information necessary for maintaining coherence. The results of four experiments demonstrated that providing less skilled readers with additional…

  19. Robotic Assisted Microsurgery - RAMS FY'97

    NASA Technical Reports Server (NTRS)

    1997-01-01

    JPL and Microdexterity Systems collaborated to develop new surgical capabilities. They developed a Robot Assisted Microsurgery (RAM) tool for surgeons to use for operating on the eye, ear, brain, and blood vessels with unprecedented dexterity. A surgeon can hold the surgical instrument with motions of 6 degrees of freedom with an accuracy of 25 microns in a 70 cu cm workspace. In 1996 a demonstration was performed to remove a microscopic particle from a simulated eyeball. In 1997, tests were performed at UCLA to compare telerobotics with mechanical operations. In 5 out of 7 tests, the RAM tool performed with a significant improvement of preciseness over mechanical operation. New design features include: (1) amplified forced feedback; (2) simultaneous slave robot instrumentation; (3) index control switch on master handle; and (4) tool control switches. Upgrades include: (1) increase in computational power; and (2) installation of hard disk memory storage device for independent operation and independent operation of forceps. In 1997 a final demonstration was performed using 2 telerobotics simultaneously in a microsurgery suture procedure to close a slit in a thin sheet of latex rubber which extended the capabilities of microsurgery procedures. After completing trials and demonstrations for the FDA the potential benefits for thousands of operations will be exposed.

  20. Performance Evaluation of Remote Memory Access (RMA) Programming on Shared Memory Parallel Computers

    NASA Technical Reports Server (NTRS)

    Jin, Hao-Qiang; Jost, Gabriele; Biegel, Bryan A. (Technical Monitor)

    2002-01-01

    The purpose of this study is to evaluate the feasibility of remote memory access (RMA) programming on shared memory parallel computers. We discuss different RMA based implementations of selected CFD application benchmark kernels and compare them to corresponding message passing based codes. For the message-passing implementation we use MPI point-to-point and global communication routines. For the RMA based approach we consider two different libraries supporting this programming model. One is a shared memory parallelization library (SMPlib) developed at NASA Ames, the other is the MPI-2 extensions to the MPI Standard. We give timing comparisons for the different implementation strategies and discuss the performance.

  1. More than a feeling: Emotional cues impact the access and experience of autobiographical memories.

    PubMed

    Sheldon, Signy; Donahue, Julia

    2017-07-01

    Remembering is impacted by several factors of retrieval, including the emotional content of a memory cue. Here we tested how musical retrieval cues that differed on two dimensions of emotion-valence (positive and negative) and arousal (high and low)-impacted the following aspects of autobiographical memory recall: the response time to access a past personal event, the experience of remembering (ratings of memory vividness), the emotional content of a cued memory (ratings of event arousal and valence), and the type of event recalled (ratings of event energy, socialness, and uniqueness). We further explored how cue presentation affected autobiographical memory retrieval by administering cues of similar arousal and valence levels in a blocked fashion to one half of the tested participants, and randomly to the other half. We report three main findings. First, memories were accessed most quickly in response to musical cues that were highly arousing and positive in emotion. Second, we observed a relation between a cue and the elicited memory's emotional valence but not arousal; however, both the cue valence and arousal related to the nature of the recalled event. Specifically, high cue arousal led to lower memory vividness and uniqueness ratings, but cues with both high arousal and positive valence were associated with memories rated as more social and energetic. Finally, cue presentation impacted both how quickly and specifically memories were accessed and how cue valence affected the memory vividness ratings. The implications of these findings for views of how emotion directs the access to memories and the experience of remembering are discussed.

  2. Intrinsic Hydrophobicity of Rammed Earth

    NASA Astrophysics Data System (ADS)

    Holub, M.; Stone, C.; Balintova, M.; Grul, R.

    2015-11-01

    Rammed earth is well known for its vapour diffusion properties, its ability to regulate humidity within the built environment. Rammed earth is also an aesthetically iconic material such as marble or granite and therefore is preferably left exposed. However exposed rammed earth is often coated with silane/siloxane water repellents or the structure is modified architecturally (large roof overhangs) to accommodate for the hydrophilic nature of the material. This paper sets out to find out optimal hydrophobicity for rammed earth based on natural composite fibres and surface coating without adversely affecting the vapour diffusivity of the material. The material is not required to be waterproof, but should resist at least driving rain. In order to evaluate different approaches to increase hydrophobicity of rammed earth surface, peat fibres and four types of repellents were used.

  3. Research and Applications Modules (RAM), phase B study

    NASA Technical Reports Server (NTRS)

    1972-01-01

    The research and applications modules (RAM) system is discussed. The RAM is a family of payload carrier modules that can be delivered to and retrieved from earth orbit by the space shuttle. The RAM's capability for implementing a wide range of manned and man-tended missions is described. The rams have evolved into three types; (1) pressurized RAMs, (2) unpressurized RAMs, and (3) pressurizable free-flying RAMs. A reference experiment plan for use as a baseline in the derivation and planning of the RAM project is reported. The plan describes the number and frequency of shuttle flights dedicated to RAM missions and the RAM payloads for the identified flights.

  4. Nonvolatile GaAs Random-Access Memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R.; Stadler, Henry L.; Wu, Jiin-Chuan

    1994-01-01

    Proposed random-access integrated-circuit electronic memory offers nonvolatile magnetic storage. Bits stored magnetically and read out with Hall-effect sensors. Advantages include short reading and writing times and high degree of immunity to both single-event upsets and permanent damage by ionizing radiation. Use of same basic material for both transistors and sensors simplifies fabrication process, with consequent benefits in increased yield and reduced cost.

  5. Reliability evaluation of CMOS RAMs

    NASA Astrophysics Data System (ADS)

    Salvo, C. J.; Sasaki, A. T.

    The results of an evaluation of the reliability of a 1K x 1 bit CMOS RAM and a 4K x 1 bit CMOS RAM for the USAF are reported. The tests consisted of temperature cycling, thermal shock, electrical overstress-static discharge and accelerated life test cells. The study indicates that the devices have high reliability potential for military applications. Use-temperature failure rates at 100 C were 0.54 x 10 to the -5th failures/hour for the 1K RAM and 0.21 x 10 to the -5th failures/hour for the 4K RAM. Only minimal electrostatic discharge damage was noted in the devices when they were subjected to multiple pulses at 1000 Vdc, and redesign of the 7 Vdc quiescent parameter of the 4K RAM is expected to raise its field threshold voltage.

  6. Accessibility limits recall from visual working memory.

    PubMed

    Rajsic, Jason; Swan, Garrett; Wilson, Daryl E; Pratt, Jay

    2017-09-01

    In this article, we demonstrate limitations of accessibility of information in visual working memory (VWM). Recently, cued-recall has been used to estimate the fidelity of information in VWM, where the feature of a cued object is reproduced from memory (Bays, Catalao, & Husain, 2009; Wilken & Ma, 2004; Zhang & Luck, 2008). Response error in these tasks has been largely studied with respect to failures of encoding and maintenance; however, the retrieval operations used in these tasks remain poorly understood. By varying the number and type of object features provided as a cue in a visual delayed-estimation paradigm, we directly assess the nature of retrieval errors in delayed estimation from VWM. Our results demonstrate that providing additional object features in a single cue reliably improves recall, largely by reducing swap, or misbinding, responses. In addition, performance simulations using the binding pool model (Swan & Wyble, 2014) were able to mimic this pattern of performance across a large span of parameter combinations, demonstrating that the binding pool provides a possible mechanism underlying this pattern of results that is not merely a symptom of one particular parametrization. We conclude that accessing visual working memory is a noisy process, and can lead to errors over and above those of encoding and maintenance limitations. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  7. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    PubMed

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  8. Vortex-Core Reversal Dynamics: Towards Vortex Random Access Memory

    NASA Astrophysics Data System (ADS)

    Kim, Sang-Koog

    2011-03-01

    An energy-efficient, ultrahigh-density, ultrafast, and nonvolatile solid-state universal memory is a long-held dream in the field of information-storage technology. The magnetic random access memory (MRAM) along with a spin-transfer-torque switching mechanism is a strong candidate-means of realizing that dream, given its nonvolatility, infinite endurance, and fast random access. Magnetic vortices in patterned soft magnetic dots promise ground-breaking applications in information-storage devices, owing to the very stable twofold ground states of either their upward or downward core magnetization orientation and plausible core switching by in-plane alternating magnetic fields or spin-polarized currents. However, two technologically most important but very challenging issues --- low-power recording and reliable selection of each memory cell with already existing cross-point architectures --- have not yet been resolved for the basic operations in information storage, that is, writing (recording) and readout. Here, we experimentally demonstrate a magnetic vortex random access memory (VRAM) in the basic cross-point architecture. This unique VRAM offers reliable cell selection and low-power-consumption control of switching of out-of-plane core magnetizations using specially designed rotating magnetic fields generated by two orthogonal and unipolar Gaussian-pulse currents along with optimized pulse width and time delay. Our achievement of a new device based on a new material, that is, a medium composed of patterned vortex-state disks, together with the new physics on ultrafast vortex-core switching dynamics, can stimulate further fruitful research on MRAMs that are based on vortex-state dot arrays.

  9. 78 FR 35645 - Certain Static Random Access Memories and Products Containing Same; Commission Determination...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-06-13

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-792] Certain Static Random Access Memories and Products Containing Same; Commission Determination Affirming a Final Initial Determination..., and the sale within the United States after importation of certain static random access memories and...

  10. Optimizing Clinical Drug Product Performance: Applying Biopharmaceutics Risk Assessment Roadmap (BioRAM) and the BioRAM Scoring Grid.

    PubMed

    Dickinson, Paul A; Kesisoglou, Filippos; Flanagan, Talia; Martinez, Marilyn N; Mistry, Hitesh B; Crison, John R; Polli, James E; Cruañes, Maria T; Serajuddin, Abu T M; Müllertz, Anette; Cook, Jack A; Selen, Arzu

    2016-11-01

    The aim of Biopharmaceutics Risk Assessment Roadmap (BioRAM) and the BioRAM Scoring Grid is to facilitate optimization of clinical performance of drug products. BioRAM strategy relies on therapy-driven drug delivery and follows an integrated systems approach for formulating and addressing critical questions and decision-making (J Pharm Sci. 2014,103(11): 3777-97). In BioRAM, risk is defined as not achieving the intended in vivo drug product performance, and success is assessed by time to decision-making and action. Emphasis on time to decision-making and time to action highlights the value of well-formulated critical questions and well-designed and conducted integrated studies. This commentary describes and illustrates application of the BioRAM Scoring Grid, a companion to the BioRAM strategy, which guides implementation of such an integrated strategy encompassing 12 critical areas and 6 assessment stages. Application of the BioRAM Scoring Grid is illustrated using published literature. Organizational considerations for implementing BioRAM strategy, including the interactions, function, and skillsets of the BioRAM group members, are also reviewed. As a creative and innovative systems approach, we believe that BioRAM is going to have a broad-reaching impact, influencing drug development and leading to unique collaborations influencing how we learn, and leverage and share knowledge. Published by Elsevier Inc.

  11. The future of memory

    NASA Astrophysics Data System (ADS)

    Marinella, M.

    In the not too distant future, the traditional memory and storage hierarchy of may be replaced by a single Storage Class Memory (SCM) device integrated on or near the logic processor. Traditional magnetic hard drives, NAND flash, DRAM, and higher level caches (L2 and up) will be replaced with a single high performance memory device. The Storage Class Memory paradigm will require high speed (< 100 ns read/write), excellent endurance (> 1012), nonvolatility (retention > 10 years), and low switching energies (< 10 pJ per switch). The International Technology Roadmap for Semiconductors (ITRS) has recently evaluated several potential candidates SCM technologies, including Resistive (or Redox) RAM, Spin Torque Transfer RAM (STT-MRAM), and phase change memory (PCM). All of these devices show potential well beyond that of current flash technologies and research efforts are underway to improve the endurance, write speeds, and scalabilities to be on-par with DRAM. This progress has interesting implications for space electronics: each of these emerging device technologies show excellent resistance to the types of radiation typically found in space applications. Commercially developed, high density storage class memory-based systems may include a memory that is physically radiation hard, and suitable for space applications without major shielding efforts. This paper reviews the Storage Class Memory concept, emerging memory devices, and possible applicability to radiation hardened electronics for space.

  12. Using Dopants to Tune Oxygen Vacancy Formation in Transition Metal Oxide Resistive Memory.

    PubMed

    Jiang, Hao; Stewart, Derek A

    2017-05-17

    Introducing dopants is an important way to tailor and improve electronic properties of transition metal oxides used as high-k dielectric thin films and resistance switching layers in leading memory technologies, such as dynamic and resistive random access memory (ReRAM). Ta 2 O 5 has recently received increasing interest because Ta 2 O 5 -based ReRAM demonstrates high switching speed, long endurance, and low operating voltage. However, advances in optimizing device characteristics with dopants have been hindered by limited and contradictory experiments in this field. We report on a systematic study on how various metal dopants affect oxygen vacancy formation in crystalline and amorphous Ta 2 O 5 from first principles. We find that isoelectronic dopants and weak n-type dopants have little impact on neutral vacancy formation energy and that p-type dopants can lower the formation energy significantly by introducing holes into the system. In contrast, n-type dopants have a deleterious effect and actually increase the formation energy for charged oxygen vacancies. Given the similar doping trend reported for other binary transition metal oxides, this doping trend should be universally valid for typical binary transition metal oxides. Based on this guideline, we propose that p-type dopants (Al, Hf, Zr, and Ti) can lower the forming/set voltage and improve retention properties of Ta 2 O 5 ReRAM.

  13. Effects of self-relevant cues and cue valence on autobiographical memory specificity in dysphoria.

    PubMed

    Matsumoto, Noboru; Mochizuki, Satoshi

    2017-04-01

    Reduced autobiographical memory specificity (rAMS) is a characteristic memory bias observed in depression. To corroborate the capture hypothesis in the CaRFAX (capture and rumination, functional avoidance, executive capacity and control) model, we investigated the effects of self-relevant cues and cue valence on rAMS using an adapted Autobiographical Memory Test conducted with a nonclinical population. Hierarchical linear modelling indicated that the main effects of depression and self-relevant cues elicited rAMS. Moreover, the three-way interaction among valence, self-relevance, and depression scores was significant. A simple slope test revealed that dysphoric participants experienced rAMS in response to highly self-relevant positive cues and low self-relevant negative cues. These results partially supported the capture hypothesis in nonclinical dysphoria. It is important to consider cue valence in future studies examining the capture hypothesis.

  14. Resistive switching characteristics and mechanisms in silicon oxide memory devices

    NASA Astrophysics Data System (ADS)

    Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Wu, Xiaohan; Chen, Yen-Ting; Wang, Yanzhen; Xue, Fei; Lee, Jack C.

    2016-05-01

    Intrinsic unipolar SiOx-based resistance random access memories (ReRAM) characterization, switching mechanisms, and applications have been investigated. Device structures, material compositions, and electrical characteristics are identified that enable ReRAM cells with high ON/OFF ratio, low static power consumption, low switching power, and high readout-margin using complementary metal-oxide semiconductor transistor (CMOS)-compatible SiOx-based materials. These ideas are combined with the use of horizontal and vertical device structure designs, composition optimization, electrical control, and external factors to help understand resistive switching (RS) mechanisms. Measured temperature effects, pulse response, and carrier transport behaviors lead to compact models of RS mechanisms and energy band diagrams in order to aid the development of computer-aided design for ultralarge-v scale integration. This chapter presents a comprehensive investigation of SiOx-based RS characteristics and mechanisms for the post-CMOS device era.

  15. Recurrent 3-day cycles of water deprivation for over a month depress mating behaviour but not semen characteristics of adult rams.

    PubMed

    Khnissi, S; Lassoued, N; Rekik, M; Ben Salem, H

    2016-02-01

    This study aimed to investigate the effect of water deprivation (WD) on reproductive traits of rams. Ten mature rams were used and allocated to two groups balanced for body weight. Control (C) rams had free access to drinking water, while water-restricted rams (WD) were deprived from water for 3 consecutive days and early on the morning of day 4, they had ad libitum access to water for 24 h, similar to C animals. The experiment lasted 32 days, that is eight 4-day cycles of water deprivation and subsequent watering. Feed and water intake were significantly affected by water deprivation; in comparison with C rams, WD rams reduced their feed intake by 18%. During the watering day of the deprivation cycle, WD rams consumed more water than C rams on the same day (11.8 (SD = 3.37) and 8.4 (SD = 1.92) l respectively; p < 0.05). Glucose, total protein and creatinine were increased as a result of water deprivation. However, testosterone levels were lowered as a result of water deprivation and average values were 10.9 and 6.2 (SEM 1.23) ng/ml for C and WD rams respectively (p < 0.05). Semen traits were less affected by treatment; WD rams consistently had superior sperm concentrations than C animals; and statistical significances were reached in cycles 5 and 8 of water deprivation. Several mating behaviour traits were modified as a result of water deprivation. When compared to controls, WD rams had a more prolonged time to first mount attempt (p < 0.001), their frequency of mount attempts decreased [6.8 vs. 5.2 (SEM 0.1); p < 0.001] and their flehmen reaction intensity was negatively affected (p < 0.05). Water deprivation may have practical implications reducing the libido and therefore the serving capacity of rams under field conditions. Journal of Animal Physiology and Animal Nutrition © 2015 Blackwell Verlag GmbH.

  16. 75 FR 44283 - In the Matter of Certain Dynamic Random Access Memory Semiconductors and Products Containing Same...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-28

    ... Random Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of a... importation of certain dynamic random access memory semiconductors and products containing same, including memory modules, by reason of infringement of certain claims of U.S. Patent Nos. 5,480,051; 5,422,309; 5...

  17. Making working memory work: The effects of extended practice on focus capacity and the processes of updating, forward access, and random access

    PubMed Central

    Price, John M.; Colflesh, Gregory J. H.; Cerella, John; Verhaeghen, Paul

    2014-01-01

    We investigated the effects of 10 hours of practice on variations of the N-Back task to investigate the processes underlying possible expansion of the focus of attention within working memory. Using subtractive logic, we showed that random access (i.e., Sternberg-like search) yielded a modest effect (a 50% increase in speed) whereas the processes of forward access (i.e., retrieval in order, as in a standard N-Back task) and updating (i.e., changing the contents of working memory) were executed about 5 times faster after extended practice. We additionally found that extended practice increased working memory capacity as measured by the size of the focus of attention for the forward-access task, but not for variations where probing was in random order. This suggests that working memory capacity may depend on the type of search process engaged, and that certain working-memory-related cognitive processes are more amenable to practice than others. PMID:24486803

  18. Making working memory work: the effects of extended practice on focus capacity and the processes of updating, forward access, and random access.

    PubMed

    Price, John M; Colflesh, Gregory J H; Cerella, John; Verhaeghen, Paul

    2014-05-01

    We investigated the effects of 10h of practice on variations of the N-Back task to investigate the processes underlying possible expansion of the focus of attention within working memory. Using subtractive logic, we showed that random access (i.e., Sternberg-like search) yielded a modest effect (a 50% increase in speed) whereas the processes of forward access (i.e., retrieval in order, as in a standard N-Back task) and updating (i.e., changing the contents of working memory) were executed about 5 times faster after extended practice. We additionally found that extended practice increased working memory capacity as measured by the size of the focus of attention for the forward-access task, but not for variations where probing was in random order. This suggests that working memory capacity may depend on the type of search process engaged, and that certain working-memory-related cognitive processes are more amenable to practice than others. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Aspects of GPU perfomance in algorithms with random memory access

    NASA Astrophysics Data System (ADS)

    Kashkovsky, Alexander V.; Shershnev, Anton A.; Vashchenkov, Pavel V.

    2017-10-01

    The numerical code for solving the Boltzmann equation on the hybrid computational cluster using the Direct Simulation Monte Carlo (DSMC) method showed that on Tesla K40 accelerators computational performance drops dramatically with increase of percentage of occupied GPU memory. Testing revealed that memory access time increases tens of times after certain critical percentage of memory is occupied. Moreover, it seems to be the common problem of all NVidia's GPUs arising from its architecture. Few modifications of the numerical algorithm were suggested to overcome this problem. One of them, based on the splitting the memory into "virtual" blocks, resulted in 2.5 times speed up.

  20. The behavioral component of the ram effect: the influence of ram sexual behavior on the induction of estrus in anovulatory ewes.

    PubMed

    Perkins, A; Fitzgerald, J A

    1994-01-01

    The objective of this study was to test whether the sexual behavior of the ram affects the ram effect. Rams exhibiting either high (HP) or low (LP) levels of sexual performance (on the basis of serving capacity tests) were exposed to 89 anestrous ewes for 28 d. Thirty-two anestrous ewes were not exposed to rams. The objective of this study was to compare the efficacy of estrus induction by HP (n = 4) vs LP (n = 4) rams. Plasma progesterone concentration was used as an index of ovarian activity. Groups of ewes were exposed to either an HP or an LP ram in a .32-ha pasture. Courtship behaviors of rams were recorded for 6 h on the initial day of exposure and for 30-min periods on alternate days thereafter. A greater percentage of ewes exposed to HP rams ovulated (95%) compared with ewes exposed to LP rams (78%) (P < .02). On the 1st d of exposure, the HP rams exhibited more courtship behavior and spent more time near the ewes (P < .04). The HP rams spent more time within 1 m of ewes during the 28-d exposure. There were no differences in the amount of contact with rams (LP or HP) between rise in progesterone indicate of ovulation tended to occur earlier (P = .06) in ewes penned with HP rams. A greater percentage of ewes exposed to LP rams (P = .03) had early elevations of progesterone with no concurrent sexual behavior. These data imply that in addition to a pheromone the sexual behavior of the ram may be important in initiating ovarian cycle activity.

  1. Rumination relates to reduced autobiographical memory specificity in formerly depressed patients following a self-discrepancy challenge: the case of autobiographical memory specificity reactivity.

    PubMed

    Raes, Filip; Schoofs, Hanne; Griffith, James W; Hermans, Dirk

    2012-12-01

    Reduced Autobiographical Memory Specificity (rAMS) is a hypothesized vulnerability factor for depression. Rumination is thought to be one of the processes underlying rAMS, but research has failed to show an association between trait rumination and rAMS in individuals who are not currently depressed (e.g., community samples, college samples, and formerly depressed samples). The present study tested whether a challenge procedure that induces a self-discrepancy focus can elicit an association between trait rumination and rAMS in formerly depressed participants. Trait rumination was assessed via self-report. Measures of psychopathology and cognitive function, including depression, were assessed via self-report and interview. Autobiographical Memory Specificity (AMS) was evaluated before and after the induction of a self-discrepancy focus in formerly depressed participants. Results showed that trait rumination was indeed negatively correlated with AMS after, but not before the induction. Moreover, high trait ruminating participants showed a decrease in AMS following the induction. In other words, memory specificity was reactive to the induction, but no such decrease was observed in low trait ruminating individuals. This study is mostly of women. These results may not generalize well to men. Our experimental control was within-subjects, which, although powerful and economical, cannot rule out certain confounding processes including natural changes in self-discrepancy, or non-specific or unintended effects of the induction. In order to detect rAMS in formerly depressed individuals or to observe associations between rAMS and trait measures of rumination, state ruminative processing needs to be activated. Results are discussed by framing rAMS as an example of cognitive reactivity, a general type of processing that is associated with depression. Copyright © 2012 Elsevier Ltd. All rights reserved.

  2. Methodology for assessing the safety of Hydrogen Systems: HyRAM 1.1 technical reference manual

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Groth, Katrina; Hecht, Ethan; Reynolds, John Thomas

    The HyRAM software toolkit provides a basis for conducting quantitative risk assessment and consequence modeling for hydrogen infrastructure and transportation systems. HyRAM is designed to facilitate the use of state-of-the-art science and engineering models to conduct robust, repeatable assessments of hydrogen safety, hazards, and risk. HyRAM is envisioned as a unifying platform combining validated, analytical models of hydrogen behavior, a stan- dardized, transparent QRA approach, and engineering models and generic data for hydrogen installations. HyRAM is being developed at Sandia National Laboratories for the U. S. De- partment of Energy to increase access to technical data about hydrogen safety andmore » to enable the use of that data to support development and revision of national and international codes and standards. This document provides a description of the methodology and models contained in the HyRAM version 1.1. HyRAM 1.1 includes generic probabilities for hydrogen equipment fail- ures, probabilistic models for the impact of heat flux on humans and structures, and computa- tionally and experimentally validated analytical and first order models of hydrogen release and flame physics. HyRAM 1.1 integrates deterministic and probabilistic models for quantifying accident scenarios, predicting physical effects, and characterizing hydrogen hazards (thermal effects from jet fires, overpressure effects from deflagrations), and assessing impact on people and structures. HyRAM is a prototype software in active development and thus the models and data may change. This report will be updated at appropriate developmental intervals.« less

  3. BCH codes for large IC random-access memory systems

    NASA Technical Reports Server (NTRS)

    Lin, S.; Costello, D. J., Jr.

    1983-01-01

    In this report some shortened BCH codes for possible applications to large IC random-access memory systems are presented. These codes are given by their parity-check matrices. Encoding and decoding of these codes are discussed.

  4. 78 FR 25767 - Certain Static Random Access Memories and Products Containing Same; Commission Determination To...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-05-02

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-792] Certain Static Random Access Memories and Products Containing Same; Commission Determination To Review in Part a Final Initial... States after importation of certain static random access memories and products containing the same by...

  5. Nonvolatile ferroelectric memory based on PbTiO3 gated single-layer MoS2 field-effect transistor

    NASA Astrophysics Data System (ADS)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-01-01

    We fabricated ferroelectric non-volatile random access memory (FeRAM) based on a field effect transistor (FET) consisting of a monolayer MoS2 channel and a ferroelectric PbTiO3 (PTO) thin film of gate insulator. An epitaxial PTO thin film was deposited on a Nb-doped SrTiO3 (Nb:STO) substrate via pulsed laser deposition. A monolayer MoS2 sheet was exfoliated from a bulk crystal and transferred to the surface of the PTO/Nb:STO. Structural and surface properties of the PTO thin film were characterized by X-ray diffraction and atomic force microscopy, respectively. Raman spectroscopy analysis was performed to identify the single-layer MoS2 sheet on the PTO/Nb:STO. We obtained mobility value (327 cm2/V·s) of the MoS2 channel at room temperature. The MoS2-PTO FeRAM FET showed a wide memory window with 17 kΩ of resistance variation which was attributed to high remnant polarization of the epitaxially grown PTO thin film. According to the fatigue resistance test for the FeRAM FET, however, the resistance states gradually varied during the switching cycles of 109. [Figure not available: see fulltext.

  6. HyRAM V1.0 User Guide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Groth, Katrina M.; Zumwalt, Hannah Ruth; Clark, Andrew Jordan

    2016-03-01

    Hydrogen Risk Assessment Models (HyRAM) is a prototype software toolkit that integrates data and methods relevant to assessing the safety of hydrogen fueling and storage infrastructure. The HyRAM toolkit integrates deterministic and probabilistic models for quantifying accident scenarios, predicting physical effects, and characterizing the impact of hydrogen hazards, including thermal effects from jet fires and thermal pressure effects from deflagration. HyRAM version 1.0 incorporates generic probabilities for equipment failures for nine types of components, and probabilistic models for the impact of heat flux on humans and structures, with computationally and experimentally validated models of various aspects of gaseous hydrogen releasemore » and flame physics. This document provides an example of how to use HyRAM to conduct analysis of a fueling facility. This document will guide users through the software and how to enter and edit certain inputs that are specific to the user-defined facility. Description of the methodology and models contained in HyRAM is provided in [1]. This User’s Guide is intended to capture the main features of HyRAM version 1.0 (any HyRAM version numbered as 1.0.X.XXX). This user guide was created with HyRAM 1.0.1.798. Due to ongoing software development activities, newer versions of HyRAM may have differences from this guide.« less

  7. The rapid mode of calcium uptake into heart mitochondria (RaM): comparison to RaM in liver mitochondria.

    PubMed

    Buntinas, L; Gunter, K K; Sparagna, G C; Gunter, T E

    2001-04-02

    A mechanism of Ca(2+) uptake, capable of sequestering significant amounts of Ca(2+) from cytosolic Ca(2+) pulses, has previously been identified in liver mitochondria. This mechanism, the Rapid Mode of Ca(2+) uptake (RaM), was shown to sequester Ca(2+) very rapidly at the beginning of each pulse in a sequence [Sparagna et al. (1995) J. Biol. Chem. 270, 27510-27515]. The existence and properties of RaM in heart mitochondria, however, are unknown and are the basis for this study. We show that RaM functions in heart mitochondria with some of the characteristics of RaM in liver, but its activation and inhibition are quite different. It is feasible that these differences represent different physiological adaptations in these two tissues. In both tissues, RaM is highly conductive at the beginning of a Ca(2+) pulse, but is inhibited by the rising [Ca(2+)] of the pulse itself. In heart mitochondria, the time required at low [Ca(2+)] to reestablish high Ca(2+) conductivity via RaM i.e. the 'resetting time' of RaM is much longer than in liver. RaM in liver mitochondria is strongly activated by spermine, activated by ATP or GTP and unaffected by ADP and AMP. In heart, RaM is activated much less strongly by spermine and unaffected by ATP or GTP. RaM in heart is strongly inhibited by AMP and has a biphasic response to ADP; it is activated at low concentrations and inhibited at high concentrations. Finally, an hypothesis consistent with the data and characteristics of liver and heart is presented to explain how RaM may function to control the rate of oxidative phosphorylation in each tissue. Under this hypothesis, RaM functions to create a brief, high free Ca(2+) concentration inside mitochondria which may activate intramitochondrial metabolic reactions with relatively small amounts of Ca(2+) uptake. This hypothesis is consistent with the view that intramitochondrial [Ca(2+)] may be used to control the rate of ADP phosphorylation in such a way as to minimize the probability of

  8. Development of Curie point switching for thin film, random access, memory device

    NASA Technical Reports Server (NTRS)

    Lewicki, G. W.; Tchernev, D. I.

    1967-01-01

    Managanese bismuthide films are used in the development of a random access memory device of high packing density and nondestructive readout capability. Memory entry is by Curie point switching using a laser beam. Readout is accomplished by microoptical or micromagnetic scanning.

  9. Complex dynamics of semantic memory access in reading

    PubMed Central

    Baggio, Giosué; Fonseca, André

    2012-01-01

    Understanding a word in context relies on a cascade of perceptual and conceptual processes, starting with modality-specific input decoding, and leading to the unification of the word's meaning into a discourse model. One critical cognitive event, turning a sensory stimulus into a meaningful linguistic sign, is the access of a semantic representation from memory. Little is known about the changes that activating a word's meaning brings about in cortical dynamics. We recorded the electroencephalogram (EEG) while participants read sentences that could contain a contextually unexpected word, such as ‘cold’ in ‘In July it is very cold outside’. We reconstructed trajectories in phase space from single-trial EEG time series, and we applied three nonlinear measures of predictability and complexity to each side of the semantic access boundary, estimated as the onset time of the N400 effect evoked by critical words. Relative to controls, unexpected words were associated with larger prediction errors preceding the onset of the N400. Accessing the meaning of such words produced a phase transition to lower entropy states, in which cortical processing becomes more predictable and more regular. Our study sheds new light on the dynamics of information flow through interfaces between sensory and memory systems during language processing. PMID:21715401

  10. Complex dynamics of semantic memory access in reading.

    PubMed

    Baggio, Giosué; Fonseca, André

    2012-02-07

    Understanding a word in context relies on a cascade of perceptual and conceptual processes, starting with modality-specific input decoding, and leading to the unification of the word's meaning into a discourse model. One critical cognitive event, turning a sensory stimulus into a meaningful linguistic sign, is the access of a semantic representation from memory. Little is known about the changes that activating a word's meaning brings about in cortical dynamics. We recorded the electroencephalogram (EEG) while participants read sentences that could contain a contextually unexpected word, such as 'cold' in 'In July it is very cold outside'. We reconstructed trajectories in phase space from single-trial EEG time series, and we applied three nonlinear measures of predictability and complexity to each side of the semantic access boundary, estimated as the onset time of the N400 effect evoked by critical words. Relative to controls, unexpected words were associated with larger prediction errors preceding the onset of the N400. Accessing the meaning of such words produced a phase transition to lower entropy states, in which cortical processing becomes more predictable and more regular. Our study sheds new light on the dynamics of information flow through interfaces between sensory and memory systems during language processing.

  11. Adult Age Differences in Accessing and Retrieving Information from Long-Term Memory.

    ERIC Educational Resources Information Center

    Petros, Thomas V.; And Others

    1983-01-01

    Investigated adult age differences in accessing and retrieving information from long-term memory. Results showed that older adults (N=26) were slower than younger adults (N=35) at feature extraction, lexical access, and accessing category information. The age deficit was proportionally greater when retrieval of category information was required.…

  12. Self-Rectifying Effect in Resistive Switching Memory Using Amorphous InGaZnO

    NASA Astrophysics Data System (ADS)

    Lee, Jin-Woo; Kwon, Hyeon-Min; Kim, Myeong-Ho; Lee, Seung-Ryul; Kim, Young-Bae; Choi, Duck-Kyun

    2014-05-01

    Resistance random access memory (ReRAM) has received attention as next-generation memory because of its excellent operating properties and high density integration capability as a crossbar array. However, the application of the existing ReRAM as a crossbar array may lead to crosstalk between adjacent cells due to its symmetric I- V characteristics. In this study, the self-rectifying effect of contact between amorphous In-Ga-Zn-O (a-IGZO) and TaO x was examined in a Pt/a-IGZO/TaO x /Al2O3/W structure. The experimental results show not only self-rectifying behavior but also forming-free characteristics. During the deposition of a-IGZO on the TaO x , an oxygen-rich TaO x interfacial layer was formed. The rectifying effect was observed regardless of the interface formation and is believed to be associated with Schottky contact formation between a-IGZO and TaO x . The current level remained unchanged despite repeated DC sweep cycles. The low resistance state/high resistance state ratio was about 101 at a read voltage of -0.5 V, and the rectifying ratio was about 103 at ±2 V.

  13. Reduced autobiographical memory specificity relates to weak resistance to proactive interference.

    PubMed

    Smets, Jorien; Wessel, Ineke; Raes, Filip

    2014-06-01

    Reduced autobiographical memory specificity (rAMS), experiencing intrusive memories, and rumination appear to be risk factors for depression and depressive relapse. The aim of the current study was to investigate whether a weak resistance to proactive interference (PI) might underlie this trio of cognitive risk factors. Resistance to PI refers to being able to ignore cognitive distracters that were previously relevant but became irrelevant for current task goals. Students (N = 65) and depressed patients (N = 37) completed tasks measuring resistance to PI and AMS, and completed questionnaires on intrusive memories and rumination. In both samples, weaker resistance to PI was associated with rAMS. There was no evidence for a relationship between resistance to PI and intrusive memories or rumination. As we did not assess other measures of executive functioning, we cannot conclude whether the observed relationship between rumination and PI is due to unique qualities of PI. Difficulties to deliberately recall specific, rather than general or categoric autobiographical memories appear to be related to more general problems with the inhibition of interference of mental distracters. The results are in line with the executive control account of rAMS. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. Artificial intelligence applications of fast optical memory access

    NASA Astrophysics Data System (ADS)

    Henshaw, P. D.; Todtenkopf, A. B.

    The operating principles and performance of rapid laser beam-steering (LBS) techniques are reviewed and illustrated with diagrams; their applicability to fast optical-memory (disk) access is evaluated; and the implications of fast access for the design of expert systems are discussed. LBS methods examined include analog deflection (source motion, wavefront tilt, and phased arrays), digital deflection (polarization modulation, reflectivity modulation, interferometric switching, and waveguide deflection), and photorefractive LBS. The disk-access problem is considered, and typical LBS requirements are listed as 38,000 beam positions, rotational latency 25 ms, one-sector rotation time 1.5 ms, and intersector space 87 microsec. The value of rapid access for increasing the power of expert systems (by permitting better organization of blocks of information) is illustrated by summarizing the learning process of the MVP-FORTH system (Park, 1983).

  15. Improving memory after interruption: exploiting soft constraints and manipulating information access cost.

    PubMed

    Morgan, Phillip L; Patrick, John; Waldron, Samuel M; King, Sophia L; Patrick, Tanya

    2009-12-01

    Forgetting what one was doing prior to interruption is an everyday problem. The recent soft constraints hypothesis (Gray, Sims, Fu, & Schoelles, 2006) emphasizes the strategic adaptation of information processing strategy to the task environment. It predicts that increasing information access cost (IAC: the time, and physical and mental effort involved in accessing information) encourages a more memory-intensive strategy. Like interruptions, access costs are also intrinsic to most work environments, such as when opening documents and e-mails. Three experiments investigated whether increasing IAC during a simple copying task can be an effective method for reducing forgetting following interruption. IAC was designated Low (all information permanently visible), Medium (a mouse movement to uncover target information), or High (an additional few seconds to uncover such information). Experiment 1 found that recall improved across all three levels of IAC. Subsequent experiments found that High IAC facilitated resumption after interruption, particularly when interruption occurred on half of all trials (Experiment 2), and improved prospective memory following two different interrupting tasks, even when one involved the disruptive effect of using the same type of resource as the primary task (Experiment 3). The improvement of memory after interruption with increased IAC supports the prediction of the soft constraints hypothesis. The main disadvantage of a high access cost was a reduction in speed of task completion. The practicality of manipulating IAC as a design method for inducing a memory-intensive strategy to protect against forgetting is discussed. Copyright 2009 APA

  16. Integrated, nonvolatile, high-speed analog random access memory

    NASA Technical Reports Server (NTRS)

    Katti, Romney R. (Inventor); Wu, Jiin-Chuan (Inventor); Stadler, Henry L. (Inventor)

    1994-01-01

    This invention provides an integrated, non-volatile, high-speed random access memory. A magnetically switchable ferromagnetic or ferrimagnetic layer is sandwiched between an electrical conductor which provides the ability to magnetize the magnetically switchable layer and a magneto resistive or Hall effect material which allows sensing the magnetic field which emanates from the magnetization of the magnetically switchable layer. By using this integrated three-layer form, the writing process, which is controlled by the conductor, is separated from the storage medium in the magnetic layer and from the readback process which is controlled by the magnetoresistive layer. A circuit for implementing the memory in CMOS or the like is disclosed.

  17. Mapping virtual addresses to different physical addresses for value disambiguation for thread memory access requests

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gala, Alan; Ohmacht, Martin

    A multiprocessor system includes nodes. Each node includes a data path that includes a core, a TLB, and a first level cache implementing disambiguation. The system also includes at least one second level cache and a main memory. For thread memory access requests, the core uses an address associated with an instruction format of the core. The first level cache uses an address format related to the size of the main memory plus an offset corresponding to hardware thread meta data. The second level cache uses a physical main memory address plus software thread meta data to store the memorymore » access request. The second level cache accesses the main memory using the physical address with neither the offset nor the thread meta data after resolving speculation. In short, this system includes mapping of a virtual address to a different physical addresses for value disambiguation for different threads.« less

  18. Improvement of multi-level resistive switching characteristics in solution-processed AlO x -based non-volatile resistive memory using microwave irradiation

    NASA Astrophysics Data System (ADS)

    Kim, Seung-Tae; Cho, Won-Ju

    2018-01-01

    We fabricated a resistive random access memory (ReRAM) device on a Ti/AlO x /Pt structure with solution-processed AlO x switching layer using microwave irradiation (MWI), and demonstrated multi-level cell (MLC) operation. To investigate the effect of MWI power on the MLC characteristics, post-deposition annealing was performed at 600-3000 W after AlO x switching layer deposition, and the MLC operation was compared with as-deposited (as-dep) and conventional thermally annealing (CTA) treated devices. All solution-processed AlO x -based ReRAM devices exhibited bipolar resistive switching (BRS) behavior. We found that these devices have four-resistance states (2 bits) of MLC operation according to the modulation of the high-resistance state (HRSs) through reset voltage control. Particularly, compared to the as-dep and CTA ReRAM devices, the MWI-treated ReRAM devices showed a significant increase in the memory window and stable endurance for multi-level operation. Moreover, as the MWI power increased, excellent MLC characteristics were exhibited because the resistance ratio between each resistance state was increased. In addition, it exhibited reliable retention characteristics without deterioration at 25 °C and 85 °C for 10 000 s. Finally, the relationship between the chemical characteristics of the solution-processed AlO x switching layer and BRS-based multi-level operation according to the annealing method and MWI power was investigated using x-ray photoelectron spectroscopy.

  19. Spatial and Working Memory Is Linked to Spine Density and Mushroom Spines

    PubMed Central

    Aher, Yogesh D.; Sase, Ajinkya; Gröger, Marion; Mokhtar, Maher; Höger, Harald; Lubec, Gert

    2015-01-01

    Background Changes in synaptic structure and efficacy including dendritic spine number and morphology have been shown to underlie neuronal activity and size. Moreover, the shapes of individual dendritic spines were proposed to correlate with their capacity for structural change. Spine numbers and morphology were reported to parallel memory formation in the rat using a water maze but, so far, there is no information on spine counts or shape in the radial arm maze (RAM), a frequently used paradigm for the evaluation of complex memory formation in the rodent. Methods 24 male Sprague-Dawley rats were divided into three groups, 8 were trained, 8 remained untrained in the RAM and 8 rats served as cage controls. Dendritic spine numbers and individual spine forms were counted in CA1, CA3 areas and dentate gyrus of hippocampus using a DIL dye method with subsequent quantification by the Neuronstudio software and the image J program. Results Working memory errors (WME) and latency in the RAM were decreased along the training period indicating that animals performed the task. Total spine density was significantly increased following training in the RAM as compared to untrained rats and cage controls. The number of mushroom spines was significantly increased in the trained as compared to untrained and cage controls. Negative significant correlations between spine density and WME were observed in CA1 basal dendrites and in CA3 apical and basal dendrites. In addition, there was a significant negative correlation between spine density and latency in CA3 basal dendrites. Conclusion The study shows that spine numbers are significantly increased in the trained group, an observation that may suggest the use of this method representing a morphological parameter for memory formation studies in the RAM. Herein, correlations between WME and latency in the RAM and spine density revealed a link between spine numbers and performance in the RAM. PMID:26469788

  20. MSIX - A general and user-friendly platform for RAM analysis

    NASA Astrophysics Data System (ADS)

    Pan, Z. J.; Blemel, Peter

    The authors present a CAD (computer-aided design) platform supporting RAM (reliability, availability, and maintainability) analysis with efficient system description and alternative evaluation. The design concepts, implementation techniques, and application results are described. This platform is user-friendly because of its graphic environment, drawing facilities, object orientation, self-tutoring, and access to the operating system. The programs' independency and portability make them generally applicable to various analysis tasks.

  1. Boosting the FM-Index on the GPU: Effective Techniques to Mitigate Random Memory Access.

    PubMed

    Chacón, Alejandro; Marco-Sola, Santiago; Espinosa, Antonio; Ribeca, Paolo; Moure, Juan Carlos

    2015-01-01

    The recent advent of high-throughput sequencing machines producing big amounts of short reads has boosted the interest in efficient string searching techniques. As of today, many mainstream sequence alignment software tools rely on a special data structure, called the FM-index, which allows for fast exact searches in large genomic references. However, such searches translate into a pseudo-random memory access pattern, thus making memory access the limiting factor of all computation-efficient implementations, both on CPUs and GPUs. Here, we show that several strategies can be put in place to remove the memory bottleneck on the GPU: more compact indexes can be implemented by having more threads work cooperatively on larger memory blocks, and a k-step FM-index can be used to further reduce the number of memory accesses. The combination of those and other optimisations yields an implementation that is able to process about two Gbases of queries per second on our test platform, being about 8 × faster than a comparable multi-core CPU version, and about 3 × to 5 × faster than the FM-index implementation on the GPU provided by the recently announced Nvidia NVBIO bioinformatics library.

  2. Accessing Information in Working Memory: Can the Focus of Attention Grasp Two Elements at the Same Time?

    ERIC Educational Resources Information Center

    Oberauer, Klaus; Bialkova, Svetlana

    2009-01-01

    Processing information in working memory requires selective access to a subset of working-memory contents by a focus of attention. Complex cognition often requires joint access to 2 items in working memory. How does the focus select 2 items? Two experiments with an arithmetic task and 1 with a spatial task investigate time demands for successive…

  3. 76 FR 2336 - Dynamic Random Access Memory Semiconductors From the Republic of Korea: Final Results of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-01-13

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-580-851] Dynamic Random Access Memory... administrative review of the countervailing duty order on dynamic random access memory semiconductors from the... following events have occurred since the publication of the preliminary results of this review. See Dynamic...

  4. Results from On-Orbit Testing of the Fram Memory Test Experiment on the Fastsat Micro-Satellite

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Sims, W. Herb; Varnavas, Kosta A.; Ho, Fat D.

    2011-01-01

    NASA is planning on going beyond Low Earth orbit with manned exploration missions. The radiation environment for most Low Earth orbit missions is harsher than at the Earth's surface but much less harsh than deep space. Development of new electronics is needed to meet the requirements of high performance, radiation tolerance, and reliability. The need for both Volatile and Non-volatile memory has been identified. Emerging Non-volatile memory technologies (FRAM, C-RAM,M-RAM, R-RAM, Radiation Tolerant FLASH, SONOS, etc.) need to be investigated for use in Space missions. An opportunity arose to fly a small memory experiment on a high inclination satellite (FASTSAT). An off-the-shelf 512K Ramtron FRAM was chosen to be tested in the experiment.

  5. A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy

    NASA Astrophysics Data System (ADS)

    Han, Runze; Shen, Wensheng; Huang, Peng; Zhou, Zheng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    A novel ternary content addressable memory (TCAM) design based on resistive random access memory (RRAM) is presented. Each TCAM cell consists of two parallel RRAM to both store and search for ternary data. The cell size of the proposed design is 8F2, enable a ∼60× cell area reduction compared with the conventional static random access memory (SRAM) based implementation. Simulation results also show that the search delay and energy consumption of the proposed design at the 64-bit word search are 2 ps and 0.18 fJ/bit/search respectively at 22 nm technology node, where significant improvements are achieved compared to previous works. The desired characteristics of RRAM for implementation of the high performance TCAM search chip are also discussed.

  6. What versus where: Investigating how autobiographical memory retrieval differs when accessed with thematic versus spatial information.

    PubMed

    Sheldon, Signy; Chu, Sonja

    2017-09-01

    Autobiographical memory research has investigated how cueing distinct aspects of a past event can trigger different recollective experiences. This research has stimulated theories about how autobiographical knowledge is accessed and organized. Here, we test the idea that thematic information organizes multiple autobiographical events whereas spatial information organizes individual past episodes by investigating how retrieval guided by these two forms of information differs. We used a novel autobiographical fluency task in which participants accessed multiple memory exemplars to event theme and spatial (location) cues followed by a narrative description task in which they described the memories generated to these cues. Participants recalled significantly more memory exemplars to event theme than to spatial cues; however, spatial cues prompted faster access to past memories. Results from the narrative description task revealed that memories retrieved via event theme cues compared to spatial cues had a higher number of overall details, but those recalled to the spatial cues were recollected with a greater concentration on episodic details than those retrieved via event theme cues. These results provide evidence that thematic information organizes and integrates multiple memories whereas spatial information prompts the retrieval of specific episodic content from a past event.

  7. Taxing Working Memory during Retrieval of Emotional Memories Does Not Reduce Memory Accessibility When Cued with Reminders

    PubMed Central

    van Schie, Kevin; Engelhard, Iris M.; van den Hout, Marcel A.

    2015-01-01

    Earlier studies have shown that when individuals recall an emotional memory while simultaneously doing a demanding dual-task [e.g., playing Tetris, mental arithmetic, making eye movements (EM)], this reduces self-reported vividness and emotionality of the memory. These effects have been found up to 1 week later, but have largely been confined to self-report ratings. This study examined whether this dual-tasking intervention reduces memory performance (i.e., accessibility of emotional memories). Undergraduates (N = 60) studied word-image pairs and rated the retrieved image on vividness and emotionality when cued with the word. Then they viewed the cues and recalled the images with or without making EM. Finally, they re-rated the images on vividness and emotionality. Additionally, fragments from images from all conditions were presented and participants identified which fragment was paired earlier with which cue. Findings showed no effect of the dual-task manipulation on self-reported ratings and latency responses. Several possible explanations for the lack of effects are discussed, but the cued recall procedure in our experiment seems to explain the absence of effects best. The study demonstrates boundaries to the effects of the “dual-tasking” procedure. PMID:25729370

  8. Ram seminal plasma improves pregnancy rates in ewes cervically inseminated with ram semen stored at 5 °C for 24 hours.

    PubMed

    López-Pérez, A; Pérez-Clariget, R

    2012-01-15

    In this study, we compared pregnancy rates obtained using ram semen stored at 5 °C for 24 h, with ram or bull seminal plasma (SP) added to TRIS-egg yolk extender. During the breeding period, 670 adult Corriedale ewes were cervically inseminated with semen (2 × 10(8) sperm in a volume of 0.2 mL) from eight adult Corriedale rams. Ejaculates, obtained using an artificial vagina, were split into three aliquots and diluted with the following: TRIS-egg yolk based extender (T), T + 30% ram SP (R), or T + 30% bull SP (B). Samples were refrigerated and stored at 5 °C for 24 h until used for AI. Pregnancy was assessed by ultrasonography 35 to 40 d after AI. Pregnancy rate was not affected by ram (P = 0.77) or breeding period (P = 0.43), and there were no interactions between extender and ram (P = 0.94), or extender and breeding period (P = 0.24). However, there was an effect of extender (P = 0.0009) on pregnancy rates; ram SP, but not bull SP, increased pregnancy rates compared with extender without SP (49.7, 38.1, and 31.1%, for R, B, and T respectively). In conclusion, ram SP added to TRIS-egg yolk extender had a beneficial effect on the pregnancy rate of ram sperm stored at 5 °C for 24 h and used for cervical insemination of ewes. Copyright © 2012 Elsevier Inc. All rights reserved.

  9. Large Capacity of Conscious Access for Incidental Memories in Natural Scenes.

    PubMed

    Kaunitz, Lisandro N; Rowe, Elise G; Tsuchiya, Naotsugu

    2016-09-01

    When searching a crowd, people can detect a target face only by direct fixation and attention. Once the target is found, it is consciously experienced and remembered, but what is the perceptual fate of the fixated nontarget faces? Whereas introspection suggests that one may remember nontargets, previous studies have proposed that almost no memory should be retained. Using a gaze-contingent paradigm, we asked subjects to visually search for a target face within a crowded natural scene and then tested their memory for nontarget faces, as well as their confidence in those memories. Subjects remembered up to seven fixated, nontarget faces with more than 70% accuracy. Memory accuracy was correlated with trial-by-trial confidence ratings, which implies that the memory was consciously maintained and accessed. When the search scene was inverted, no more than three nontarget faces were remembered. These findings imply that incidental memory for faces, such as those recalled by eyewitnesses, is more reliable than is usually assumed. © The Author(s) 2016.

  10. 75 FR 20564 - Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-04-20

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-580-851] Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit for Preliminary Results of Countervailing Duty... access memory semiconductors from the Republic of Korea, covering the period January 1, 2008 through...

  11. Children's Access to Public Library Services: Prince George's County Memorial Public Library, Maryland, 1980.

    ERIC Educational Resources Information Center

    Gerhardt, Lillian N.

    1981-01-01

    Evaluates the Prince George's County Memorial Public Library's approach to providing access to its services for children, and examines policies, regulations, practices, and conditions that affect such access. Six references are cited. (FM)

  12. Memory access in shared virtual memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berrendorf, R.

    1992-01-01

    Shared virtual memory (SVM) is a virtual memory layer with a single address space on top of a distributed real memory on parallel computers. We examine the behavior and performance of SVM running a parallel program with medium-grained, loop-level parallelism on top of it. A simulator for the underlying parallel architecture can be used to examine the behavior of SVM more deeply. The influence of several parameters, such as the number of processors, page size, cold or warm start, and restricted page replication, is studied.

  13. Memory access in shared virtual memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berrendorf, R.

    1992-09-01

    Shared virtual memory (SVM) is a virtual memory layer with a single address space on top of a distributed real memory on parallel computers. We examine the behavior and performance of SVM running a parallel program with medium-grained, loop-level parallelism on top of it. A simulator for the underlying parallel architecture can be used to examine the behavior of SVM more deeply. The influence of several parameters, such as the number of processors, page size, cold or warm start, and restricted page replication, is studied.

  14. Ti-Doped GaOx Resistive Switching Memory with Self-Rectifying Behavior by Using NbOx/Pt Bilayers.

    PubMed

    Park, Ju Hyun; Jeon, Dong Su; Kim, Tae Geun

    2017-12-13

    Crossbar arrays (CBAs) with resistive random access memory (ReRAM) constitute an established architecture for high-density memory. However, sneak paths via unselected cells increase the total power consumption of these devices and limit the array size. To eliminate such sneak-path problems, we propose a Ti/GaO x /NbO x /Pt structure with a self-rectifying resistive-switching (RS) behavior. In this structure, to reduce the operating voltage, we used a Ti/GaO x stack to increase the number of trap sites in the RS GaO x layer through interfacial reactions between the Ti and GaO x layers. This increase enables easier carrier transport with reduced electric fields. We then adopted a NbO x /Pt stack to add rectifying behavior to the RS GaO x layer. This behavior is a result of the large Schottky barrier height between the NbO x and Pt layers. Finally, both the Ti/GaO x and NbO x /Pt stacks were combined to realize a self-rectifying ReRAM device, which exhibited excellent performance. Characteristics of the device include a low operating voltage range (-2.8 to 2.5 V), high on/off ratios (∼20), high selectivity (∼10 4 ), high operating speeds (200-500 ns), a very low forming voltage (∼3 V), stable operation, and excellent uniformity for high-density CBA-based ReRAM applications.

  15. NRAM: a disruptive carbon-nanotube resistance-change memory.

    PubMed

    Gilmer, D C; Rueckes, T; Cleveland, L

    2018-04-03

    Advanced memory technology based on carbon nanotubes (CNTs) (NRAM) possesses desired properties for implementation in a host of integrated systems due to demonstrated advantages of its operation including high speed (nanotubes can switch state in picoseconds), high endurance (over a trillion), and low power (with essential zero standby power). The applicable integrated systems for NRAM have markets that will see compound annual growth rates (CAGR) of over 62% between 2018 and 2023, with an embedded systems CAGR of 115% in 2018-2023 (http://bccresearch.com/pressroom/smc/bcc-research-predicts:-nram-(finally)-to-revolutionize-computer-memory). These opportunities are helping drive the realization of a shift from silicon-based to carbon-based (NRAM) memories. NRAM is a memory cell made up of an interlocking matrix of CNTs, either touching or slightly separated, leading to low or higher resistance states respectively. The small movement of atoms, as opposed to moving electrons for traditional silicon-based memories, renders NRAM with a more robust endurance and high temperature retention/operation which, along with high speed/low power, is expected to blossom in this memory technology to be a disruptive replacement for the current status quo of DRAM (dynamic RAM), SRAM (static RAM), and NAND flash memories.

  16. NRAM: a disruptive carbon-nanotube resistance-change memory

    NASA Astrophysics Data System (ADS)

    Gilmer, D. C.; Rueckes, T.; Cleveland, L.

    2018-04-01

    Advanced memory technology based on carbon nanotubes (CNTs) (NRAM) possesses desired properties for implementation in a host of integrated systems due to demonstrated advantages of its operation including high speed (nanotubes can switch state in picoseconds), high endurance (over a trillion), and low power (with essential zero standby power). The applicable integrated systems for NRAM have markets that will see compound annual growth rates (CAGR) of over 62% between 2018 and 2023, with an embedded systems CAGR of 115% in 2018-2023 (http://bccresearch.com/pressroom/smc/bcc-research-predicts:-nram-(finally)-to-revolutionize-computer-memory). These opportunities are helping drive the realization of a shift from silicon-based to carbon-based (NRAM) memories. NRAM is a memory cell made up of an interlocking matrix of CNTs, either touching or slightly separated, leading to low or higher resistance states respectively. The small movement of atoms, as opposed to moving electrons for traditional silicon-based memories, renders NRAM with a more robust endurance and high temperature retention/operation which, along with high speed/low power, is expected to blossom in this memory technology to be a disruptive replacement for the current status quo of DRAM (dynamic RAM), SRAM (static RAM), and NAND flash memories.

  17. Non-volatile main memory management methods based on a file system.

    PubMed

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  18. A self-testing dynamic RAM chip

    NASA Astrophysics Data System (ADS)

    You, Y.; Hayes, J. P.

    1985-02-01

    A novel approach to making very large dynamic RAM chips self-testing is presented. It is based on two main concepts: on-chip generation of regular test sequences with very high fault coverage, and concurrent testing of storage-cell arrays to reduce overall testing time. The failure modes of a typical 64 K RAM employing one-transistor cells are analyzed to identify their test requirements. A comprehensive test generation algorithm that can be implemented with minimal modification to a standard cell layout is derived. The self-checking peripheral circuits necessary to implement this testing algorithm are described, and the self-testing RAM is briefly evaluated.

  19. Charge transfer in rectifying oxide heterostructures and oxide access elements in ReRAM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stefanovich, G. B.; Pergament, A. L.; Boriskov, P. P.

    2016-05-15

    The main aspects of the synthesis and experimental research of oxide diode heterostructures are discussed with respect to their use as selector diodes, i.e., access elements in oxide resistive memory. It is shown that charge transfer in these materials differs significantly from the conduction mechanism in p–n junctions based on conventional semiconductors (Si, Ge, A{sup III}–B{sup V}), and the model should take into account the electronic properties of oxides, primarily the low carrier drift mobility. It is found that an increase in the forward current requires an oxide with a small band gap (<1.3 eV) in the heterostructure composition. Heterostructuresmore » with Zn, In–Zn (IZO), Ti, Ni, and Cu oxides are studied; it is found that the CuO–IZO heterojunction has the highest forward current density (10{sup 4} A/cm{sup 2}).« less

  20. Effect of breed and age on sexual behaviour of rams.

    PubMed

    Simitzis, Panagiotis E; Deligeorgis, Stelios G; Bizelis, Joseph A

    2006-05-01

    The objective of this study was to highlight the problems that arise during the reproduction between thin-tailed rams and fat-tailed ewes. At the same time, particular emphasis laid on the influence of sheep breed, sheep age, time after ram introduction and day of the ewe estrus cycle on ram and ewe sexual behaviour. Rams were subjected to sexual performance tests by being individually exposed to 12 ewes for 3 h daily, 19 consecutive days. The 16 rams of the experiment were separated according to their age (9 and 21 months old) and breed (Chios and Karagouniki), and the 96 ewes of Chios fat-tailed breed, were divided by age (9 and 21 months old). The main characteristics of courtship behaviour, like sniffing, nudging, flehmen response and following were recorded and studied in detail. Mature Chios rams, which were the only one with previous experience of Chios ewes, exhibited higher rates of sexual interest per ewe than the other rams (P < 0.05). On the other hand, rams sniffed and nudged more young than mature ewes (P < 0.05), probably due to the fact that young ewes did not express intense symptoms of estrus. Young rams exhibited substandard sexual interest towards mature ewes, when they first came in contact with them (P < 0.05). In general, Karagouniki thin-tailed rams exhibited reduced rates of mating behaviour when they courted with Chios fat-tailed ewes in comparison with Chios rams (P < 0.05). Moreover, as the time after ram introduction passed, the frequency and duration of sexual behaviour components decreased (P < 0.001). Finally, the effect of the day of the experiment was only significant in the case of sniffing, which increased during the first 2 days and then declined and stabilized (P < 0.01). As it was demonstrated, ram age and ram breed played a fundamental role in the exhibition of sexual interest elements.

  1. Remote direct memory access over datagrams

    DOEpatents

    Grant, Ryan Eric; Rashti, Mohammad Javad; Balaji, Pavan; Afsahi, Ahmad

    2014-12-02

    A communication stack for providing remote direct memory access (RDMA) over a datagram network is disclosed. The communication stack has a user level interface configured to accept datagram related input and communicate with an RDMA enabled network interface card (NIC) via an NIC driver. The communication stack also has an RDMA protocol layer configured to supply one or more data transfer primitives for the datagram related input of the user level. The communication stack further has a direct data placement (DDP) layer configured to transfer the datagram related input from a user storage to a transport layer based on the one or more data transfer primitives by way of a lower layer protocol (LLP) over the datagram network.

  2. Power reduction by power gating in differential pair type spin-transfer-torque magnetic random access memories for low-power nonvolatile cache memories

    NASA Astrophysics Data System (ADS)

    Ohsawa, Takashi; Ikeda, Shoji; Hanyu, Takahiro; Ohno, Hideo; Endoh, Tetsuo

    2014-01-01

    Array operation currents in spin-transfer-torque magnetic random access memories (STT-MRAMs) that use four differential pair type magnetic tunnel junction (MTJ)-based memory cells (4T2MTJ, two 6T2MTJs and 8T2MTJ) are simulated and compared with that in SRAM. With L3 cache applications in mind, it is assumed that the memories are composed of 32 Mbyte capacity to be accessed in 64 byte in parallel. All the STT-MRAMs except for the 8T2MTJ one are designed with 32 bit fine-grained power gating scheme applied to eliminate static currents in the memory cells that are not accessed. The 8T2MTJ STT-MRAM, the cell’s design concept being not suitable for the fine-grained power gating, loads and saves 32 Mbyte data in 64 Mbyte unit per 1 Mbit sub-array in 2 × 103 cycles. It is shown that the array operation current of the 4T2MTJ STT-MRAM is 70 mA averaged in 15 ns write cycles at Vdd = 0.9 V. This is the smallest among the STT-MRAMs, about the half of the low standby power (LSTP) SRAM whose array operation current is totally dominated by the cells’ subthreshold leakage.

  3. Sexual behaviour of rams: male orientation and its endocrine correlates.

    PubMed

    Resko, J A; Perkins, A; Roselli, C E; Stellflug, J N; Stormshak, F K

    1999-01-01

    The components of heterosexual behaviour in rams are reviewed as a basis for understanding partner preference behaviour. A small percentage of rams will not mate with oestrous females and if given a choice will display courtship behaviour towards another ram in preference to a female. Some of the endocrine profiles of these male-oriented rams differ from those of heterosexual controls. These differences include reduced serum concentrations of testosterone, oestradiol and oestrone, reduced capacity to produce testosterone in vitro, and reduced capacity to aromatize androgens in the preoptic-anterior hypothalamus of the brain. Our observation that aromatase activity is significantly lower in the preoptic-anterior hypothalamic area of male-oriented rams than in female-oriented rams may indicate an important neurochemical link to sexual behaviour that should be investigated. The defect in steroid hormone production by the adult testes of the male-oriented ram may represent a defect that can be traced to the fetal testes. If this contention is correct, partner preference behaviour of rams may also be traceable to fetal development and represent a phenomenon of sexual differentiation.

  4. INM. Integrated Noise Model Version 4.11. User’s Guide - Supplement

    DTIC Science & Technology

    1993-12-01

    KB of Random Access Memory (RAM) or 3 MB of RAM, if operating the INM from a RAM disk, as discussed in Section 1.2.1 below; 0 Math co-processor, Series... accessible from the Data Base using the ACDB11.EXE computer program, supplied with the Version 4.11 release. With the exception of INM airplane numbers 1, 6...9214 10760 -- -.-- 27 7053 6215 9470 10703 --- --- - 28 SS7 5940 SS94 729S . ... ... 29 4223 4884 7897 9214 10760 ..... 30 sots 6474 7939 8774

  5. Conductance Quantization in Resistive Random Access Memory

    NASA Astrophysics Data System (ADS)

    Li, Yang; Long, Shibing; Liu, Yang; Hu, Chen; Teng, Jiao; Liu, Qi; Lv, Hangbing; Suñé, Jordi; Liu, Ming

    2015-10-01

    The intrinsic scaling-down ability, simple metal-insulator-metal (MIM) sandwich structure, excellent performances, and complementary metal-oxide-semiconductor (CMOS) technology-compatible fabrication processes make resistive random access memory (RRAM) one of the most promising candidates for the next-generation memory. The RRAM device also exhibits rich electrical, thermal, magnetic, and optical effects, in close correlation with the abundant resistive switching (RS) materials, metal-oxide interface, and multiple RS mechanisms including the formation/rupture of nanoscale to atomic-sized conductive filament (CF) incorporated in RS layer. Conductance quantization effect has been observed in the atomic-sized CF in RRAM, which provides a good opportunity to deeply investigate the RS mechanism in mesoscopic dimension. In this review paper, the operating principles of RRAM are introduced first, followed by the summarization of the basic conductance quantization phenomenon in RRAM and the related RS mechanisms, device structures, and material system. Then, we discuss the theory and modeling of quantum transport in RRAM. Finally, we present the opportunities and challenges in quantized RRAM devices and our views on the future prospects.

  6. Conductance Quantization in Resistive Random Access Memory.

    PubMed

    Li, Yang; Long, Shibing; Liu, Yang; Hu, Chen; Teng, Jiao; Liu, Qi; Lv, Hangbing; Suñé, Jordi; Liu, Ming

    2015-12-01

    The intrinsic scaling-down ability, simple metal-insulator-metal (MIM) sandwich structure, excellent performances, and complementary metal-oxide-semiconductor (CMOS) technology-compatible fabrication processes make resistive random access memory (RRAM) one of the most promising candidates for the next-generation memory. The RRAM device also exhibits rich electrical, thermal, magnetic, and optical effects, in close correlation with the abundant resistive switching (RS) materials, metal-oxide interface, and multiple RS mechanisms including the formation/rupture of nanoscale to atomic-sized conductive filament (CF) incorporated in RS layer. Conductance quantization effect has been observed in the atomic-sized CF in RRAM, which provides a good opportunity to deeply investigate the RS mechanism in mesoscopic dimension. In this review paper, the operating principles of RRAM are introduced first, followed by the summarization of the basic conductance quantization phenomenon in RRAM and the related RS mechanisms, device structures, and material system. Then, we discuss the theory and modeling of quantum transport in RRAM. Finally, we present the opportunities and challenges in quantized RRAM devices and our views on the future prospects.

  7. A study of the switching mechanism and electrode material of fully CMOS compatible tungsten oxide ReRAM

    NASA Astrophysics Data System (ADS)

    Chien, W. C.; Chen, Y. C.; Lai, E. K.; Lee, F. M.; Lin, Y. Y.; Chuang, Alfred T. H.; Chang, K. P.; Yao, Y. D.; Chou, T. H.; Lin, H. M.; Lee, M. H.; Shih, Y. H.; Hsieh, K. Y.; Lu, Chih-Yuan

    2011-03-01

    Tungsten oxide (WO X ) resistive memory (ReRAM), a two-terminal CMOS compatible nonvolatile memory, has shown promise to surpass the existing flash memory in terms of scalability, switching speed, and potential for 3D stacking. The memory layer, WO X , can be easily fabricated by down-stream plasma oxidation (DSPO) or rapid thermal oxidation (RTO) of W plugs universally used in CMOS circuits. Results of conductive AFM (C-AFM) experiment suggest the switching mechanism is dominated by the REDOX (Reduction-oxidation) reaction—the creation of conducting filaments leads to a low resistance state and the rupturing of the filaments results in a high resistance state. Our experimental results show that the reactions happen at the TE/WO X interface. With this understanding in mind, we proposed two approaches to boost the memory performance: (i) using DSPO to treat the RTO WO X surface and (ii) using Pt TE, which forms a Schottky barrier with WO X . Both approaches, especially the latter, significantly reduce the forming current and enlarge the memory window.

  8. Design of Unstructured Adaptive (UA) NAS Parallel Benchmark Featuring Irregular, Dynamic Memory Accesses

    NASA Technical Reports Server (NTRS)

    Feng, Hui-Yu; VanderWijngaart, Rob; Biswas, Rupak; Biegel, Bryan (Technical Monitor)

    2001-01-01

    We describe the design of a new method for the measurement of the performance of modern computer systems when solving scientific problems featuring irregular, dynamic memory accesses. The method involves the solution of a stylized heat transfer problem on an unstructured, adaptive grid. A Spectral Element Method (SEM) with an adaptive, nonconforming mesh is selected to discretize the transport equation. The relatively high order of the SEM lowers the fraction of wall clock time spent on inter-processor communication, which eases the load balancing task and allows us to concentrate on the memory accesses. The benchmark is designed to be three-dimensional. Parallelization and load balance issues of a reference implementation will be described in detail in future reports.

  9. Direct memory access transfer completion notification

    DOEpatents

    Chen, Dong; Giampapa, Mark E.; Heidelberger, Philip; Kumar, Sameer; Parker, Jeffrey J.; Steinmacher-Burow, Burkhard D.; Vranas, Pavlos

    2010-07-27

    Methods, compute nodes, and computer program products are provided for direct memory access (`DMA`) transfer completion notification. Embodiments include determining, by an origin DMA engine on an origin compute node, whether a data descriptor for an application message to be sent to a target compute node is currently in an injection first-in-first-out (`FIFO`) buffer in dependence upon a sequence number previously associated with the data descriptor, the total number of descriptors currently in the injection FIFO buffer, and the current sequence number for the newest data descriptor stored in the injection FIFO buffer; and notifying a processor core on the origin DMA engine that the message has been sent if the data descriptor for the message is not currently in the injection FIFO buffer.

  10. Parallel Optical Random Access Memory (PORAM)

    NASA Technical Reports Server (NTRS)

    Alphonse, G. A.

    1989-01-01

    It is shown that the need to minimize component count, power and size, and to maximize packing density require a parallel optical random access memory to be designed in a two-level hierarchy: a modular level and an interconnect level. Three module designs are proposed, in the order of research and development requirements. The first uses state-of-the-art components, including individually addressed laser diode arrays, acousto-optic (AO) deflectors and magneto-optic (MO) storage medium, aimed at moderate size, moderate power, and high packing density. The next design level uses an electron-trapping (ET) medium to reduce optical power requirements. The third design uses a beam-steering grating surface emitter (GSE) array to reduce size further and minimize the number of components.

  11. Laser Boost of a Small Interstellar Ram Jet to Obtain Operational Velocity. Implications for the DM Rocket/Ram Jet Model

    NASA Astrophysics Data System (ADS)

    Walcott Beckwith, Andrew

    2010-05-01

    In other conference research papers, Beckwith obtained a maximum DM mass/energy value of up to 5 TeV, as opposed to 400 GeV for DM, which may mean more convertible power for a dark matter ram jet. The consequences are from assuming that axions are CDM, and KK gravitons are for WDM, then ρWarm-Dark-Matter would dominate not only structure formation in early universe formation, but would also influence the viability of the DM ram jet applications for interstellar travel. The increase in convertible DM mass makes the ram jet a conceivable option. This paper in addition to describing the scientific issues leading to that 5 TeV mass for DM also what are necessary and sufficient laser boost systems which would permit a ram net to become operational.

  12. Development of highly reliable static random access memory for 40-nm embedded split gate-MONOS flash memory

    NASA Astrophysics Data System (ADS)

    Okamoto, Shin-ichi; Maekawa, Kei-ichi; Kawashima, Yoshiyuki; Shiba, Kazutoshi; Sugiyama, Hideki; Inoue, Masao; Nishida, Akio

    2015-04-01

    High quality static random access memory (SRAM) for 40-nm embedded MONOS flash memory with split gate (SG-MONOS) was developed. Marginal failure, which results in threshold voltage/drain current tailing and outliers of SRAM transistors, occurs when using a conventional SRAM structure. These phenomena can be explained by not only gate depletion but also partial depletion and percolation path formation in the MOS channel. A stacked poly-Si gate structure can suppress these phenomena and achieve high quality SRAM without any defects in the 6σ level and with high affinity to the 40-nm SG-MONOS process was developed.

  13. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    NASA Astrophysics Data System (ADS)

    Ando, K.; Fujita, S.; Ito, J.; Yuasa, S.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.; Yoda, H.

    2014-05-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed.

  14. RAM simulation model for SPH/RSV systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schryver, J.C.; Primm, A.H.; Nelson, S.C.

    1995-12-31

    The US Army`s Project Manager, Crusader is sponsoring the development of technologies that apply to the Self-Propelled Howitzer (SPH), formerly the Advanced Field Artillery System (AFAS), and Resupply Vehicle (RSV), formerly the Future Armored Resupply Vehicle (FARV), weapon system. Oak Ridge National Laboratory (ORNL) is currently performing developmental work in support of the SPH/PSV Crusader system. Supportive analyses of reliability, availability, and maintainability (RAM) aspects were also performed for the SPH/RSV effort. During FY 1994 and FY 1995 OPNL conducted a feasibility study to demonstrate the application of simulation modeling for RAM analysis of the Crusader system. Following completion ofmore » the feasibility study, a full-scale RAM simulation model of the Crusader system was developed for both the SPH and PSV. This report provides documentation for the simulation model as well as instructions in the proper execution and utilization of the model for the conduct of RAM analyses.« less

  15. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    NASA Astrophysics Data System (ADS)

    Yeom, Seung-Won; Shin, Sang-Chul; Kim, Tan-Young; Ha, Hyeon Jun; Lee, Yun-Hi; Shim, Jae Won; Ju, Byeong-Kwon

    2016-02-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al2O3-based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400-800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al2O3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole-Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al2O3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices.

  16. Design of a memory-access controller with 3.71-times-enhanced energy efficiency for Internet-of-Things-oriented nonvolatile microcontroller unit

    NASA Astrophysics Data System (ADS)

    Natsui, Masanori; Hanyu, Takahiro

    2018-04-01

    In realizing a nonvolatile microcontroller unit (MCU) for sensor nodes in Internet-of-Things (IoT) applications, it is important to solve the data-transfer bottleneck between the central processing unit (CPU) and the nonvolatile memory constituting the MCU. As one circuit-oriented approach to solving this problem, we propose a memory access minimization technique for magnetoresistive-random-access-memory (MRAM)-embedded nonvolatile MCUs. In addition to multiplexing and prefetching of memory access, the proposed technique realizes efficient instruction fetch by eliminating redundant memory access while considering the code length of the instruction to be fetched and the transition of the memory address to be accessed. As a result, the performance of the MCU can be improved while relaxing the performance requirement for the embedded MRAM, and compact and low-power implementation can be performed as compared with the conventional cache-based one. Through the evaluation using a system consisting of a general purpose 32-bit CPU and embedded MRAM, it is demonstrated that the proposed technique increases the peak efficiency of the system up to 3.71 times, while a 2.29-fold area reduction is achieved compared with the cache-based one.

  17. The special role of item-context associations in the direct-access region of working memory.

    PubMed

    Campoy, Guillermo

    2017-09-01

    The three-embedded-component model of working memory (WM) distinguishes three representational states corresponding to three WM regions: activated long-term memory, direct-access region (DAR), and focus of attention. Recent neuroimaging research has revealed that access to the DAR is associated with enhanced hippocampal activity. Because the hippocampus mediates the encoding and retrieval of item-context associations, it has been suggested that this hippocampal activation is a consequence of the fact that item-context associations are particularly strong and accessible in the DAR. This study provides behavioral evidence for this view using an item-recognition task to assess the effect of non-intentional encoding and maintenance of item-location associations across WM regions. Five pictures of human faces were sequentially presented in different screen locations followed by a recognition probe. Visual cues immediately preceding the probe indicated the location thereof. When probe stimuli appeared in the same location that they had been presented within the memory set, the presentation of the cue was expected to elicit the activation of the corresponding WM representation through the just-established item-location association, resulting in faster recognition. Results showed this same-location effect, but only for items that, according to their serial position within the memory set, were held in the DAR.

  18. JuxtaView - A tool for interactive visualization of large imagery on scalable tiled displays

    USGS Publications Warehouse

    Krishnaprasad, N.K.; Vishwanath, V.; Venkataraman, S.; Rao, A.G.; Renambot, L.; Leigh, J.; Johnson, A.E.; Davis, B.

    2004-01-01

    JuxtaView is a cluster-based application for viewing ultra-high-resolution images on scalable tiled displays. We present in JuxtaView, a new parallel computing and distributed memory approach for out-of-core montage visualization, using LambdaRAM, a software-based network-level cache system. The ultimate goal of JuxtaView is to enable a user to interactively roam through potentially terabytes of distributed, spatially referenced image data such as those from electron microscopes, satellites and aerial photographs. In working towards this goal, we describe our first prototype implemented over a local area network, where the image is distributed using LambdaRAM, on the memory of all nodes of a PC cluster driving a tiled display wall. Aggressive pre-fetching schemes employed by LambdaRAM help to reduce latency involved in remote memory access. We compare LambdaRAM with a more traditional memory-mapped file approach for out-of-core visualization. ?? 2004 IEEE.

  19. Selector-free resistive switching memory cell based on BiFeO3 nano-island showing high resistance ratio and nonlinearity factor

    PubMed Central

    Jeon, Ji Hoon; Joo, Ho-Young; Kim, Young-Min; Lee, Duk Hyun; Kim, Jin-Soo; Kim, Yeon Soo; Choi, Taekjib; Park, Bae Ho

    2016-01-01

    Highly nonlinear bistable current-voltage (I–V) characteristics are necessary in order to realize high density resistive random access memory (ReRAM) devices that are compatible with cross-point stack structures. Up to now, such I–V characteristics have been achieved by introducing complex device structures consisting of selection elements (selectors) and memory elements which are connected in series. In this study, we report bipolar resistive switching (RS) behaviours of nano-crystalline BiFeO3 (BFO) nano-islands grown on Nb-doped SrTiO3 substrates, with large ON/OFF ratio of 4,420. In addition, the BFO nano-islands exhibit asymmetric I–V characteristics with high nonlinearity factor of 1,100 in a low resistance state. Such selector-free RS behaviours are enabled by the mosaic structures and pinned downward ferroelectric polarization in the BFO nano-islands. The high resistance ratio and nonlinearity factor suggest that our BFO nano-islands can be extended to an N × N array of N = 3,740 corresponding to ~107 bits. Therefore, our BFO nano-island showing both high resistance ratio and nonlinearity factor offers a simple and promising building block of high density ReRAM. PMID:27001415

  20. Remote direct memory access

    DOEpatents

    Archer, Charles J.; Blocksome, Michael A.

    2012-12-11

    Methods, parallel computers, and computer program products are disclosed for remote direct memory access. Embodiments include transmitting, from an origin DMA engine on an origin compute node to a plurality target DMA engines on target compute nodes, a request to send message, the request to send message specifying a data to be transferred from the origin DMA engine to data storage on each target compute node; receiving, by each target DMA engine on each target compute node, the request to send message; preparing, by each target DMA engine, to store data according to the data storage reference and the data length, including assigning a base storage address for the data storage reference; sending, by one or more of the target DMA engines, an acknowledgment message acknowledging that all the target DMA engines are prepared to receive a data transmission from the origin DMA engine; receiving, by the origin DMA engine, the acknowledgement message from the one or more of the target DMA engines; and transferring, by the origin DMA engine, data to data storage on each of the target compute nodes according to the data storage reference using a single direct put operation.

  1. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems.

    PubMed

    Shehzad, Danish; Bozkuş, Zeki

    2016-01-01

    Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI) is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA) by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models.

  2. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems

    PubMed Central

    Bozkuş, Zeki

    2016-01-01

    Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI) is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA) by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models. PMID:27413363

  3. Research and Applications Modules (RAM). Phase B study: Executive summary

    NASA Technical Reports Server (NTRS)

    1972-01-01

    The design, development, and characteristics of the Research and Applications Module (RAM) system is discussed. The RAM system is a family of payload carriers that can be delivered to and retrieved from low earth orbit by the space shuttle. The RAM payload carriers are used to support diverse technological and scientific investigations. The NASA study objectives, the relationship of the RAM payload carriers to other systems in the orbital space program, and recommendations for additional effort are presented.

  4. Sustained Resistive Switching in a Single Cu:7,7,8,8-tetracyanoquinodimethane Nanowire: A Promising Material for Resistive Random Access Memory

    PubMed Central

    Basori, Rabaya; Kumar, Manoranjan; Raychaudhuri, Arup K.

    2016-01-01

    We report a new type of sustained and reversible unipolar resistive switching in a nanowire device made from a single strand of Cu:7,7,8,8-tetracyanoquinodimethane (Cu:TCNQ) nanowire (diameter <100 nm) that shows high ON/OFF ratio (~103), low threshold voltage of switching (~3.5 V) and large cycling endurance (>103). This indicates a promising material for high density resistive random access memory (ReRAM) device integration. Switching is observed in Cu:TCNQ single nanowire devices with two different electrode configuration: symmetric (C-Pt/Cu:TCNQ/C-Pt) and asymmetric (Cu/Cu:TCNQ/C-Pt), where contacts connecting the nanowire play an important role. This report also developed a method of separating out the electrode and material contributions in switching using metal-semiconductor-metal (MSM) device model along with a direct 4-probe resistivity measurement of the nanowire in the OFF as well as ON state. The device model was followed by a phenomenological model of current transport through the nanowire device which shows that lowering of potential barrier at the contacts likely occur due to formation of Cu filaments in the interface between nanowire and contact electrodes. We obtain quantitative agreement of numerically analyzed results with the experimental switching data. PMID:27245099

  5. Wireless data over RAM's Mobitex network

    NASA Astrophysics Data System (ADS)

    Khan, M. Mobeen

    1995-12-01

    Mobitex is a mobile data technology standard created by Eritel, now a wholly owned subsidiary of Ericsson, that has been in existence for about a decade. Originally designed as a low speed (1.2 kbps) data system with a voice dispatch overlay, it was significantly enhanced in 1990 for use in North America and the UK. The enhanced system is a data-only system using cellular architecture and multi-channel frequency reuse, store-and-forward capability, and an 8 kbps over-the-air data rate. The mission of RAM Mobile Data USA Limited Partnership ('RAM') is to provide high quality, cost efficient, wireless data communications solutions in its targeted market segments. RAM's Mobitex network is currently one of the two networks providing two way wireless data services nationwide using a long distance service provider of the customer's choice.

  6. The Ram's Horn.

    ERIC Educational Resources Information Center

    Rassias, John A., Ed.; And Others

    1983-01-01

    The summer-fall and winter-spring numbers of the journal, "The Ram's Horn," contain these articles: "The Text as Dramatic Departure"; "The Dartmouth Language Outreach Approach to Spanish for Police Action"; "The Dartmouth Intensive Language Model (DILM) in Florida: John Rassias with High School Teachers";…

  7. A Decision Model for Selection of Microcomputers and Operating Systems.

    DTIC Science & Technology

    1984-06-01

    is resilting in application software (for microccmputers) being developed almost exclu- sively tor the IBM PC and compatiole systems. NAVDAC ielt that...location can be indepen- dently accessed. RAN memory is also often called read/ write memory, hecause new information can be written into and read from...when power is lost; this is also read/ write memory. Bubble memory, however, has significantly slower access times than RAM or RON and also is not preva

  8. Ram Pressure Stripping Made Easy: An Analytical Approach

    NASA Astrophysics Data System (ADS)

    Köppen, J.; Jáchym, P.; Taylor, R.; Palouš, J.

    2018-06-01

    The removal of gas by ram pressure stripping of galaxies is treated by a purely kinematic description. The solution has two asymptotic limits: if the duration of the ram pressure pulse exceeds the period of vertical oscillations perpendicular to the galactic plane, the commonly used quasi-static criterion of Gunn & Gott is obtained which uses the maximum ram pressure that the galaxy has experienced along its orbit. For shorter pulses the outcome depends on the time-integrated ram pressure. This parameter pair fully describes the gas mass fraction that is stripped from a given galaxy. This approach closely reproduces results from SPH simulations. We show that typical galaxies follow a very tight relation in this parameter space corresponding to a pressure pulse length of about 300 Myr. Thus, the Gunn & Gott criterion provides a good description for galaxies in larger clusters. Applying the analytic description to a sample of 232 Virgo galaxies from the GoldMine database, we show that the ICM provides indeed the ram pressures needed to explain the deficiencies. We also can distinguish current and past strippers, including objects whose stripping state was unknown.

  9. Nonvolatile Memory Technology for Space Applications

    NASA Technical Reports Server (NTRS)

    Oldham, Timothy R.; Irom, Farokh; Friendlich, Mark; Nguyen, Duc; Kim, Hak; Berg, Melanie; LaBel, Kenneth A.

    2010-01-01

    This slide presentation reviews several forms of nonvolatile memory for use in space applications. The intent is to: (1) Determine inherent radiation tolerance and sensitivities, (2) Identify challenges for future radiation hardening efforts, (3) Investigate new failure modes and effects, and technology modeling programs. Testing includes total dose, single event (proton, laser, heavy ion), and proton damage (where appropriate). Test vehicles are expected to be a variety of non-volatile memory devices as available including Flash (NAND and NOR), Charge Trap, Nanocrystal Flash, Magnetic Memory (MRAM), Phase Change--Chalcogenide, (CRAM), Ferroelectric (FRAM), CNT, and Resistive RAM.

  10. Evaluation of Magnetoresistive RAM for Space Applications

    NASA Technical Reports Server (NTRS)

    Heidecker, Jason

    2014-01-01

    Magnetoresistive random-access memory (MRAM) is a non-volatile memory that exploits electronic spin, rather than charge, to store data. Instead of moving charge on and off a floating gate to alter the threshold voltage of a CMOS transistor (creating different bit states), MRAM uses magnetic fields to flip the polarization of a ferromagnetic material thus switching its resistance and bit state. These polarized states are immune to radiation-induced upset, thus making MRAM very attractive for space application. These magnetic memory elements also have infinite data retention and erase/program endurance. Presented here are results of reliability testing of two space-qualified MRAM products from Aeroflex and Honeywell.

  11. Lewis and Fischer 344 rats as a model for genetic differences in spatial learning and memory: Cocaine effects.

    PubMed

    Fole, Alberto; Miguéns, Miguel; Morales, Lidia; González-Martín, Carmen; Ambrosio, Emilio; Del Olmo, Nuria

    2017-06-02

    Lewis (LEW) and Fischer 344 (F344) rats are considered a model of genetic vulnerability to drug addiction. We previously showed important differences in spatial learning and memory between them, but in contrast with previous experiments demonstrating cocaine-induced enhanced learning in Morris water maze (MWM) highly demanding tasks, the eight-arm radial maze (RAM) performance was not modified either in LEW or F344 rats after chronic cocaine treatment. In the present work, chronically cocaine-treated LEW and F344 adult rats have been evaluated in learning and memory performance using the Y-maze, two RAM protocols that differ in difficulty, and a reversal protocol that tests cognitive flexibility. After one of the RAM protocols, we quantified dendritic spine density in hippocampal CA1 neurons and compared it to animals treated with cocaine but not submitted to RAM. LEW cocaine treated rats showed a better performance in the Y maze than their saline counterparts, an effect that was not evident in the F344 strain. F344 rats significantly took more time to learn the RAM task and made a greater number of errors than LEW animals in both protocols tested, whereas cocaine treatment induced deleterious effects in learning and memory in the highly difficult protocol. Moreover, hippocampal spine density was cocaine-modulated in LEW animals whereas no effects were found in F344 rats. We propose that differences in addictive-like behavior between LEW and F344 rats could be related to differences in hippocampal learning and memory processes that could be on the basis of individual vulnerability to cocaine addiction. Copyright © 2017 Elsevier Inc. All rights reserved.

  12. Digital MOS integrated circuits

    NASA Astrophysics Data System (ADS)

    Elmasry, M. I.

    MOS in digital circuit design is considered along with aspects of digital VLSI, taking into account a comparison of MOSFET logic circuits, 1-micrometer MOSFET VLSI technology, a generalized guide for MOSFET miniaturization, processing technologies, novel circuit structures for VLSI, and questions of circuit and system design for VLSI. MOS memory cells and circuits are discussed, giving attention to a survey of high-density dynamic RAM cell concepts, one-device cells for dynamic random-access memories, variable resistance polysilicon for high density CMOS Ram, high performance MOS EPROMs using a stacked-gate cell, and the optimization of the latching pulse for dynamic flip-flop sensors. Programmable logic arrays are considered along with digital signal processors, microprocessors, static RAMs, and dynamic RAMs.

  13. Body Composition of Lambs and Rams Fed Complete Feed

    NASA Astrophysics Data System (ADS)

    Rahmawati, F.; Rianto, E.

    2018-02-01

    This study was aimed to investigate the proportion of body water, protein and fat of lambs and rams. The material used in this study were 6 lambs (weighed of 15.92 kg), and 6 rams (weighed 23.31 kg). The sheep were fed a complete feed. In weeks 1, 5 and 9, the body composition (water, protein and body fat) was measured using the “urea space” method. The results showed that the proportion of water, protein and fat in the body of lambs and sheep differed significantly (P <0.01). The proportion of lambs’ body water in weeks 1, 5 and 9 were 58.71, 58.43 and 58.43%, respectively; while thoset of the rams were 58.40, 58.14% and 58.14%, respectively. The proportion of body protein of lambs in weeks 1, 5 and 9 were 11.84, 11.94 and 11.99%, respectively; while those of rams were 13.01; 13.01 and 13.03%, respectively. The proportion of body fat of lambs in weeks 1, 5 and 9 were 20.51, 20.87 and 20.87%, respectively; while those of rams were 20.9, 21.26 and 21.25%, respectively. Body water of lambs and rams week decreased from week 1 to 9. On the other hand, the proportion of body protein and fat increased. It is concluded that the proportion of body water was higher while the proportions of body protein and fat were lower in lambs as compared with those in rams.

  14. Administering an epoch initiated for remote memory access

    DOEpatents

    Blocksome, Michael A; Miller, Douglas R

    2014-03-18

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  15. Administering an epoch initiated for remote memory access

    DOEpatents

    Blocksome, Michael A; Miller, Douglas R

    2012-10-23

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  16. Administering an epoch initiated for remote memory access

    DOEpatents

    Blocksome, Michael A.; Miller, Douglas R.

    2013-01-01

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  17. Reactive approach motivation (RAM) for religion.

    PubMed

    McGregor, Ian; Nash, Kyle; Prentice, Mike

    2010-07-01

    In 3 experiments, participants reacted with religious zeal to anxious uncertainty threats that have caused reactive approach motivation (RAM) in past research (see McGregor, Nash, Mann, & Phills, 2010, for implicit, explicit, and neural evidence of RAM). In Study 1, results were specific to religious ideals and did not extend to merely superstitious beliefs. Effects were most pronounced among the most anxious and uncertainty-averse participants in Study 1 and among the most approach-motivated participants in Study 2 (i.e., with high Promotion Focus, Behavioral Activation, Action Orientation, and Self-Esteem Scale scores). In Studies 2 and 3, anxious uncertainty threats amplified even the most jingoistic and extreme aspects of religious zeal. In Study 3, reactive religious zeal occurred only among participants who reported feeling disempowered in their everyday goals in life. Results support a RAM view of empowered religious idealism for anxiety management (cf. Armstrong, 2000; Inzlicht, McGregor, Hirsch, & Nash, 2009).

  18. A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories

    NASA Astrophysics Data System (ADS)

    Nakamura, Kazuyuki; Sasao, Tsutomu; Matsuura, Munehiro; Tanaka, Katsumasa; Yoshizumi, Kenichi; Nakahara, Hiroki; Iguchi, Yukihiro

    2006-04-01

    A large-scale memory-technology-based programmable logic device (PLD) using a look-up table (LUT) cascade is developed in the 0.35-μm standard complementary metal oxide semiconductor (CMOS) logic process. Eight 64 K-bit synchronous SRAMs are connected to form an LUT cascade with a few additional circuits. The features of the LUT cascade include: 1) a flexible cascade connection structure, 2) multi phase pseudo asynchronous operations with synchronous static random access memory (SRAM) cores, and 3) LUT-bypass redundancy. This chip operates at 33 MHz in 8-LUT cascades at 122 mW. Benchmark results show that it achieves a comparable performance to field programmable gate array (FPGAs).

  19. Efficient checkpointing schemes for depletion perturbation solutions on memory-limited architectures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stripling, H. F.; Adams, M. L.; Hawkins, W. D.

    2013-07-01

    We describe a methodology for decreasing the memory footprint and machine I/O load associated with the need to access a forward solution during an adjoint solve. Specifically, we are interested in the depletion perturbation equations, where terms in the adjoint Bateman and transport equations depend on the forward flux solution. Checkpointing is the procedure of storing snapshots of the forward solution to disk and using these snapshots to recompute the parts of the forward solution that are necessary for the adjoint solve. For large problems, however, the storage cost of just a few copies of an angular flux vector canmore » exceed the available RAM on the host machine. We propose a methodology that does not checkpoint the angular flux vector; instead, we write and store converged source moments, which are typically of a much lower dimension than the angular flux solution. This reduces the memory footprint and I/O load of the problem, but requires that we perform single sweeps to reconstruct flux vectors on demand. We argue that this trade-off is exactly the kind of algorithm that will scale on advanced, memory-limited architectures. We analyze the cost, in terms of FLOPS and memory footprint, of five checkpointing schemes. We also provide computational results that support the analysis and show that the memory-for-work trade off does improve time to solution. (authors)« less

  20. Ram locus is a key regulator to trigger multidrug resistance in Enterobacter aerogenes.

    PubMed

    Molitor, Alexander; James, Chloë E; Fanning, Séamus; Pagès, Jean-Marie; Davin-Regli, Anne

    2018-02-01

    Several genetic regulators belonging to AraC family are involved in the emergence of MDR isolates of E. aerogenes due to alterations in membrane permeability. Compared with the genetic regulator Mar, RamA may be more relevant towards the emergence of antibiotic resistance. Focusing on the global regulators, Mar and Ram, we compared the amino acid sequences of the Ram repressor in 59 clinical isolates and laboratory strains of E. aerogenes. Sequence types were associated with their corresponding multi-drug resistance phenotypes and membrane protein expression profiles using MIC and immunoblot assays. Quantitative gene expression analysis of the different regulators and their targets (porins and efflux pump components) were performed. In the majority of the MDR isolates tested, ramR and a region upstream of ramA were mutated but marR or marA were unchanged. Expression and cloning experiments highlighted the involvement of the ram locus in the modification of membrane permeability. Overexpression of RamA lead to decreased porin production and increased expression of efflux pump components, whereas overexpression of RamR had the opposite effects. Mutations or deletions in ramR, leading to the overexpression of RamA predominated in clinical MDR E. aerogenes isolates and were associated with a higher-level of expression of efflux pump components. It was hypothesised that mutations in ramR, and the self-regulating region proximal to ramA, probably altered the binding properties of the RamR repressor; thereby producing the MDR phenotype. Consequently, mutability of RamR may play a key role in predisposing E. aerogenes towards the emergence of a MDR phenotype.

  1. Optical interconnection network for parallel access to multi-rank memory in future computing systems.

    PubMed

    Wang, Kang; Gu, Huaxi; Yang, Yintang; Wang, Kun

    2015-08-10

    With the number of cores increasing, there is an emerging need for a high-bandwidth low-latency interconnection network, serving core-to-memory communication. In this paper, aiming at the goal of simultaneous access to multi-rank memory, we propose an optical interconnection network for core-to-memory communication. In the proposed network, the wavelength usage is delicately arranged so that cores can communicate with different ranks at the same time and broadcast for flow control can be achieved. A distributed memory controller architecture that works in a pipeline mode is also designed for efficient optical communication and transaction address processes. The scaling method and wavelength assignment for the proposed network are investigated. Compared with traditional electronic bus-based core-to-memory communication, the simulation results based on the PARSEC benchmark show that the bandwidth enhancement and latency reduction are apparent.

  2. Soft errors in commercial off-the-shelf static random access memories

    NASA Astrophysics Data System (ADS)

    Dilillo, L.; Tsiligiannis, G.; Gupta, V.; Bosser, A.; Saigne, F.; Wrobel, F.

    2017-01-01

    This article reviews state-of-the-art techniques for the evaluation of the effect of radiation on static random access memory (SRAM). We detailed irradiation test techniques and results from irradiation experiments with several types of particles. Two commercial SRAMs, in 90 and 65 nm technology nodes, were considered as case studies. Besides the basic static and dynamic test modes, advanced stimuli for the irradiation tests were introduced, as well as statistical post-processing techniques allowing for deeper analysis of the correlations between bit-flip cross-sections and design/architectural characteristics of the memory device. Further insight is provided on the response of irradiated stacked layer devices and on the use of characterized SRAM devices as particle detectors.

  3. 3. Light tower, view northwest, south side Ram Island ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    3. Light tower, view northwest, south side - Ram Island Light Station, Ram Island, south of Ocean Point & just north of Fisherman Island, marking south side of Fisherman Island Passage, Ocean Point, Lincoln County, ME

  4. Evaluation of Recent Technologies of Nonvolatile RAM

    NASA Astrophysics Data System (ADS)

    Nuns, Thierry; Duzellier, Sophie; Bertrand, Jean; Hubert, Guillaume; Pouget, Vincent; Darracq, FrÉdÉric; David, Jean-Pierre; Soonckindt, Sabine

    2008-08-01

    Two types of recent nonvolatile random access memories (NVRAM) were evaluated for radiation effects: total dose and single event upset and latch-up under heavy ions and protons. Complementary irradiation with a laser beam provides information on sensitive areas of the devices.

  5. Resistive RAMs as analog trimming elements

    NASA Astrophysics Data System (ADS)

    Aziza, H.; Perez, A.; Portal, J. M.

    2018-04-01

    This work investigates the use of Resistive Random Access Memory (RRAM) as an analog trimming device. The analog storage feature of the RRAM cell is evaluated and the ability of the RRAM to hold several resistance states is exploited to propose analog trim elements. To modulate the memory cell resistance, a series of short programming pulses are applied across the RRAM cell allowing a fine calibration of the RRAM resistance. The RRAM non volatility feature makes the analog device powers up already calibrated for the system in which the analog trimmed structure is embedded. To validate the concept, a test structure consisting of a voltage reference is evaluated.

  6. A Hardware Platform for Characterizing and Validating 1-Dimensional Optical Systems

    DTIC Science & Technology

    2014-09-01

    principle laboratory experiments, a bread -board sensor and data collection system was created to gather fuze data to postprocess after the event...merely differentiates this bistable memory category from dynamic random access memory [RAM], which must be periodically refreshed to retain data.) A

  7. mRNA Cap Methyltransferase, RNMT-RAM, Promotes RNA Pol II-Dependent Transcription.

    PubMed

    Varshney, Dhaval; Lombardi, Olivia; Schweikert, Gabriele; Dunn, Sianadh; Suska, Olga; Cowling, Victoria H

    2018-05-01

    mRNA cap addition occurs early during RNA Pol II-dependent transcription, facilitating pre-mRNA processing and translation. We report that the mammalian mRNA cap methyltransferase, RNMT-RAM, promotes RNA Pol II transcription independent of mRNA capping and translation. In cells, sublethal suppression of RNMT-RAM reduces RNA Pol II occupancy, net mRNA synthesis, and pre-mRNA levels. Conversely, expression of RNMT-RAM increases transcription independent of cap methyltransferase activity. In isolated nuclei, recombinant RNMT-RAM stimulates transcriptional output; this requires the RAM RNA binding domain. RNMT-RAM interacts with nascent transcripts along their entire length and with transcription-associated factors including the RNA Pol II subunits SPT4, SPT6, and PAFc. Suppression of RNMT-RAM inhibits transcriptional markers including histone H2BK120 ubiquitination, H3K4 and H3K36 methylation, RNA Pol II CTD S5 and S2 phosphorylation, and PAFc recruitment. These findings suggest that multiple interactions among RNMT-RAM, RNA Pol II factors, and RNA along the transcription unit stimulate transcription. Copyright © 2018 The Author(s). Published by Elsevier Inc. All rights reserved.

  8. Ram Pressure Stripping: Observations Meet Simulations

    NASA Astrophysics Data System (ADS)

    Past, Matthew; Ruszkowski, Mateusz; Sharon, Keren

    2017-01-01

    Ram pressure stripping occurs when a galaxy falls into the potential well of a cluster, removing gas and dust as the galaxy travels through the intracluster medium. This interaction leads to filamentary gas tails stretching behind the galaxy and plays an important role in galaxy evolution. Previously, these “jellyfish” galaxies had only been observed in nearby clusters, but recently, higher redshift (z > 0.3) examples have been found from HST data imaging.Recent work has shown that cosmic rays injected by supernovae can cause galactic disks to thicken due to cosmic ray pressure. We run three-dimensional magneto-hydrodynamical simulations of ram pressure stripping including cosmic rays to compare to previous models. We study how the efficiency of the ram pressure stripping of the gas, and the morphology of the filamentary tails, depend on the magnitude of the cosmic ray pressure support. We generate mock X-ray images and radio polarization data. Simultaneously, we perform an exhaustive search of the HST archive to increase the sample of jellyfish galaxies and compare selected cases to simulations.

  9. Characterization of Bi and Fe co-doped PZT capacitors for FeRAM.

    PubMed

    Cross, Jeffrey S; Kim, Seung-Hyun; Wada, Satoshi; Chatterjee, Abhijit

    2010-08-01

    Ferroelectric random access memory (FeRAM) has been in mass production for over 15 years. Higher polarization ferroelectric materials are needed for future devices which can operate above about 100 °C. With this goal in mind, co-doping of thin Pb(Zr 40 ,Ti 60 )O 3 (PZT) films with 1 at.% Bi and 1 at.% Fe was examined in order to enhance the ferroelectric properties as well as characterize the doped material. The XRD patterns of PZT-5% BiFeO 3 (BF) and PZT 140-nm thick films showed (111) orientation on (111) platinized Si wafers and a 30 °C increase in the tetragonal to cubic phase transition temperature, often called the Curie temperature, from 350 to 380 °C with co-doping, indicating that Bi and Fe are substituting into the PZT lattice. Raman spectra revealed decreased band intensity with Bi and Fe co-doping of PZT compared to PZT. Polarization hysteresis loops show similar values of remanent polarization, but square-shaped voltage pulse-measured net polarization values of PZT-BF were higher and showed higher endurance to repeated cycling up to 10 10 cycles. It is proposed that Bi and Fe are both in the +3 oxidation state and substituting into the perovskite A and B sites, respectively. Substitution of Bi and Fe into the PZT lattice likely creates defect dipoles, which increase the net polarization when measured by the short voltage pulse positive-up-negative-down (PUND) method.

  10. Characterization of Bi and Fe co-doped PZT capacitors for FeRAM

    PubMed Central

    Cross, Jeffrey S; Kim, Seung-Hyun; Wada, Satoshi; Chatterjee, Abhijit

    2010-01-01

    Ferroelectric random access memory (FeRAM) has been in mass production for over 15 years. Higher polarization ferroelectric materials are needed for future devices which can operate above about 100 °C. With this goal in mind, co-doping of thin Pb(Zr40,Ti60)O3 (PZT) films with 1 at.% Bi and 1 at.% Fe was examined in order to enhance the ferroelectric properties as well as characterize the doped material. The XRD patterns of PZT-5% BiFeO3 (BF) and PZT 140-nm thick films showed (111) orientation on (111) platinized Si wafers and a 30 °C increase in the tetragonal to cubic phase transition temperature, often called the Curie temperature, from 350 to 380 °C with co-doping, indicating that Bi and Fe are substituting into the PZT lattice. Raman spectra revealed decreased band intensity with Bi and Fe co-doping of PZT compared to PZT. Polarization hysteresis loops show similar values of remanent polarization, but square-shaped voltage pulse-measured net polarization values of PZT-BF were higher and showed higher endurance to repeated cycling up to 1010 cycles. It is proposed that Bi and Fe are both in the +3 oxidation state and substituting into the perovskite A and B sites, respectively. Substitution of Bi and Fe into the PZT lattice likely creates defect dipoles, which increase the net polarization when measured by the short voltage pulse positive-up-negative-down (PUND) method. PMID:27877349

  11. The differential effects of ecstasy/polydrug use on executive components: shifting, inhibition, updating and access to semantic memory.

    PubMed

    Montgomery, Catharine; Fisk, John E; Newcombe, Russell; Murphy, Phillip N

    2005-10-01

    Recent theoretical models suggest that the central executive may not be a unified structure. The present study explored the nature of central executive deficits in ecstasy users. In study 1, 27 ecstasy users and 34 non-users were assessed using tasks to tap memory updating (computation span; letter updating) and access to long-term memory (a semantic fluency test and the Chicago Word Fluency Test). In study 2, 51 ecstasy users and 42 non-users completed tasks that assess mental set switching (number/letter and plus/minus) and inhibition (random letter generation). MANOVA revealed that ecstasy users performed worse on both tasks used to assess memory updating and on tasks to assess access to long-term memory (C- and S-letter fluency). However, notwithstanding the significant ecstasy group-related effects, indices of cocaine and cannabis use were also significantly correlated with most of the executive measures. Unexpectedly, in study 2, ecstasy users performed significantly better on the inhibition task, producing more letters than non-users. No group differences were observed on the switching tasks. Correlations between indices of ecstasy use and number of letters produced were significant. The present study provides further support for ecstasy/polydrug-related deficits in memory updating and in access to long-term memory. The surplus evident on the inhibition task should be treated with some caution, as this was limited to a single measure and has not been supported by our previous work.

  12. Projectile Combustion Effects on Ram Accelerator Performance

    NASA Astrophysics Data System (ADS)

    Chitale, Saarth Anjali

    University of Washington Abstract Projectile Combustion Effects on Ram Accelerator Performance Saarth Anjali Chitale Chair of the Supervisory Committee: Prof. Carl Knowlen William E. Boeing Department of Aeronautics and Astronautics The ram accelerator facility at the University of Washington is used to propel projectiles at supersonic velocities. This concept is similar to an air-breathing ramjet engine in that sub-caliber projectiles, shaped like the ramjet engine center-body, are shot through smooth-bore steel-walled tubes having an internal diameter of 38 mm. The ram accelerator propulsive cycles operate between Mach 2 to 10 and have the potential to accelerate projectile to velocities greater than 8 km/s. The theoretical thrust versus Mach number characteristics can be obtained using knowledge of gas dynamics and thermodynamics that goes into the design of the ram accelerator. The corresponding velocity versus distance profiles obtained from the test runs at the University of Washington, however, are often not consistent with the theoretical predictions after the projectiles reach in-tube Mach numbers greater than 4. The experimental velocities are typically greater than the expected theoretical predictions; which has led to the proposition that the combustion process may be moving up onto the projectile. An alternative explanation for higher than predicted thrust, which is explored here, is that the performance differences can be attributed to the ablation of the projectile body which results in molten metal being added to the flow of the gaseous combustible mixture around the projectile. This molten metal is assumed to mix uniformly and react with the gaseous propellant; thereby enhancing the propellant energy release and altering the predicted thrust-Mach characteristics. This theory predicts at what Mach number the projectile will first experience enhanced thrust and the corresponding velocity-distance profile. Preliminary results are in good agreement

  13. [Artificial intelligence meeting neuropsychology. Semantic memory in normal and pathological aging].

    PubMed

    Aimé, Xavier; Charlet, Jean; Maillet, Didier; Belin, Catherine

    2015-03-01

    Artificial intelligence (IA) is the subject of much research, but also many fantasies. It aims to reproduce human intelligence in its learning capacity, knowledge storage and computation. In 2014, the Defense Advanced Research Projects Agency (DARPA) started the restoring active memory (RAM) program that attempt to develop implantable technology to bridge gaps in the injured brain and restore normal memory function to people with memory loss caused by injury or disease. In another IA's field, computational ontologies (a formal and shared conceptualization) try to model knowledge in order to represent a structured and unambiguous meaning of the concepts of a target domain. The aim of these structures is to ensure a consensual understanding of their meaning and a univariant use (the same concept is used by all to categorize the same individuals). The first representations of knowledge in the AI's domain are largely based on model tests of semantic memory. This one, as a component of long-term memory is the memory of words, ideas, concepts. It is the only declarative memory system that resists so remarkably to the effects of age. In contrast, non-specific cognitive changes may decrease the performance of elderly in various events and instead report difficulties of access to semantic representations that affect the semantics stock itself. Some dementias, like semantic dementia and Alzheimer's disease, are linked to alteration of semantic memory. We propose in this paper, using the computational ontologies model, a formal and relatively thin modeling, in the service of neuropsychology: 1) for the practitioner with decision support systems, 2) for the patient as cognitive prosthesis outsourced, and 3) for the researcher to study semantic memory.

  14. Dual operation characteristics of resistance random access memory in indium-gallium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Chun; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.

    2014-04-01

    In this study, indium-gallium-zinc-oxide thin film transistors can be operated either as transistors or resistance random access memory devices. Before the forming process, current-voltage curve transfer characteristics are observed, and resistance switching characteristics are measured after a forming process. These resistance switching characteristics exhibit two behaviors, and are dominated by different mechanisms. The mode 1 resistance switching behavior is due to oxygen vacancies, while mode 2 is dominated by the formation of an oxygen-rich layer. Furthermore, an easy approach is proposed to reduce power consumption when using these resistance random access memory devices with the amorphous indium-gallium-zinc-oxide thin film transistor.

  15. Influence of age on cognition and scopolamine induced memory impairment in rats measured in the radial maze paradigm.

    PubMed

    Appenroth, Dorothea; Fleck, Christian

    2010-01-01

    The influence of age on (1) cognition and (2) scopolamine (CAS 51-34-3) induced memory impairment in female rats was measured in the radial maze paradigm (RAM). (1) First training trials were done with 3 and 12 months old rats. Rats were trained to find all eight food baits in the RAM without errors and within 1 min. Both 3- and 12-month old rats need about 15 trials for the first-time learning of the RAM task. After intervals of 3 6 months, respectively, initially young rats were re-trained with an age of 6 and 12 months. Surprisingly, re-trained rats successfully completed the maze runs already after one re-training trial. Thus the phenomenon of preserved spatial memory was approved for female rats. (2) Memory impairment by scopolamine in the RAM was tested for the time in rats with an age of 3 months. first rats with thesame After a control run,the rats received an i.p. injection of either scopolamine hydrochloride (0.05 mg/100 g b. wt.) or saline vehicle. The effect of scopolamine on working memory was measured 20 min after administration. Training procedure and scopolamine administration were repeated at an age of 6, 12, 18, and 24 months in the same manner. The cognition impairment after scopolamine (number of errors: control: <1; scopolamine: 5-6) remains constant between 3 and 24 months of age. The only significant difference was the increase in run time in rats older than 18 months caused by degenerative changes developing with age.

  16. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    DOEpatents

    Blocksome, Michael A.; Mamidala, Amith R.

    2013-09-03

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  17. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    DOEpatents

    Blocksome, Michael A; Mamidala, Amith R

    2014-02-11

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  18. The dynamics of access to groups in working memory.

    PubMed

    Farrell, Simon; Lelièvre, Anna

    2012-11-01

    The finding that participants leave a pause between groups when attempting serial recall of temporally grouped lists has been taken to indicate access to a hierarchical representation of the list in working memory. An alternative explanation is that the dynamics of serial recall solely reflect output (rather than memorial) processes, with the temporal pattern at input merely suggesting a basis for the pattern of output buffering. Three experiments are presented here that disentangle input structure from output buffering in serial recall. In Experiment 1, participants were asked to recall a subset of visually presented digits from a temporally grouped list in their original order, where either within-group position or group position was kept constant. In Experiment 2, participants performed more standard serial recall of spoken digits, and input and output position were dissociated by asking participants to initiate recall from a post-cued position in the list. In Experiment 3, participants were asked to serially recall temporally grouped lists of visually presented digits where the grouping structure was unpredictable, under either articulatory suppression or silent conditions. The 3 experiments point to a tight linkage between implied memorial structures (i.e., the pattern of grouping at encoding) and the output structure implied by retrieval times and call into question a purely motoric account of the dynamics of recall.

  19. Making Physical Activity Accessible to Older Adults with Memory Loss: A Feasibility Study

    ERIC Educational Resources Information Center

    Logsdon, Rebecca G.; McCurry, Susan M.; Pike, Kenneth C.; Teri, Linda

    2009-01-01

    Purpose: For individuals with mild cognitive impairment (MCI), memory loss may prevent successful engagement in exercise, a key factor in preventing additional disability. The Resources and Activities for Life Long Independence (RALLI) program uses behavioral principles to make exercise more accessible for these individuals. Exercises are broken…

  20. Immigration, Language Proficiency, and Autobiographical Memories: Lifespan Distribution and Second-Language Access

    PubMed Central

    Esposito, Alena G.; Baker-Ward, Lynne

    2015-01-01

    This investigation examined two controversies in the autobiographical literature: how cross-language immigration affects the distribution of autobiographical memories across the lifespan and under what circumstances language-dependent recall is observed. Both Spanish/English bilingual immigrants and English monolingual non-immigrants participated in a cue word study, with the bilingual sample taking part in a within-subject language manipulation. The expected bump in the number of memories from early life was observed for non-immigrants but not immigrants, who reported more memories for events surrounding immigration. Aspects of the methodology addressed possible reasons for past discrepant findings. Language-dependent recall was influenced by second-language proficiency. Results were interpreted as evidence that bilinguals with high second-language proficiency, in contrast to those with lower second-language proficiency, access a single conceptual store through either language. The final multi-level model predicting language-dependent recall, including second-language proficiency, age of immigration, internal language, and cue word language, explained ¾ of the between-person variance and ⅕ of the within-person variance. We arrive at two conclusions. First, major life transitions influence the distribution of memories. Second, concept representation across multiple languages follows a developmental model. In addition, the results underscore the importance of considering language experience in research involving memory reports. PMID:26274061

  1. Immigration, language proficiency, and autobiographical memories: Lifespan distribution and second-language access.

    PubMed

    Esposito, Alena G; Baker-Ward, Lynne

    2016-08-01

    This investigation examined two controversies in the autobiographical literature: how cross-language immigration affects the distribution of autobiographical memories across the lifespan and under what circumstances language-dependent recall is observed. Both Spanish/English bilingual immigrants and English monolingual non-immigrants participated in a cue word study, with the bilingual sample taking part in a within-subject language manipulation. The expected bump in the number of memories from early life was observed for non-immigrants but not immigrants, who reported more memories for events surrounding immigration. Aspects of the methodology addressed possible reasons for past discrepant findings. Language-dependent recall was influenced by second-language proficiency. Results were interpreted as evidence that bilinguals with high second-language proficiency, in contrast to those with lower second-language proficiency, access a single conceptual store through either language. The final multi-level model predicting language-dependent recall, including second-language proficiency, age of immigration, internal language, and cue word language, explained ¾ of the between-person variance and (1)/5 of the within-person variance. We arrive at two conclusions. First, major life transitions influence the distribution of memories. Second, concept representation across multiple languages follows a developmental model. In addition, the results underscore the importance of considering language experience in research involving memory reports.

  2. Constraints on Access: Costs and Benefits (Spontaneous Memory for Relevant Experiences)

    DTIC Science & Technology

    1989-05-01

    F. I. M. Craik (Eds.), Levels of processing and human memory. Hillsdale, NJ: Erlbaum. Dewey, J. (1963). How we think. Portions published in R. M...transfer. Pictures (vs. words) and levels of processing and elaborative encoding manipulations are shown to affect directed access but are found to have...includes most 5 6 list-learning experiments, research on schema/script abstraction, and studies of remembering which might manipulate levels of processing

  3. Electrical Evaluation of RCA MWS5501D Random Access Memory, Volume 2, Appendix a

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    The electrical characterization and qualification test results are presented for the RCA MWS5001D random access memory. The tests included functional tests, AC and DC parametric tests, AC parametric worst-case pattern selection test, determination of worst-case transition for setup and hold times, and a series of schmoo plots. The address access time, address readout time, the data hold time, and the data setup time are some of the results surveyed.

  4. Memory Forensics: Review of Acquisition and Analysis Techniques

    DTIC Science & Technology

    2013-11-01

    Management Overview Processes running on modern multitasking operating systems operate on an abstraction of RAM, called virtual memory [7]. In these systems...information such as user names, email addresses and passwords [7]. Analysts also use tools such as WinHex to identify headers or other suspicious data within

  5. Ga-doped indium oxide nanowire phase change random access memory cells

    NASA Astrophysics Data System (ADS)

    Jin, Bo; Lim, Taekyung; Ju, Sanghyun; Latypov, Marat I.; Kim, Hyoung Seop; Meyyappan, M.; Lee, Jeong-Soo

    2014-02-01

    Phase change random access memory (PCRAM) devices are usually constructed using tellurium based compounds, but efforts to seek other materials providing desirable memory characteristics have continued. We have fabricated PCRAM devices using Ga-doped In2O3 nanowires with three different Ga compositions (Ga/(In+Ga) atomic ratio: 2.1%, 11.5% and 13.0%), and investigated their phase switching properties. The nanowires (˜40 nm in diameter) can be repeatedly switched between crystalline and amorphous phases, and Ga concentration-dependent memory switching behavior in the nanowires was observed with ultra-fast set/reset rates of 80 ns/20 ns, which are faster than for other competitive phase change materials. The observations of fast set/reset rates and two distinct states with a difference in resistance of two to three orders of magnitude appear promising for nonvolatile information storage. Moreover, we found that increasing the Ga concentration can reduce the power consumption and resistance drift; however, too high a level of Ga doping may cause difficulty in achieving the phase transition.

  6. Fabrication of one-transistor-capacitor structure of nonvolatile TFT ferroelectric RAM devices using Ba(Zr0.1Ti0.9)O3 gated oxide film.

    PubMed

    Yang, Cheng-Fu; Chen, Kai-Huang; Chen, Ying-Chung; Chang, Ting-Chang

    2007-09-01

    In this study, the Ba(Zr0.1Ti0.9)O3 (BZ1T9) thin films have been well deposited on the Pt/Ti/SiO2/Si substrate. The optimum radio frequency (RF) deposition parameters are developed, and the BZ1T9 thin films deposition at the optimum parameters have the maximum capacitance and dielectric constant of 4.4 nF and 190. As the applied voltage is increased to 8 V, the remnant polarization and coercive field of BZ1T9 thin films are about 4.5 microC/cm2 and 80 kV/cm. The counterclockwise current hysteresis and memory window of n-channel thin-film transistor property are observed, and that can be used to indicate the switching of ferroelectric polarization of BZ1T9 thin films. One-transistor-capacitor (1TC) structure of BZ1T9 ferroelectric random access memory device using bottom-gate amorphous silicon thin-film transistor was desirable because of the smaller size and better sensitivity. The BZ1T9 ferroelectric RAM devices with channel width = 40 microm and channel length = 8 microm has been successfully fabricated and the ID-VG transfer characteristics also are investigated in this study.

  7. Prenatal programming of sexual partner preference: the ram model.

    PubMed

    Roselli, C E; Stormshak, F

    2009-03-01

    In our laboratory, the domestic ram is used as an experimental model to study the early programming of neural mechanisms underlying same-sex partner preference. This interest developed from the observation that approximately 8% of domestic rams are sexually attracted to other rams (male-oriented) in contrast to the majority of rams that are attracted to oestrous ewes (female-oriented). One prominent feature of sexual differentiation in many species is the presence of a sexually dimorphic nucleus (SDN) in the preoptic/anterior hypothalamus that is larger in males than in females. Lesion studies in rats and ferrets implicate the SDN in the expression of sexual preferences. We discovered an ovine SDN (oSDN) in the preoptic/anterior hypothalamus that is smaller in male- than in female-oriented rams and similar in size to the oSDN of ewes. Neurones of the oSDN show abundant aromatase expression that is also reduced in male-oriented compared to female-oriented rams. This observation suggests that sexual partner preferences are neurologically hard-wired and could be influenced by hormones. Aromatase-containing neurones constitute a nascent oSDN as early as day 60 of gestation, which becomes sexually dimorphic by day 135 of gestation when it is two-fold larger in males than in females. Exposure of fetal female lambs to exogenous testosterone from days 30-90 of gestation resulted in a masculinised oSDN. These data demonstrate that the oSDN develops prenatally and may influence adult sexual preferences. Surprisingly, inhibition of aromatase activity in the brain of ram foetuses during the critical period did not interfere with defeminisation of adult sexual partner preference or oSDN volume. These results fail to support an essential role for neural aromatase in the sexual differentiation of sheep brain and behaviour. Thus, we propose that oSDN morphology and male-typical partner preferences may instead be programmed through an androgen receptor mechanism not involving

  8. Encoding and Retrieval Processes Involved in the Access of Source Information in the Absence of Item Memory

    ERIC Educational Resources Information Center

    Ball, B. Hunter; DeWitt, Michael R.; Knight, Justin B.; Hicks, Jason L.

    2014-01-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were "related" to the target item but never actually studied.…

  9. Operation of polycarbonate projectiles in the ram accelerator

    NASA Astrophysics Data System (ADS)

    Elder, Timothy

    The ram accelerator is a hypervelocity launcher with direct space launch applications in which a sub-caliber projectile, analogous to the center-body of a ramjet engine, flies through fuel and oxidizer that have been premixed in a tube. Shock interactions in the tube ignite the propellant upon entrance of the projectile and the combustion travels with it, creating thrust on the projectile by stabilizing a high pressure region of gas behind it. Conventional ram accelerator projectiles consist of aluminum, magnesium, or titanium nosecones and bodies. An experimental program has been undertaken to determine the performance of polycarbonate projectiles in ram accelerator operation. Experimentation using polycarbonate projectiles has been divided into two series: determining the lower limit for starting velocity (i.e., less than 1100 m/s) and investigating the upper velocity limit. To investigate the influence of body length and starting velocity, a newly developed "combustion gun" was used to launch projectiles to their initial velocities. The combustion gun uses 3-6 m of ram accelerator test section as a breech and 4-6 m of the ram accelerator test section as a launch tube. A fuel-oxidizer mix is combusted in the breech using a spark plug or electric match and bursts a diaphragm, accelerating the ram projectile to its entrance velocity. The combustion gun can be operated at modest fill pressures (20 bar) but can only launch to relatively low velocities (approximately 1000 m/s) without destroying the projectile and obturator upon launch. Projectiles were successfully started at entrance velocities as low as 810 m/s and projectile body lengths as long as 91 mm were used. The tests investigating the upper Mach number limits of polycarbonate projectiles used the conventional single-stage light-gas gun because of its ability to reach higher velocities with a lower acceleration launch. It was determined that polycarbonate projectiles have an upper velocity limit in the

  10. ViSA: a neurodynamic model for visuo-spatial working memory, attentional blink, and conscious access.

    PubMed

    Simione, Luca; Raffone, Antonino; Wolters, Gezinus; Salmas, Paola; Nakatani, Chie; Belardinelli, Marta Olivetti; van Leeuwen, Cees

    2012-10-01

    Two separate lines of study have clarified the role of selectivity in conscious access to visual information. Both involve presenting multiple targets and distracters: one simultaneously in a spatially distributed fashion, the other sequentially at a single location. To understand their findings in a unified framework, we propose a neurodynamic model for Visual Selection and Awareness (ViSA). ViSA supports the view that neural representations for conscious access and visuo-spatial working memory are globally distributed and are based on recurrent interactions between perceptual and access control processors. Its flexible global workspace mechanisms enable a unitary account of a broad range of effects: It accounts for the limited storage capacity of visuo-spatial working memory, attentional cueing, and efficient selection with multi-object displays, as well as for the attentional blink and associated sparing and masking effects. In particular, the speed of consolidation for storage in visuo-spatial working memory in ViSA is not fixed but depends adaptively on the input and recurrent signaling. Slowing down of consolidation due to weak bottom-up and recurrent input as a result of brief presentation and masking leads to the attentional blink. Thus, ViSA goes beyond earlier 2-stage and neuronal global workspace accounts of conscious processing limitations. PsycINFO Database Record (c) 2012 APA, all rights reserved.

  11. Determination of fatty acid profile in ram spermatozoa and seminal plasma.

    PubMed

    Díaz, R; Torres, M A; Bravo, S; Sanchez, R; Sepúlveda, N

    2016-08-01

    Fatty acids are important in male reproductive function because they are associated with membrane fluidity, acrosome reaction, sperm motility and viability, but limited information exists about the fatty acid profile of ram semen. Our aim was to determine the fatty acid composition in ram spermatozoa and seminal plasma. Sixty ejaculates were obtained from three ram (20 ejaculates/ram) using artificial vagina. Ram spermatozoa (RS) and seminal plasma (SP) were separated using centrifugation, and the fatty acids were analysed by gas chromatography. Total lipids obtained in ram spermatozoa were 1.8% and 1.6% in seminal plasma. Saturated fatty acid (SFA) was proportionally major in SP (66.6%) that RS (49.9%). The highest proportions of SFA corresponded to C4:0 (RS = 16.3% and SP = 28.8%) and C16:0 (RS = 16.3% and PS = 20%). The most important unsaturated fatty acid (UFA) was docosahexaenoic acid (DHA), 44.9% in RS and 31.5% in SP. The profile of fatty acid and their proportions showed differences between spermatozoa and seminal plasma. © 2015 Blackwell Verlag GmbH.

  12. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blocksome, Michael A.; Mamidala, Amith R.

    2013-09-03

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segmentmore » of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.« less

  13. Deciding with the eye: how the visually manipulated accessibility of information in memory influences decision behavior.

    PubMed

    Platzer, Christine; Bröder, Arndt; Heck, Daniel W

    2014-05-01

    Decision situations are typically characterized by uncertainty: Individuals do not know the values of different options on a criterion dimension. For example, consumers do not know which is the healthiest of several products. To make a decision, individuals can use information about cues that are probabilistically related to the criterion dimension, such as sugar content or the concentration of natural vitamins. In two experiments, we investigated how the accessibility of cue information in memory affects which decision strategy individuals rely on. The accessibility of cue information was manipulated by means of a newly developed paradigm, the spatial-memory-cueing paradigm, which is based on a combination of the looking-at-nothing phenomenon and the spatial-cueing paradigm. The results indicated that people use different decision strategies, depending on the validity of easily accessible information. If the easily accessible information is valid, people stop information search and decide according to a simple take-the-best heuristic. If, however, information that comes to mind easily has a low predictive validity, people are more likely to integrate all available cue information in a compensatory manner.

  14. Pressure Characteristics of a Diffuser in a Ram RDE Propulsive Device

    DTIC Science & Technology

    2017-07-21

    Continuous detonation Rotating-detonation- engine Ethylene-air Diffuser Pressure feedback Modeling and simulation Office of Naval Research 875 N. Randolph...RDE PROPULSIVE DEVICE INTRODUCTION This report focuses on the diffuser of a ram Rotating Detonation Engine (RDE) device. A ram RDE is a ramjet with...the constant pressure combustion chamber replaced with a Rotating Detonation Engine combustor to accomplish pressure gain combustion. A ram engine

  15. Nonvolatile random access memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan (Inventor); Stadler, Henry L. (Inventor); Katti, Romney R. (Inventor)

    1994-01-01

    A nonvolatile magnetic random access memory can be achieved by an array of magnet-Hall effect (M-H) elements. The storage function is realized with a rectangular thin-film ferromagnetic material having an in-plane, uniaxial anisotropy and inplane bipolar remanent magnetization states. The thin-film magnetic element is magnetized by a local applied field, whose direction is used to form either a 0 or 1 state. The element remains in the 0 or 1 state until a switching field is applied to change its state. The stored information is detcted by a Hall-effect sensor which senses the fringing field from the magnetic storage element. The circuit design for addressing each cell includes transistor switches for providing a current of selected polarity to store a binary digit through a separate conductor overlying the magnetic element of the cell. To read out a stored binary digit, transistor switches are employed to provide a current through a row of Hall-effect sensors connected in series and enabling a differential voltage amplifier connected to all Hall-effect sensors of a column in series. To avoid read-out voltage errors due to shunt currents through resistive loads of the Hall-effect sensors of other cells in the same column, at least one transistor switch is provided between every pair of adjacent cells in every row which are not turned on except in the row of the selected cell.

  16. Spin-transfer torque switched magnetic tunnel junctions in magnetic random access memory

    NASA Astrophysics Data System (ADS)

    Sun, Jonathan Z.

    2016-10-01

    Spin-transfer torque (or spin-torque, or STT) based magnetic tunnel junction (MTJ) is at the heart of a new generation of magnetism-based solid-state memory, the so-called spin-transfer-torque magnetic random access memory, or STT-MRAM. Over the past decades, STT-based switchable magnetic tunnel junction has seen progress on many fronts, including the discovery of (001) MgO as the most favored tunnel barrier, which together with (bcc) Fe or FeCo alloy are yielding best demonstrated tunnel magneto-resistance (TMR); the development of perpendicularly magnetized ultrathin CoFeB-type of thin films sufficient to support high density memories with junction sizes demonstrated down to 11nm in diameter; and record-low spin-torque switching threshold current, giving best reported switching efficiency over 5 kBT/μA. Here we review the basic device properties focusing on the perpendicularly magnetized MTJs, both in terms of switching efficiency as measured by sub-threshold, quasi-static methods, and of switching speed at super-threshold, forced switching. We focus on device behaviors important for memory applications that are rooted in fundamental device physics, which highlights the trade-off of device parameters for best suitable system integration.

  17. Preference of redear sunfish on zebra mussels and rams-horn snails

    USGS Publications Warehouse

    French, John R. P.; Morgan, Michael N.

    1995-01-01

    We tested prey preferences of adult (200- to 222-mm long) redear sunfish (Lepomis microlophus) on two size classes of zebra mussels (Dreissena polymorpha) and two-ridge rams-horns (Helisoma anceps) in experimental aquaria. We also tested physical limitations on consuming these mollusks and determined prey bioenergetic profitability. Redear sunfish strongly preferred rams-horns over zebra mussels, but they displayed no size preference for either prey. Ingestion was not physically limited since both prey species up to 15-mm long fit within the pharyngeal gapes of redear sunfish. Rams-horns were more bioenergetically profitable than zebra mussels and ingestion of rams-horn shell fragments was about three times less than zebra mussels. Rams-horns were somewhat more resistant to shell-crushing, but all size ranges of both prey species tested were crushable by redear sunfish. These studies suggested that the redear sunfish should not be considered a panacea for biological control of zebra mussels.

  18. Working memory capacity and controlled serial memory search.

    PubMed

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. The Neurobiology of Sexual Partner Preferences in Rams

    PubMed Central

    Roselli, Charles E.; Stormshak, Fred

    2009-01-01

    The question of what causes a male animal to seek out and choose a female as opposed to another male mating partner is unresolved and remains an issue of considerable debate. The most developed biologic theory is the perinatal organizational hypothesis, which states that perinatal hormone exposure mediates sexual differentiation of the brain. Numerous animal experiments have assessed the contribution of perinatal testosterone and/or estradiol exposure to the development of a male-typical mate preference, but almost all have used hormonally manipulated animals. In contrast, variations in sexual partner preferences occur spontaneously in domestic rams, with as many as 8% of the population exhibiting a preference for same-sex mating partners (male-oriented rams). Thus, the domestic ram is an excellent experimental model to study possible links between fetal neuroendocrine programming of neural mechanisms and adult sexual partner preferences. In this review, we present an overview of sexual differentiation in relation to sexual partner preferences. We then summarize results that test the relevance of the organizational hypothesis to expression of same-sex sexual partner preferences in rams. Finally, we demonstrate that the sexual differentiation of brain and behavior in sheep do not depend critically on aromatization of testosterone to estradiol. PMID:19446078

  20. The neurobiology of sexual partner preferences in rams.

    PubMed

    Roselli, Charles E; Stormshak, Fred

    2009-05-01

    The question of what causes a male animal to seek out and choose a female as opposed to another male mating partner is unresolved and remains an issue of considerable debate. The most developed biologic theory is the perinatal organizational hypothesis, which states that perinatal hormone exposure mediates sexual differentiation of the brain. Numerous animal experiments have assessed the contribution of perinatal testosterone and/or estradiol exposure to the development of a male-typical mate preference, but almost all have used hormonally manipulated animals. In contrast, variations in sexual partner preferences occur spontaneously in domestic rams, with as many as 8% of the population exhibiting a preference for same-sex mating partners (male-oriented rams). Thus, the domestic ram is an excellent experimental model to study possible links between fetal neuroendocrine programming of neural mechanisms and adult sexual partner preferences. In this review, we present an overview of sexual differentiation in relation to sexual partner preferences. We then summarize results that test the relevance of the organizational hypothesis to expression of same-sex sexual partner preferences in rams. Finally, we demonstrate that the sexual differentiation of brain and behavior in sheep does not depend critically on aromatization of testosterone to estradiol.

  1. Feasibility and Effectiveness of Memory Specificity Training in Depressed Outpatients: A Pilot Study.

    PubMed

    Eigenhuis, Eline; Seldenrijk, Adrie; van Schaik, Anneke; Raes, Filip; van Oppen, Patricia

    2017-01-01

    Research has shown that depressed patients suffer from reduced autobiographical memory specificity (rAMS). This cognitive phenomenon is associated with the maintenance and recurrence of depressive symptoms. This pilot study aims to investigate the feasibility and effectiveness of a relatively new group-based intervention (Memory Specificity Training; MeST) that aims to reduce rAMS in an outpatient setting. Twenty-six depressed outpatients received MeST during the waiting period prior to psychotherapy. The Client Satisfaction Questionnaire (CSQ-8) was used to measure client satisfaction after the training. The Autobiographical Memory Test (AMT) was used to measure memory specificity before and after the training. Depressive symptoms were measured using the Beck Depression Inventory (BDI-II) and the Montgomery Asberg Depression Rating Scale (MADRS), before and after the training, and at a 3-month follow-up. Participants as well as trainers were positive about the use of MeST. Participants also showed an increase in memory specificity and a decrease in depressive symptoms. This study suggests that MeST is feasible in an outpatient setting, that it increases autobiographical memory specificity and that it may decrease depressive symptoms. A randomized controlled trial is recommended to examine MeST and its effects on autobiographical memory specificity, depressive symptoms and depressive relapse more extensively. Copyright © 2015 John Wiley & Sons, Ltd. Key Practitioner Message: Research suggests that modification of rAMS can advance recovery and reduce the chance of developing a depression relapse. However, most existing psychotherapies for depression do not include these specific interventions. This is the first study to show that MeST in an outpatient setting is feasible and can lead to an increase in autobiographical memory specificity and that it may decrease depressive symptoms. A larger scale randomized controlled trial is required to examine whether the

  2. Solar Particle Induced Upsets in the TDRS-1 Attitude Control System RAM During the October 1989 Solar Particle Events

    NASA Technical Reports Server (NTRS)

    Croley, D. R.; Garrett, H. B.; Murphy, G. B.; Garrard,T. L.

    1995-01-01

    The three large solar particle events, beginning on October 19, 1989 and lasting approximately six days, were characterized by high fluences of solar protons and heavy ions at 1 AU. During these events, an abnormally large number of upsets (243) were observed in the random access memory of the attitude control system (ACS) control processing electronics (CPE) on-board the geosynchronous TDRS-1 (Telemetry and Data Relay Satellite). The RAM unit affected was composed of eight Fairchild 93L422 memory chips. The Galileo spacecraft, launched on October 18, 1989 (one day prior to the solar particle events) observed the fluxes of heavy ions experienced by TDRS-1. Two solid-state detector telescopes on-board Galileo, designed to measure heavy ion species and energy, were turned on during time periods within each of the three separate events. The heavy ion data have been modeled and the time history of the events reconstructed to estimate heavy ion fluences. These fluences were converted to effective LET spectra after transport through the estimated shielding distribution around the TDRS-1 ACS system. The number of single event upsets (SEU) expected was calculated by integrating the measured cross section for the Fairchild 93L422 memory chip with average effective LET spectrum. The expected number of heavy ion induced SEU's calculated was 176. GOES-7 proton data, observed during the solar particle events, were used to estimate the number of proton-induced SEU's by integrating the proton fluence spectrum incident on the memory chips, with the two-parameter Bendel cross section for proton SEU'S. The proton fluence spectrum at the device level was gotten by transporting the protons through the estimated shielding distribution. The number of calculated proton-induced SEU's was 72, yielding a total of 248 predicted SEU'S, very dose to the 243 observed SEU'S. These calculations uniquely demonstrate the roles that solar heavy ions and protons played in the production of SEU

  3. Design, processing, and testing of lsi arrays for space station

    NASA Technical Reports Server (NTRS)

    Lile, W. R.; Hollingsworth, R. J.

    1972-01-01

    The design of a MOS 256-bit Random Access Memory (RAM) is discussed. Technological achievements comprise computer simulations that accurately predict performance; aluminum-gate COS/MOS devices including a 256-bit RAM with current sensing; and a silicon-gate process that is being used in the construction of a 256-bit RAM with voltage sensing. The Si-gate process increases speed by reducing the overlap capacitance between gate and source-drain, thus reducing the crossover capacitance and allowing shorter interconnections. The design of a Si-gate RAM, which is pin-for-pin compatible with an RCA bulk silicon COS/MOS memory (type TA 5974), is discussed in full. The Integrated Circuit Tester (ICT) is limited to dc evaluation, but the diagnostics and data collecting are under computer control. The Silicon-on-Sapphire Memory Evaluator (SOS-ME, previously called SOS Memory Exerciser) measures power supply drain and performs a minimum number of tests to establish operation of the memory devices. The Macrodata MD-100 is a microprogrammable tester which has capabilities of extensive testing at speeds up to 5 MHz. Beam-lead technology was successfully integrated with SOS technology to make a simple device with beam leads. This device and the scribing are discussed.

  4. Frontal cortex and hippocampus neurotransmitter receptor complex level parallels spatial memory performance in the radial arm maze.

    PubMed

    Shanmugasundaram, Bharanidharan; Sase, Ajinkya; Miklosi, András G; Sialana, Fernando J; Subramaniyan, Saraswathi; Aher, Yogesh D; Gröger, Marion; Höger, Harald; Bennett, Keiryn L; Lubec, Gert

    2015-08-01

    Several neurotransmitter receptors have been proposed to be involved in memory formation. However, information on receptor complexes (RCs) in the radial arm maze (RAM) is missing. It was therefore the aim of this study to determine major neurotransmitter RCs levels that are modulated by RAM training because receptors are known to work in homo-or heteromeric assemblies. Immediate early gene Arc expression was determined by immunohistochemistry to show if prefrontal cortices (PFC) and hippocampi were activated following RAM training as these regions are known to be mainly implicated in spatial memory. Twelve rats per group, trained and untrained in the twelve arm RAM were used, frontal cortices and hippocampi were taken, RCs in membrane protein were quantified by blue-native PAGE immunoblotting. RCs components were characterised by co-immunoprecipitation followed by mass spectrometrical analysis and by the use of the proximity ligation assay. Arc expression was significantly higher in PFC of trained as compared to untrained rats whereas it was comparable in hippocampi. Frontal cortical levels of RCs containing AMPA receptors GluA1, GluA2, NMDA receptors GluN1 and GluN2A, dopamine receptor D1, acetylcholine nicotinic receptor alpha 7 (nAChR-α7) and hippocampal levels of RCs containing D1, GluN1, GluN2B and nAChR-α7 were increased in the trained group; phosphorylated dopamine transporter levels were decreased in the trained group. D1 and GluN1 receptors were shown to be in the same complex. Taken together, distinct RCs were paralleling performance in the RAM which is relevant for interpretation of previous and design of future work on RCs in memory studies. Copyright © 2015 Elsevier B.V. All rights reserved.

  5. Vehicle barrier with access delay

    DOEpatents

    Swahlan, David J; Wilke, Jason

    2013-09-03

    An access delay vehicle barrier for stopping unauthorized entry into secure areas by a vehicle ramming attack includes access delay features for preventing and/or delaying an adversary from defeating or compromising the barrier. A horizontally deployed barrier member can include an exterior steel casing, an interior steel reinforcing member and access delay members disposed within the casing and between the casing and the interior reinforcing member. Access delay members can include wooden structural lumber, concrete and/or polymeric members that in combination with the exterior casing and interior reinforcing member act cooperatively to impair an adversarial attach by thermal, mechanical and/or explosive tools.

  6. Resistive switching characteristics of solution-processed Al-Zn-Sn-O films annealed by microwave irradiation

    NASA Astrophysics Data System (ADS)

    Kim, Tae-Wan; Baek, Il-Jin; Cho, Won-Ju

    2018-02-01

    In this study, we employed microwave irradiation (MWI) at low temperature in the fabrication of solution-processed AlZnSnO (AZTO) resistive random access memory (ReRAM) devices with a structure of Ti/AZTO/Pt and compared the memory characteristics with the conventional thermal annealing (CTA) process. Typical bipolar resistance switching (BRS) behavior was observed in AZTO ReRAM devices treated with as-deposited (as-dep), CTA and MWI. In the low resistance state, the Ohmic conduction mechanism describes the dominant conduction of these devices. On the other hand, the trap-controlled space charge limited conduction (SCLC) mechanism predominates in the high resistance state. The AZTO ReRAM devices processed with MWI showed larger memory windows, uniform distribution of resistance state and operating voltage, stable DC durability (>103 cycles) and stable retention characteristics (>104 s). In addition, the AZTO ReRAM devices treated with MWI exhibited multistage storage characteristics by modulating the amplitude of the reset bias, and eight distinct resistance levels were obtained with stable retention capability.

  7. Operational Advances in Ring Current Modeling Using RAM-SCB

    NASA Astrophysics Data System (ADS)

    Morley, S.; Welling, D. T.; Zaharia, S. G.; Jordanova, V. K.

    2010-12-01

    The Ring current Atmosphere interaction Model with Self-Consistently calculated 3D Magnetic field (RAM-SCB) combines a kinetic model of the ring current with a force-balanced model of the magnetospheric magnetic field to create an inner magnetospheric model that is magnetically self consistent. RAM-SCB produces a wealth of outputs that are valuable to space weather applications. For example, the anisotropic particle distribution of the KeV-energy population calculated by the code is key for predicting surface charging on spacecraft. Furthermore, radiation belt codes stand to benefit substantially from RAM-SCB calculated magnetic field values and plasma wave growth rates - both important for determining the evolution of relativistic electron populations. RAM-SCB is undergoing development to bring these benefits to the space weather community. Data-model validation efforts are underway to assess the performance of the system. “Virtual Satellite” capability has been added to yield satellite-specific particle distribution and magnetic field output. The code’s outer boundary is being expanded to 10 Earth Radii to encompass previously neglected geosynchronous orbits and allow the code to be driven completely by either empirical or first-principles based inputs. These advances are culminating towards a new, real-time version of the code, rtRAM-SCB, that can monitor the inner magnetosphere conditions on both a global and spacecraft-specific level. This paper summarizes these new features as well as the benefits they provide the space weather community.

  8. Operational advances in ring current modeling using RAM-SCB

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Welling, Daniel T; Jordanova, Vania K; Zaharia, Sorin G

    The Ring current Atmosphere interaction Model with Self-Consistently calculated 3D Magnetic field (RAM-SCB) combines a kinetic model of the ring current with a force-balanced model of the magnetospheric magnetic field to create an inner magnetospheric model that is magnetically self consistent. RAM-SCB produces a wealth of outputs that are valuable to space weather applications. For example, the anisotropic particle distribution of the KeV-energy population calculated by the code is key for predicting surface charging on spacecraft. Furthermore, radiation belt codes stand to benefit substantially from RAM-SCB calculated magnetic field values and plasma wave growth rates - both important for determiningmore » the evolution of relativistic electron populations. RAM-SCB is undergoing development to bring these benefits to the space weather community. Data-model validation efforts are underway to assess the performance of the system. 'Virtual Satellite' capability has been added to yield satellite-specific particle distribution and magnetic field output. The code's outer boundary is being expanded to 10 Earth Radii to encompass previously neglected geosynchronous orbits and allow the code to be driven completely by either empirical or first-principles based inputs. These advances are culminating towards a new, real-time version of the code, rtRAM-SCB, that can monitor the inner magnetosphere conditions on both a global and spacecraft-specific level. This paper summarizes these new features as well as the benefits they provide the space weather community.« less

  9. Multiple social identities and stereotype threat: imbalance, accessibility, and working memory.

    PubMed

    Rydell, Robert J; McConnell, Allen R; Beilock, Sian L

    2009-05-01

    In 4 experiments, the authors showed that concurrently making positive and negative self-relevant stereotypes available about performance in the same ability domain can eliminate stereotype threat effects. Replicating past work, the authors demonstrated that introducing negative stereotypes about women's math performance activated participants' female social identity and hurt their math performance (i.e., stereotype threat) by reducing working memory. Moving beyond past work, it was also demonstrated that concomitantly presenting a positive self-relevant stereotype (e.g., college students are good at math) increased the relative accessibility of females' college student identity and inhibited their gender identity, eliminating attendant working memory deficits and contingent math performance decrements. Furthermore, subtle manipulations in questions presented in the demographic section of a math test eliminated stereotype threat effects that result from women reporting their gender before completing the test. This work identifies the motivated processes through which people's social identities became active in situations in which self-relevant stereotypes about a stigmatized group membership and a nonstigmatized group membership were available. In addition, it demonstrates the downstream consequences of this pattern of activation on working memory and performance. Copyright (c) 2009 APA, all rights reserved.

  10. Wrap spring clutch syringe ram and frit mixer

    DOEpatents

    Simpson, Frank B.

    2006-07-25

    A wrap spring clutch syringe ram pushes at least one syringe with virtually instantaneous starting and stopping, and with constant motion at a defined velocity during the intervening push. The wrap spring clutch syringe ram includes an electric motor, a computer, a flywheel, a wrap spring clutch, a precision lead screw, a slide platform, and syringe reservoirs, a mixing chamber, and a reaction incubation tube. The electric motor drives a flywheel and the wrap spring clutch couples the precision lead screw to the flywheel when a computer enables a solenoid of the wrap spring clutch. The precision lead screw drives a precision slide which causes syringes to supply a portion of solution into the mixing chamber and the incubation tube. The wrap spring clutch syringe ram is designed to enable the quantitative study of solution phase chemical and biochemical reactions, particularly those reactions that occur on the subsecond time scale.

  11. Overview of the response of anoestrous ewes to the ram effect.

    PubMed

    Ungerfeld, R; Forsberg, M; Rubianes, E

    2004-01-01

    The present review summarises knowledge of the reproductive response of anoestrous ewes to the introduction of rams - in other words, the ram effect. The ovarian and endocrine response, the factors that determine whether ewes will respond or not (associated with both the stimulus and the receptivity of the ewes) and some aspects of practical management are discussed. Information on the use of the ram effect to stimulate post-partum, prepubertal and cyclic ewes is also given. New insights are provided on ovarian response patterns, including recently collected information on luteal responses. The existence of delayed ovulation (5-7 days after the introduction of the rams) followed by luteal phases of normal or short length, luteal cysts and luteinised follicles is reported after scanning the ovaries with ultrasound. Endocrine parameters for depth of anoestrus, such as LH pulsatility and FSH concentrations, and how the concentrations of these hormones should be considered are discussed. Particular attention is paid to the observation of spontaneous, higher LH pulsatility and higher FSH concentrations in anoestrous ewes that respond to rams with luteal phases than in those that fail to respond. The use of progestogen priming and single progestogen administration and the possible advantages for synchronisation of oestrus are also discussed. Other factors that should be considered before the ram effect is applied, such as the strength of the stimulus and some practical considerations, are also included.

  12. The Effect of NUMA Tunings on CPU Performance

    NASA Astrophysics Data System (ADS)

    Hollowell, Christopher; Caramarcu, Costin; Strecker-Kellogg, William; Wong, Antonio; Zaytsev, Alexandr

    2015-12-01

    Non-Uniform Memory Access (NUMA) is a memory architecture for symmetric multiprocessing (SMP) systems where each processor is directly connected to separate memory. Indirect access to other CPU's (remote) RAM is still possible, but such requests are slower as they must also pass through that memory's controlling CPU. In concert with a NUMA-aware operating system, the NUMA hardware architecture can help eliminate the memory performance reductions generally seen in SMP systems when multiple processors simultaneously attempt to access memory. The x86 CPU architecture has supported NUMA for a number of years. Modern operating systems such as Linux support NUMA-aware scheduling, where the OS attempts to schedule a process to the CPU directly attached to the majority of its RAM. In Linux, it is possible to further manually tune the NUMA subsystem using the numactl utility. With the release of Red Hat Enterprise Linux (RHEL) 6.3, the numad daemon became available in this distribution. This daemon monitors a system's NUMA topology and utilization, and automatically makes adjustments to optimize locality. As the number of cores in x86 servers continues to grow, efficient NUMA mappings of processes to CPUs/memory will become increasingly important. This paper gives a brief overview of NUMA, and discusses the effects of manual tunings and numad on the performance of the HEPSPEC06 benchmark, and ATLAS software.

  13. Structural Responses and Finite Element Modeling of Hakka Tulou Rammed Earth Structures

    NASA Astrophysics Data System (ADS)

    Sranislawski, Daniel

    Hakka Tulous are rammed earth structures that have survived the effects of aging and natural elements upwards of even over a thousand years. These structures have housed the Hakka people of the Fujian Province, China in natural yet modern housing that has provided benefits over newer building materials. The key building material, rammed earth, which is used for the walls of the Hakka Tulou structures, has provided structural stability along with thermal comfort to the respective inhabitants of the Hakka Tulous. Through material testing and analysis this study has examined how the Tulou structures have maintained their structural stability while also providing thermal comfort. Reports of self healing cracks in the rammed earth walls were also analyzed for their validity in this study. The study has found that although the story of the self healing crack cannot be validated, there is reason to believe that with the existence of lime, some type of autogenous healing could occur on a small scale. The study has also found, through the use of nondestructive testing, that both the internal wooden systems (flooring, roof, and column support) and the rammed earth walls, are still structurally sound. Also, rammed earth's high thermal mass along with the use of sufficient shading has allowed for a delay release of heat energy from the walls of the Tulous, thus providing thermal comfort that can be felt during both night and day temperatures. The Hakka Tulou structures have been found to resist destruction from natural disasters such as strong earthquakes even when more modern construction has not. Through finite element modeling, this study has shown that the high volume of rammed earth used in the construction of the Hakka Tulous helps dissipate lateral force energy into much lower stresses for the rammed earth wall. This absorption of lateral force energy allows the rammed earth structures to survive even the strongest of earthquakes experienced in the region. The Hakka

  14. Initiation of combustion in the thermally choked ram accelerator

    NASA Technical Reports Server (NTRS)

    Bruckner, A. P.; Burnham, E. A.; Knowlen, C.; Hertzberg, A.; Bogdanoff, D. W.

    1992-01-01

    The methodology for initiating stable combustion in a ram accelerator operating in the thermally choked mode is presented in this paper. The ram accelerator is a high velocity ramjet-in-tube projectile launcher whose principle of operation is similar to that of an airbreathing ramjet. The subcaliber projectile travels supersonically through a stationary tube filled with a premixed combustible gas mixture. In the thermally choked propulsion mode subsonic combustion takes place behind the base of the projectile and leads to thermal choking, which stabilizes a normal shock system on the projectile, thus producing forward thrust. Projectiles with masses in the 45-90 g range have been accelerated to velocities up to 2650 m/sec in a 38 mm bore, 16 m long accelerator tube. Operation of the ram accelerator is started by injecting the projectile into the accelerator tube at velocities in the 700 - 1300 m/sec range by means of a conventional gas gun. A specially designed obturator, which seals the bore of the gun during this initial acceleration, enters the ram accelerator together with the projectile. The interaction of the obturator with the propellant gas ignites the gas mixture and establishes stable combustion behind the projectile.

  15. Continuous exposure to sexually active rams extends estrous activity in ewes in spring.

    PubMed

    Abecia, J A; Chemineau, P; Flores, J A; Keller, M; Duarte, G; Forcada, F; Delgadillo, J A

    2015-12-01

    Sexual activity in sheep is under photoperiodic control, which is the main environmental factor responsible for the seasonality of reproduction. However, other natural environmental factors such as presence of conspecifics can slightly influence the timing of onset and offset of the breeding season. In goats, we have found that the continuous presence of bucks that were rendered sexually active out of season by previous exposure to long days, prevented goats from displaying seasonal anestrus, which suggests that the relative contribution of photoperiod in controlling seasonal anestrus should be reevaluated in small ruminant species. The aim of this study was to assess whether the presence of sexually active rams that had been stimulated by artificial photoperiod and melatonin implants, reduces seasonal anestrus in sheep, by prolonging ovulatory activity in spring. Ewes were assigned to one of two groups (n = 16 and 15), which were housed in two separate barns, and kept in contact, either with the treated or the control rams between March and July. Vasectomized rams were either exposed to 2 months of long days followed by the insertion of three subcutaneous melatonin implants (treated rams, n = 8), or exposed to natural light conditions (control rams, n = 2). Estrus was monitored daily, and weekly plasma progesterone analyses indicated ovulatory activity. Ewes that were exposed to treated rams exhibited a higher proportion of monthly estrus than ewes exposed to the control rams (P < 0.05). Thirteen of 15 ewes (one ewe was not considered because of the presence of persistent CL) exposed to stimulated rams exhibited estrous behavior in a cyclic manner. In contrast, all ewes exposed to control rams stopped estrous activity for a period of time during the study, such that this group exhibited a significantly longer anestrous season (mean ± standard error of the mean 89 ± 9 days) than did the ewes housed with treated rams (26 ± 10 days; P < 0

  16. 50 CFR 660.15 - Equipment requirements.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... water, slime, mud, debris, or other materials. Scale printouts must show: (A) The vessel name and...; (ii) Random Access Memory (RAM): 256 megabytes (MB) or higher; (iii) Hard disk space: (A) If already...

  17. 50 CFR 660.15 - Equipment requirements.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... water, slime, mud, debris, or other materials. Scale printouts must show: (A) The vessel name and...; (ii) Random Access Memory (RAM): 256 megabytes (MB) or higher; (iii) Hard disk space: (A) If already...

  18. 50 CFR 660.15 - Equipment requirements.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... water, slime, mud, debris, or other materials. Scale printouts must show: (A) The vessel name and...; (ii) Random Access Memory (RAM): 256 megabytes (MB) or higher; (iii) Hard disk space: (A) If already...

  19. Low-power resistive random access memory by confining the formation of conducting filaments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Yi-Jen; Lee, Si-Chen, E-mail: sclee@ntu.edu.tw; Shen, Tzu-Hsien

    2016-06-15

    Owing to their small physical size and low power consumption, resistive random access memory (RRAM) devices are potential for future memory and logic applications in microelectronics. In this study, a new resistive switching material structure, TiO{sub x}/silver nanoparticles/TiO{sub x}/AlTiO{sub x}, fabricated between the fluorine-doped tin oxide bottom electrode and the indium tin oxide top electrode is demonstrated. The device exhibits excellent memory performances, such as low operation voltage (<±1 V), low operation power, small variation in resistance, reliable data retention, and a large memory window. The current-voltage measurement shows that the conducting mechanism in the device at the high resistancemore » state is via electron hopping between oxygen vacancies in the resistive switching material. When the device is switched to the low resistance state, conducting filaments are formed in the resistive switching material as a result of accumulation of oxygen vacancies. The bottom AlTiO{sub x} layer in the device structure limits the formation of conducting filaments; therefore, the current and power consumption of device operation are significantly reduced.« less

  20. Memory deficits associated with sublethal cyanide poisoning relative to cyanate toxicity in rodents

    PubMed Central

    Kimani, S.; Sinei, K.; Bukachi, F.; Tshala-Katumbay, D.; Maitai, C.

    2014-01-01

    Background Food (cassava) linamarin is metabolized into neurotoxicants cyanide and cyanate, metabolites of which we sought to elucidate the differential toxicity effects on memory. Methods Young 6-8 weeks old male rats were treated intraperitoneally with either 2.5 mg/kg body weight (bw) cyanide (NaCN), or 50 mg/kg bw cyanate (NaOCN), or 1 μl/g bw saline, daily for 6 weeks. Short-term and long-term memories were assessed using a radial arm maze (RAM) testing paradigm. Results Toxic exposures had an influence on short-term working memory with fewer correct arm entries (F 2, 19 = 4.57 p <0.05), higher working memory errors (WME) (F 2, 19 = 5.09, p <0.05) and longer RAM navigation time (F2, 19 = 3.91, p <0.05) for NaOCN relative to NaCN and saline treatments. The long-term working memory was significantly impaired by cyanide with fewer correct arm entries (F 2, 19 = 7.45, p <0.01) and increased working memory errors (F 2, 19 = 9.35 p <0.05) in NaCN relative to NaOCN or vehicle treated animals. Reference memory was not affected by either cyanide or cyanate. Conclusion Our study findings provide an experimental evidence for the biological plausibility that cassava cyanogens may induce cognition deficits. Differential patterns of memory deficits may reflect the differences in toxicity mechanisms of NaOCN relative to NaCN. Cognition deficits associated with cassava cyanogenesis may reflect a dual toxicity effect of cyanide and cyanate. PMID:24293006

  1. Daily Access to Sucrose Impairs Aspects of Spatial Memory Tasks Reliant on Pattern Separation and Neural Proliferation in Rats

    ERIC Educational Resources Information Center

    Reichelt, Amy C.; Morris, Margaret J.; Westbrook, Reginald Frederick

    2016-01-01

    High sugar diets reduce hippocampal neurogenesis, which is required for minimizing interference between memories, a process that involves "pattern separation." We provided rats with 2 h daily access to a sucrose solution for 28 d and assessed their performance on a spatial memory task. Sucrose consuming rats discriminated between objects…

  2. Fan/Ram Duct Program

    DTIC Science & Technology

    1973-10-01

    turbofan engine shutoff scheme, the ram duct flow conditions, and the Ian duct shutoff vane area transi- tion schedule. This loss will be...airflow. The performance of the turbofan is neglected until the main engine burner is ignited. At that time it is assumed that the turbo - fan...B. Transient Operation . . .. TRANSIENT TRANSITION TEST CASES A. Turbofan to Ramjet B. Ramjet to Turbo fan CONCLUSIONS AND RECOMMENDATIONS

  3. Progress on the Ram Wing Concept with Emphasis on Lateral Dynamics

    DOT National Transportation Integrated Search

    1971-01-01

    Theoretical and experimental efforts conducted at the Transportation Systems Center in the ram wing program are described. Glide Tests were performed using a simple ram wing model operating in an open rectangular trough 50 ft long. Lift drag ratios o...

  4. Plastic Deformation and Failure Analysis of Phase Change Random Access Memory

    NASA Astrophysics Data System (ADS)

    Yang; Hongxin; Shi; Luping; Lee; Koon, Hock; Zhao; Rong; Li; Jianming; Lim; Guan, Kian; Chong; Chong, Tow

    2009-04-01

    Although lateral phase change random access memory (PCRAM) has attracted a lot of interest due to its simpler fabrication process and lower current compared to ovonic unified memory (OUM), it faces a problem of poor lifetime. This paper studied relation between plastic deformation and the failure of PCRAM through both experiment and simulation. OUM and lateral PCRAM incorporating Ge2Sb2Te5 were fabricated and tested. The overwriting test showed that lifetime of OUM exceeded 106 while that of lateral PCRAM was only about 100. Using atomic force microscopy (AFM), it was found that the plastic deformation after 106 overwriting reached several tens of nm for lateral PCRAM while it was negligible for OUM. The thermo-mechanical simulation results confirmed the similar results on larger plastic deformation of lateral PCRAM than that of OUM during overwriting. As plastic deformation involves of atomic bonds breaking and reforming in phase change material, the plastic deformation may be one main reason for the failure of lateral PCRAM.

  5. Fabricating a Microcomputer on a Single Silicon Wafer

    NASA Technical Reports Server (NTRS)

    Evanchuk, V. L.

    1983-01-01

    Concept for "microcomputer on a slice" reduces microcomputer costs by eliminating scribing, wiring, and packaging of individual circuit chips. Low-cost microcomputer on silicon slice contains redundant components. All components-central processing unit, input/output circuitry, read-only memory, and random-access memory (CPU, I/O, ROM, and RAM) on placed on single silicon wafer.

  6. Elevated-Confined Phase-Change Random Access Memory Cells

    NASA Astrophysics Data System (ADS)

    Lee; Koon, Hock; Shi; Luping; Zhao; Rong; Yang; Hongxin; Lim; Guan, Kian; Li; Jianming; Chong; Chong, Tow

    2010-04-01

    A new elevated-confined phase-change random access memory (PCRAM) cell structure to reduce power consumption was proposed. In this proposed structure, the confined phase-change region is sitting on top of a small metal column enclosed by a dielectric at the sides. Hence, more heat can be effectively sustained underneath the phase-change region. As for the conventional structure, the confined phase-change region is sitting directly above a large planar bottom metal electrode, which can easily conduct most of the induced heat away. From simulations, a more uniform temperature profile around the active region and a higher peak temperature at the phase-change layer (PCL) in an elevated-confined structure were observed. Experimental results showed that the elevated-confined PCRAM cell requires a lower programming power and has a better scalability than a conventional confined PCRAM cell.

  7. Spectrotemporal processing drives fast access to memory traces for spoken words.

    PubMed

    Tavano, A; Grimm, S; Costa-Faidella, J; Slabu, L; Schröger, E; Escera, C

    2012-05-01

    The Mismatch Negativity (MMN) component of the event-related potentials is generated when a detectable spectrotemporal feature of the incoming sound does not match the sensory model set up by preceding repeated stimuli. MMN is enhanced at frontocentral scalp sites for deviant words when compared to acoustically similar deviant pseudowords, suggesting that automatic access to long-term memory traces for spoken words contributes to MMN generation. Does spectrotemporal feature matching also drive automatic lexical access? To test this, we recorded human auditory event-related potentials (ERPs) to disyllabic spoken words and pseudowords within a passive oddball paradigm. We first aimed at replicating the word-related MMN enhancement effect for Spanish, thereby adding to the available cross-linguistic evidence (e.g., Finnish, English). We then probed its resilience to spectrotemporal perturbation by inserting short (20 ms) and long (120 ms) silent gaps between first and second syllables of deviant and standard stimuli. A significantly enhanced, frontocentrally distributed MMN to deviant words was found for stimuli with no gap. The long gap yielded no deviant word MMN, showing that prior expectations of word form limits in a given language influence deviance detection processes. Crucially, the insertion of a short gap suppressed deviant word MMN enhancement at frontocentral sites. We propose that spectrotemporal point-wise matching constitutes a core mechanism for fast serial computations in audition and language, bridging sensory and long-term memory systems. Copyright © 2012 Elsevier Inc. All rights reserved.

  8. Encoding and retrieval processes involved in the access of source information in the absence of item memory.

    PubMed

    Ball, B Hunter; DeWitt, Michael R; Knight, Justin B; Hicks, Jason L

    2014-09-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were related to the target item but never actually studied. In Experiments 1 and 2, participants studied 1 category member (e.g., onion) from a variety of different categories and at test were presented with an unstudied category label (e.g., vegetable) to probe memory for item and source information. In Experiments 3 and 4, 1 member of unidirectional (e.g., credit or card) or bidirectional (e.g., salt or pepper) associates was studied, whereas the other unstudied member served as a test probe. When recall failed, source information was accessible only when items were processed deeply during encoding (Experiments 1 and 2) and when there was strong forward associative strength between the retrieval cue and target (Experiments 3 and 4). These findings suggest that a retrieval probe diagnostic of semantically related item information reinstantiates information bound in memory during encoding that results in reactivation of associated contextual information, contingent upon sufficient learning of the item itself and the association between the item and its context information.

  9. Single Event Upset in Static Random Access Memories in Atmospheric Neutron Environments

    NASA Astrophysics Data System (ADS)

    Arita, Yutaka; Takai, Mikio; Ogawa, Izumi; Kishimoto, Tadafumi

    2003-07-01

    Single-event upsets (SEUs) in a 0.4 μm 4 Mbit complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) were investigated in various atmospheric neutron environments at sea level, at an altitude of 2612 m mountain, at an altitude of commercial airplane, and at an underground depth of 476 m. Neutron-induced SEUs increase with the increase in altitude. For a device with a borophosphosilicate glass (BPSG) film, SEU rates induced by thermal neutrons increase with the decrease in the cell charge of a memory cell. A thermal neutron-induced SEU is significant in SRAMs with a small cell charge. With the conditions of small cell charge, thermal neutron-induced SEUs account for 60% or more of the total neutron-induced SEUs. The SEU rate induced by atmospheric thermal neutrons can be estimated by an acceleration test using 252Cf.

  10. Architecture of the sperm whale forehead facilitates ramming combat.

    PubMed

    Panagiotopoulou, Olga; Spyridis, Panagiotis; Mehari Abraha, Hyab; Carrier, David R; Pataky, Todd C

    2016-01-01

    Herman Melville's novel Moby Dick was inspired by historical instances in which large sperm whales (Physeter macrocephalus L.) sank 19th century whaling ships by ramming them with their foreheads. The immense forehead of sperm whales is possibly the largest, and one of the strangest, anatomical structures in the animal kingdom. It contains two large oil-filled compartments, known as the "spermaceti organ" and "junk," that constitute up to one-quarter of body mass and extend one-third of the total length of the whale. Recognized as playing an important role in echolocation, previous studies have also attributed the complex structural configuration of the spermaceti organ and junk to acoustic sexual selection, acoustic prey debilitation, buoyancy control, and aggressive ramming. Of these additional suggested functions, ramming remains the most controversial, and the potential mechanical roles of the structural components of the spermaceti organ and junk in ramming remain untested. Here we explore the aggressive ramming hypothesis using a novel combination of structural engineering principles and probabilistic simulation to determine if the unique structure of the junk significantly reduces stress in the skull during quasi-static impact. Our analyses indicate that the connective tissue partitions in the junk reduce von Mises stresses across the skull and that the load-redistribution functionality of the former is insensitive to moderate variation in tissue material parameters, the thickness of the partitions, and variations in the location and angle of the applied load. Absence of the connective tissue partitions increases skull stresses, particularly in the rostral aspect of the upper jaw, further hinting of the important role the architecture of the junk may play in ramming events. Our study also found that impact loads on the spermaceti organ generate lower skull stresses than an impact on the junk. Nevertheless, whilst an impact on the spermaceti organ would

  11. Effects of electrodes on the properties of sol-gel PZT based capacitors in FeRAM

    NASA Astrophysics Data System (ADS)

    Zhang, Ming-Ming; Jia, Ze; Ren, Tian-Ling

    2009-05-01

    The effects of electrodes on the properties of capacitors applied in ferroelectric random access memories (FeRAM) are investigated in this work. Pt and Ir are used as bottom and top electrodes (BE and TE), respectively, in sol-gel Pb(Zr xTi 1-x)O 3 (PZT) based capacitors. Bottom electrodes are found to play a dominant role in the properties of PZT films and capacitors. Capacitors using Pt as bottom electrode have larger remnant polarization (2Pr) than those using Ir which may result from the different orientations of PZT films. The higher Schottky barrier, more dense film and smaller roughness are believed to be the reasons for the better leakage performance of capacitors using Pt as bottom electrodes. Different vacancies types and interface conditions are believed to be the main reasons for the better fatigue (less than 10% initial 2Pr loss after 10 11 fatigue cycles) and better imprint properties of TE/PZT/Ir capacitors. Top electrodes are found to have smaller impact on the properties of capacitors compared with bottom electrodes. A decrease in 2Pr is found when Ir is used as top electrode instead of Pt for PZT/Pt, which is believed to be caused by the stress resulting from lattice mismatch. The different thermal processes that top and bottom electrodes suffered are believed to be the reason for the different impacts they have on capacitors.

  12. Ram-pressure feeding of supermassive black holes

    NASA Astrophysics Data System (ADS)

    Poggianti, Bianca M.; Jaffé, Yara L.; Moretti, Alessia; Gullieuszik, Marco; Radovich, Mario; Tonnesen, Stephanie; Fritz, Jacopo; Bettoni, Daniela; Vulcani, Benedetta; Fasano, Giovanni; Bellhouse, Callum; Hau, George; Omizzolo, Alessandro

    2017-08-01

    When a supermassive black hole at the centre of a galaxy accretes matter, it gives rise to a highly energetic phenomenon: an active galactic nucleus. Numerous physical processes have been proposed to account for the funnelling of gas towards the galactic centre to feed the black hole. There are also several physical processes that can remove gas from a galaxy, one of which is ram-pressure stripping by the hot gas that fills the space between galaxies in galaxy clusters. Here we report that six out of a sample of seven ‘jellyfish’ galaxies—galaxies with long ‘tentacles’ of material that extend for dozens of kiloparsecs beyond the galactic disks—host an active nucleus, and two of them also have galactic-scale ionization cones. The high incidence of nuclear activity among heavily stripped jellyfish galaxies may be due to ram pressure causing gas to flow towards the centre and triggering the activity, or to an enhancement of the stripping caused by energy injection from the active nucleus, or both. Our analysis of the galactic position and velocity relative to the cluster strongly supports the first hypothesis, and puts forward ram pressure as another possible mechanism for feeding the central supermassive black hole with gas.

  13. Ram-pressure feeding of supermassive black holes.

    PubMed

    Poggianti, Bianca M; Jaffé, Yara L; Moretti, Alessia; Gullieuszik, Marco; Radovich, Mario; Tonnesen, Stephanie; Fritz, Jacopo; Bettoni, Daniela; Vulcani, Benedetta; Fasano, Giovanni; Bellhouse, Callum; Hau, George; Omizzolo, Alessandro

    2017-08-16

    When a supermassive black hole at the centre of a galaxy accretes matter, it gives rise to a highly energetic phenomenon: an active galactic nucleus. Numerous physical processes have been proposed to account for the funnelling of gas towards the galactic centre to feed the black hole. There are also several physical processes that can remove gas from a galaxy, one of which is ram-pressure stripping by the hot gas that fills the space between galaxies in galaxy clusters. Here we report that six out of a sample of seven 'jellyfish' galaxies-galaxies with long 'tentacles' of material that extend for dozens of kiloparsecs beyond the galactic disks-host an active nucleus, and two of them also have galactic-scale ionization cones. The high incidence of nuclear activity among heavily stripped jellyfish galaxies may be due to ram pressure causing gas to flow towards the centre and triggering the activity, or to an enhancement of the stripping caused by energy injection from the active nucleus, or both. Our analysis of the galactic position and velocity relative to the cluster strongly supports the first hypothesis, and puts forward ram pressure as another possible mechanism for feeding the central supermassive black hole with gas.

  14. The Matter with Listening Comprehension Isn't the Ear: Hardware and Software.

    ERIC Educational Resources Information Center

    Harvey, T. Edward

    1978-01-01

    Reviews some technological advances and classroom games which may be used to increase listening comprehension skills in the foreign language classroom. These include the Random Access Memory (RAM), the Sens-it-Cell, and the SCUCHO game. (AM)

  15. Memory for recently accessed visual attributes.

    PubMed

    Jiang, Yuhong V; Shupe, Joshua M; Swallow, Khena M; Tan, Deborah H

    2016-08-01

    Recent reports have suggested that the attended features of an item may be rapidly forgotten once they are no longer relevant for an ongoing task (attribute amnesia). This finding relies on a surprise memory procedure that places high demands on declarative memory. We used intertrial priming to examine whether the representation of an item's identity is lost completely once it becomes task irrelevant. If so, then the identity of a target on one trial should not influence performance on the next trial. In 3 experiments, we replicated the finding that a target's identity is poorly recognized in a surprise memory test. However, we also observed location and identity repetition priming across consecutive trials. These data suggest that, although explicit recognition on a surprise memory test may be impaired, some information about a particular target's identity can be retained after it is no longer needed for a task. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  16. Design and analysis of hydraulic ram water pumping system

    NASA Astrophysics Data System (ADS)

    Hussin, N. S. M.; Gamil, S. A.; Amin, N. A. M.; Safar, M. J. A.; Majid, M. S. A.; Kazim, M. N. F. M.; Nasir, N. F. M.

    2017-10-01

    The current pumping system (DC water pump) for agriculture is powered by household electricity, therefore, the cost of electricity will be increased due to the higher electricity consumption. In addition, the water needs to be supplied at different height of trees and different places that are far from the water source. The existing DC water pump can pump the water to 1.5 m height but it cost money for electrical source. The hydraulic ram is a mechanical water pump that suitable used for agriculture purpose. It can be a good substitute for DC water pump in agriculture use. The hydraulic ram water pumping system has ability to pump water using gravitational energy or the kinetic energy through flowing source of water. This project aims to analyze and develop the water ram pump in order to meet the desired delivery head up to 3 meter height with less operation cost. The hydraulic ram is designed using CATIA software. Simulation work has been done using ANSYS CFX software to validate the working concept. There are three design were tested in the experiment study. The best design reached target head of 3 m with 15% efficiency and flow rate of 11.82l/min. The results from this study show that the less diameter of pressure chamber and higher supply head will create higher pressure.

  17. Memory deficits associated with sublethal cyanide poisoning relative to cyanate toxicity in rodents.

    PubMed

    Kimani, S; Sinei, K; Bukachi, F; Tshala-Katumbay, D; Maitai, C

    2014-03-01

    Food (cassava) linamarin is metabolized into neurotoxicants cyanide and cyanate, metabolites of which we sought to elucidate the differential toxicity effects on memory. Young 6-8 weeks old male rats were treated intraperitoneally with either 2.5 mg/kg body weight (bw) cyanide (NaCN), or 50 mg/kg bw cyanate (NaOCN), or 1 μl/g bw saline, daily for 6 weeks. Short-term and long-term memories were assessed using a radial arm maze (RAM) testing paradigm. Toxic exposures had an influence on short-term working memory with fewer correct arm entries (F(2, 19) = 4.57 p < 0.05), higher working memory errors (WME) (F(2, 19) = 5.09, p < 0.05) and longer RAM navigation time (F(2, 19) = 3.91, p < 0.05) for NaOCN relative to NaCN and saline treatments. The long-term working memory was significantly impaired by cyanide with fewer correct arm entries (F(2, 19) = 7.45, p < 0.01) and increased working memory errors (F(2, 19) = 9.35 p < 0.05) in NaCN relative to NaOCN or vehicle treated animals. Reference memory was not affected by either cyanide or cyanate. Our study findings provide an experimental evidence for the biological plausibility that cassava cyanogens may induce cognition deficits. Differential patterns of memory deficits may reflect the differences in toxicity mechanisms of NaOCN relative to NaCN. Cognition deficits associated with cassava cyanogenesis may reflect a dual toxicity effect of cyanide and cyanate.

  18. Use of gonadotropin releasing hormone to improve reproductive responses of ewes introduced to rams during seasonal anestrus.

    PubMed

    Jordan, K M; Inskeep, E K; Knights, M

    2009-12-01

    Three experiments were conducted on anestrous ewes of Suffolk, Dorset, and Katahdin breeding to examine the potential value of GnRH to improve ovulation and pregnancy in response to introduction of rams. In Experiment 1, treatment with GnRH 2d after treatment with progesterone (P(4); 25mg i.m.) at introduction of rams was compared to treatment with P(4) alone at the time of introduction of rams. Treatment with GnRH did not increase percentages of ewes with a corpus luteum (CL) 14d after introduction of rams, pregnant 32d after treatment with PGF(2)alpha 14d after introduction of rams, or percent of treated ewes lambing to all services. In Experiment 2, treatments with GnRH on day 2, 7, or both after introduction of rams were compared. Treatments did not differ in mean estrous response, percentages of ewes with a detectable CL or number of CL present on day 11, or mean pregnancy and lambing rates. Therefore, neither one nor two injections of GnRH at these times appeared to be effective to induce anestrous ewes to breed. In Experiment 3, treatments compared included GnRH 4d before introduction of rams, GnRH 4d before and 1d after introduction of rams, ram introduction alone, and treatment with P(4) (25mg i.m.) at the time of introduction of rams. Percentages of ewes with concentrations of P(4) greater than 1ng/mL (indicating formation of CL had occurred) 7d after ram introduction tended to be greater (P<0.07) in ewes treated with GnRH or P(4) than in control ewes treated with ram introduction alone. However, there was no difference in P(4) concentrations between groups by day 11 or 12 after introduction of rams. Estrous response rates and percentages of ewes pregnant 95d after PGF(2)alpha was administered (on day 12 after introduction of rams) tended to be greater (P=0.08 and 0.06, respectively) in ewes treated with GnRH or P(4) than in ewes exposed to rams only. There was no difference in response variables between ewes treated with GnRH 4d before introduction of

  19. Twin-bit via resistive random access memory in 16 nm FinFET logic technologies

    NASA Astrophysics Data System (ADS)

    Shih, Yi-Hong; Hsu, Meng-Yin; King, Ya-Chin; Lin, Chrong Jung

    2018-04-01

    A via resistive random access memory (RRAM) cell fully compatible with the standard CMOS logic process has been successfully demonstrated for high-density logic nonvolatile memory (NVM) modules in advanced FinFET circuits. In this new cell, the transition metal layers are formed on both sides of a via, given two storage bits per via. In addition to its compact cell area (1T + 14 nm × 32 nm), the twin-bit via RRAM cell features a low operation voltage, a large read window, good data retention, and excellent cycling capability. As fine alignments between mask layers become possible, the twin-bit via RRAM cell is expected to be highly scalable in advanced FinFET technology.

  20. Direct access inter-process shared memory

    DOEpatents

    Brightwell, Ronald B; Pedretti, Kevin; Hudson, Trammell B

    2013-10-22

    A technique for directly sharing physical memory between processes executing on processor cores is described. The technique includes loading a plurality of processes into the physical memory for execution on a corresponding plurality of processor cores sharing the physical memory. An address space is mapped to each of the processes by populating a first entry in a top level virtual address table for each of the processes. The address space of each of the processes is cross-mapped into each of the processes by populating one or more subsequent entries of the top level virtual address table with the first entry in the top level virtual address table from other processes.

  1. Influence of grazing management on the seasonal change in testicular morphology in Corriedale rams.

    PubMed

    Bielli, A; Pedrana, G; Gastel, M T; Castrillejo, A; Moraña, A; Lundeheim, N; Forsberg, M; Rodriguez-Martinez, H

    1999-06-28

    The present study was conducted: (a) to determine the degree of seasonal variation in testis stereology in Corriedale rams between autumn and winter; (b) to test the hypothesis that testis stereology of Corriedale rams grazing native pastures during autumn and winter would differ from those of Corriedale rams grazing sown pastures and supplemented with grain during the same period; and (c) to determine whether Sertoli cell numbers differ in adult rams between the breeding season (autumn) and the following non-breeding season (winter). Twenty experimental animals were studied. Six rams (autumn control group, C-A) that had been grazing on native pasture (stocking rate = 2-3 animals ha(-1)) were castrated at the beginning of the experiment (March, early autumn). Seven rams (winter control group, C-W) continued to graze on native pasture at the same stocking rate until the end of the experiment (August, late winter). Another seven rams (treated group, T) grazed on improved pasture (stocking rate = 1-2 animals ha(-1)) and were supplemented with 1 kg grain ram(-1) day(-1) until the end of the experiment. Live weight, scrotal circumference, serum testosterone concentration and selected testicular stereological parameters were measured. The treatment did not impede the winter reduction in testicular activity and reduced its magnitude slightly (group T) compared with controls (group C-W). Sertoli cell numbers were higher in autumn (group C-A) than in winter, both on native (group C-W) and sown pastures (group T). Diminishing Sertoli cell numbers between autumn and the following winter suggest the occurrence of that Sertoli cell death during this period. The results indicate that, although the reproductive activity of Corriedale rams is moderately seasonal, a restricted change in grazing and grain supplementation can only modify it to a limited extent.

  2. Computer hardware for radiologists: Part I

    PubMed Central

    Indrajit, IK; Alam, A

    2010-01-01

    Computers are an integral part of modern radiology practice. They are used in different radiology modalities to acquire, process, and postprocess imaging data. They have had a dramatic influence on contemporary radiology practice. Their impact has extended further with the emergence of Digital Imaging and Communications in Medicine (DICOM), Picture Archiving and Communication System (PACS), Radiology information system (RIS) technology, and Teleradiology. A basic overview of computer hardware relevant to radiology practice is presented here. The key hardware components in a computer are the motherboard, central processor unit (CPU), the chipset, the random access memory (RAM), the memory modules, bus, storage drives, and ports. The personnel computer (PC) has a rectangular case that contains important components called hardware, many of which are integrated circuits (ICs). The fiberglass motherboard is the main printed circuit board and has a variety of important hardware mounted on it, which are connected by electrical pathways called “buses”. The CPU is the largest IC on the motherboard and contains millions of transistors. Its principal function is to execute “programs”. A Pentium® 4 CPU has transistors that execute a billion instructions per second. The chipset is completely different from the CPU in design and function; it controls data and interaction of buses between the motherboard and the CPU. Memory (RAM) is fundamentally semiconductor chips storing data and instructions for access by a CPU. RAM is classified by storage capacity, access speed, data rate, and configuration. PMID:21042437

  3. High-density magnetoresistive random access memory operating at ultralow voltage at room temperature.

    PubMed

    Hu, Jia-Mian; Li, Zheng; Chen, Long-Qing; Nan, Ce-Wen

    2011-11-22

    The main bottlenecks limiting the practical applications of current magnetoresistive random access memory (MRAM) technology are its low storage density and high writing energy consumption. Although a number of proposals have been reported for voltage-controlled memory device in recent years, none of them simultaneously satisfy the important device attributes: high storage capacity, low power consumption and room temperature operation. Here we present, using phase-field simulations, a simple and new pathway towards high-performance MRAMs that display significant improvements over existing MRAM technologies or proposed concepts. The proposed nanoscale MRAM device simultaneously exhibits ultrahigh storage capacity of up to 88 Gb inch(-2), ultralow power dissipation as low as 0.16 fJ per bit and room temperature high-speed operation below 10 ns.

  4. High-density magnetoresistive random access memory operating at ultralow voltage at room temperature

    PubMed Central

    Hu, Jia-Mian; Li, Zheng; Chen, Long-Qing; Nan, Ce-Wen

    2011-01-01

    The main bottlenecks limiting the practical applications of current magnetoresistive random access memory (MRAM) technology are its low storage density and high writing energy consumption. Although a number of proposals have been reported for voltage-controlled memory device in recent years, none of them simultaneously satisfy the important device attributes: high storage capacity, low power consumption and room temperature operation. Here we present, using phase-field simulations, a simple and new pathway towards high-performance MRAMs that display significant improvements over existing MRAM technologies or proposed concepts. The proposed nanoscale MRAM device simultaneously exhibits ultrahigh storage capacity of up to 88 Gb inch−2, ultralow power dissipation as low as 0.16 fJ per bit and room temperature high-speed operation below 10 ns. PMID:22109527

  5. Single event upset vulnerability of selected 4K and 16K CMOS static RAM's

    NASA Technical Reports Server (NTRS)

    Kolasinski, W. A.; Koga, R.; Blake, J. B.; Brucker, G.; Pandya, P.; Petersen, E.; Price, W.

    1982-01-01

    Upset thresholds for bulk CMOS and CMOS/SOS RAMS were deduced after bombardment of the devices with 140 MeV Kr, 160 MeV Ar, and 33 MeV O beams in a cyclotron. The trials were performed to test prototype devices intended for space applications, to relate feature size to the critical upset charge, and to check the validity of computer simulation models. The tests were run on 4 and 1 K memory cells with 6 transistors, in either hardened or unhardened configurations. The upset cross sections were calculated to determine the critical charge for upset from the soft errors observed in the irradiated cells. Computer simulations of the critical charge were found to deviate from the experimentally observed variation of the critical charge as the square of the feature size. Modeled values of series resistors decoupling the inverter pairs of memory cells showed that above some minimum resistance value a small increase in resistance produces a large increase in the critical charge, which the experimental data showed to be of questionable validity unless the value is made dependent on the maximum allowed read-write time.

  6. Memory for Recently Accessed Visual Attributes

    ERIC Educational Resources Information Center

    Jiang, Yuhong V.; Shupe, Joshua M.; Swallow, Khena M.; Tan, Deborah H.

    2016-01-01

    Recent reports have suggested that the attended features of an item may be rapidly forgotten once they are no longer relevant for an ongoing task (attribute amnesia). This finding relies on a surprise memory procedure that places high demands on declarative memory. We used intertrial priming to examine whether the representation of an item's…

  7. The contribution to immediate serial recall of rehearsal, search speed, access to lexical memory, and phonological coding: an investigation at the construct level.

    PubMed

    Tehan, Gerald; Fogarty, Gerard; Ryan, Katherine

    2004-07-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the participant population, have suggested other contributors to span performance. In the present research, we used structural equation modeling to explore, at the construct level, individual differences in immediate serial recall with respect to rehearsal, search, phonological coding, and speed of access to lexical memory. We replicated standard short-term phenomena; we showed that the variables that influence children's span performance influence adult performance in the same way; and we showed that speed of access to lexical memory and facility with phonological codes appear to be more potent sources of individual differences in immediate memory than is either rehearsal speed or search factors.

  8. Ram accelerator direct space launch system - New concepts

    NASA Technical Reports Server (NTRS)

    Bogdanoff, David W.

    1992-01-01

    The ram accelerator, a chemically driven ramjet-in-tube device is a new option for direct launch of acceleration-insensitive payloads into earth orbit. The projectile is the centerbody of a ramjet and travels through a tube filled with a premixed fuel-oxidizer mixture. The tube acts as the cowl of the ramjet. A number of new concepts for a ram accelerator space launch system are presented. The velocity and acceleration capabilities of a number of ram accelerator drive modes, including several new modes, are given. Passive (fin) stabilization during atmospheric transit is investigated and found to be promising. Gasdynamic heating in-tube and during atmospheric transit is studied; the former is found to be severe, but may be alleviated by the selection of the most suitable drive modes, transpiration cooling, or a hydrogen gas core in the launch tube. To place the payload in earth orbit, scenarios using one impulse and three impulses (with an aeropass) and a new scenario involving an auxiliary vehicle are studied. The auxiliary vehicle scenario is found to be competitive regarding payload, and requires a much simpler projectile, but has the disadvantage of requiring the auxiliary vehicle.

  9. Investigating EMIC Wave Dynamics with RAM-SCB-E

    NASA Astrophysics Data System (ADS)

    Jordanova, V. K.; Fu, X.; Henderson, M. G.; Morley, S.; Welling, D. T.; Yu, Y.

    2017-12-01

    The distribution of ring current ions and electrons in the inner magnetosphere depends strongly on their transport in realistic electric (E) and magnetic (B) fields and concurrent energization or loss. To investigate the high variability of energetic particle (H+, He+, O+, and electron) fluxes during storms selected by the GEM Surface Charging Challenge, we use our kinetic ring current model (RAM) two-way coupled with a 3-D magnetic field code (SCB). This model was just extended to include electric field calculations, making it a unique, fully self-consistent, anisotropic ring current-atmosphere interactions model, RAM-SCB-E. Recently we investigated electromagnetic ion cyclotron (EMIC) instability in a local plasma using both linear theory and nonlinear hybrid simulations and derived a scaling formula that relates the saturation EMIC wave amplitude to initial plasma conditions. Global dynamic EMIC wave maps obtained with our RAM-SCB-E model using this scaling will be presented and compared with statistical models. These plasma waves can affect significantly both ion and electron precipitation into the atmosphere and the subsequent patterns of ionospheric conductance, as well as the global ring current dynamics.

  10. RANGE RAM: a long-term planning method for managing grazing lands

    Treesearch

    Henricus C. Jansen

    1976-01-01

    Range RAM (Resource Allocation Method) is a computerized planning method designed to assist range managers in developing and selecting alternatives in spatial and temporal allocation of resources. The technique is applicable at the frest or district management levels, or their equivalents. Range RAM can help formulate plans that maximize the production of range outputs...

  11. Improved Writing-Conductor Designs For Magnetic Memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1994-01-01

    Writing currents reduced to practical levels. Improved conceptual designs for writing conductors in micromagnet/Hall-effect random-access integrated-circuit memory reduces electrical current needed to magnetize micromagnet in each memory cell. Basic concept of micromagnet/Hall-effect random-access memory presented in "Magnetic Analog Random-Access Memory" (NPO-17999).

  12. Working memory span capacity improved by a D2 but not D1 receptor family agonist.

    PubMed

    Tarantino, Isadore S; Sharp, Richard F; Geyer, Mark A; Meves, Jessica M; Young, Jared W

    2011-06-01

    Patients with schizophrenia exhibit poor working memory (WM). Although several subcomponents of WM can be measured, evidence suggests the primary subcomponent affected in schizophrenia is span capacity (WMC). Indeed, the NIMH-funded MATRICS initiative recommended assaying the WMC when assessing the efficacy of a putative therapeutic for FDA approval. Although dopamine D1 receptor agonists improve delay-dependent memory in animals, evidence for improvements in WMC due to dopamine D1 receptor activation is limited. In contrast, the dopamine D2-family agonist bromocriptine improves WMC in humans. The radial arm maze (RAM) can be used to assess WMC, although complications due to ceiling effects or strategy confounds have limited its use. We describe a 12-arm RAM protocol designed to assess whether the dopamine D1-family agonist SKF 38393 (0, 1, 3, and 10 mg/kg) or bromocriptine (0, 1, 3, and 10 mg/kg) could improve WMC in C57BL/6N mice (n=12) in cross-over designs. WMC increased and strategy usage decreased with training. The dopamine D1 agonist SKF 38393 had no effect on WMC or long-term memory. Bromocriptine decreased WMC errors, without affecting long-term memory, consistent with human studies. These data confirm that WMC can be measured in mice and reveal drug effects that are consistent with reported effects in humans. Future research is warranted to identify the subtype of the D2-family of receptors responsible for the observed improvement in WMC. Finally, this RAM procedure may prove useful in developing animal models of deficient WMC to further assess putative treatments for the cognitive deficits in schizophrenia. Copyright © 2011 Elsevier B.V. All rights reserved.

  13. Data requirements for verification of ram glow chemistry

    NASA Technical Reports Server (NTRS)

    Swenson, G. R.; Mende, S. B.

    1985-01-01

    A set of questions is posed regarding the surface chemistry producing the ram glow on the space shuttle. The questions surround verification of the chemical cycle involved in the physical processes leading to the glow. The questions, and a matrix of measurements required for most answers, are presented. The measurements include knowledge of the flux composition to and from a ram surface as well as spectroscopic signatures from the U to visible to IR. A pallet set of experiments proposed to accomplish the measurements is discussed. An interim experiment involving an available infrared instrument to be operated from the shuttle Orbiter cabin is also be discussed.

  14. Nanoscale CuO solid-electrolyte-based conductive-bridging, random-access memory cell with a TiN liner

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Sun; Kim, Dong-Won; Kim, Hea-Jee; Jin, Soo-Min; Song, Myung-Jin; Kwon, Ki-Hyun; Park, Jea-Gun; Jalalah, Mohammed; Al-Hajry, Ali

    2018-01-01

    The Conductive-bridge random-access memory (CBRAM) cell is a promising candidate for a terabit-level non-volatile memory due to its remarkable advantages. We present for the first time TiN as a diffusion barrier in CBRAM cells for enhancing their reliability. CuO solid-electrolyte-based CBRAM cells implemented with a 0.1-nm TiN liner demonstrated better non-volatile memory characteristics such as 106 AC write/erase endurance cycles with 100-μs AC pulse width and a long retention time of 7.4-years at 85 °C. In addition, the analysis of Ag diffusion in the CBRAM cell suggests that the morphology of the Ag filaments in the electrolyte can be effectively controlled by tuning the thickness of the TiN liner. These promising results pave the way for faster commercialization of terabit-level non-volatile memories.

  15. Temperature dependent characteristics of the random telegraph noise on contact resistive random access memory

    NASA Astrophysics Data System (ADS)

    Chang, Liang-Shun; Lin, Chrong Jung; King, Ya-Chin

    2014-01-01

    The temperature dependent characteristics of the random telegraphic noise (RTN) on contact resistive random access memory (CRRAM) are studied in this work. In addition to the bi-level switching, the occurrences of the middle states in the RTN signal are investigated. Based on the unique its temperature dependent characteristics, a new temperature sensing scheme is proposed for applications in ultra-low power sensor modules.

  16. Distributed multiport memory architecture

    NASA Technical Reports Server (NTRS)

    Kohl, W. H. (Inventor)

    1983-01-01

    A multiport memory architecture is diclosed for each of a plurality of task centers connected to a command and data bus. Each task center, includes a memory and a plurality of devices which request direct memory access as needed. The memory includes an internal data bus and an internal address bus to which the devices are connected, and direct timing and control logic comprised of a 10-state ring counter for allocating memory devices by enabling AND gates connected to the request signal lines of the devices. The outputs of AND gates connected to the same device are combined by OR gates to form an acknowledgement signal that enables the devices to address the memory during the next clock period. The length of the ring counter may be effectively lengthened to any multiple of ten to allow for more direct memory access intervals in one repetitive sequence. One device is a network bus adapter which serially shifts onto the command and data bus, a data word (8 bits plus control and parity bits) during the next ten direct memory access intervals after it has been granted access. The NBA is therefore allocated only one access in every ten intervals, which is a predetermined interval for all centers. The ring counters of all centers are periodically synchronized by DMA SYNC signal to assure that all NBAs be able to function in synchronism for data transfer from one center to another.

  17. Radiation evaluation study of LSI RAM technologies

    NASA Astrophysics Data System (ADS)

    Dinger, G. L.; Knoll, M. G.

    1980-01-01

    Five commercial LSI static random access memory technologies having a 1 kilobit capacity were radiation characterized. Arrays from the transistor-transistor-logic (TTL), Schottky TTL, n-channel metal oxide semiconductor, complementary metal oxide semiconductor (CMOS), and CMOS/silicon on sapphire families were evaluated. Radiation failure thresholds for gamma doserate logic upset, total gamma dose survivability, and neutron fluence survivability were determined. A brief analysis of the radiation failure mechanism for each of the logic families tested is included.

  18. Multilevel resistive information storage and retrieval

    DOEpatents

    Lohn, Andrew; Mickel, Patrick R.

    2016-08-09

    The present invention relates to resistive random-access memory (RRAM or ReRAM) systems, as well as methods of employing multiple state variables to form degenerate states in such memory systems. The methods herein allow for precise write and read steps to form multiple state variables, and these steps can be performed electrically. Such an approach allows for multilevel, high density memory systems with enhanced information storage capacity and simplified information retrieval.

  19. A Novel Heterocyclic Compound CE-104 Enhances Spatial Working Memory in the Radial Arm Maze in Rats and Modulates the Dopaminergic System

    PubMed Central

    Aher, Yogesh D.; Subramaniyan, Saraswathi; Shanmugasundaram, Bharanidharan; Sase, Ajinkya; Saroja, Sivaprakasam R.; Holy, Marion; Höger, Harald; Beryozkina, Tetyana; Sitte, Harald H.; Leban, Johann J.; Lubec, Gert

    2016-01-01

    Various psychostimulants targeting monoamine neurotransmitter transporters (MATs) have been shown to rescue cognition in patients with neurological disorders and improve cognitive abilities in healthy subjects at low doses. Here, we examined the effects upon cognition of a chemically synthesized novel MAT inhibiting compound 2-(benzhydrylsulfinylmethyl)-4-methylthiazole (named as CE-104). The efficacy of CE-104 in blocking MAT [dopamine transporter (DAT), serotonin transporter (SERT), and norepinephrine transporter] was determined using in vitro neurotransmitter uptake assay. The effect of the drug at low doses (1 and 10 mg/kg) on spatial memory was studied in male rats in the radial arm maze (RAM). Furthermore, the dopamine receptor and transporter complex levels of frontal cortex (FC) tissue of trained and untrained animals treated either with the drug or vehicle were quantified on blue native PAGE (BN-PAGE). The drug inhibited dopamine (IC50: 27.88 μM) and norepinephrine uptake (IC50: 160.40 μM), but had a negligible effect on SERT. In the RAM, both drug-dose groups improved spatial working memory during the performance phase of RAM as compared to vehicle. BN-PAGE Western blot quantification of dopamine receptor and transporter complexes revealed that D1, D2, D3, and DAT complexes were modulated due to training and by drug effects. The drug’s ability to block DAT and its influence on DAT and receptor complex levels in the FC is proposed as a possible mechanism for the observed learning and memory enhancement in the RAM. PMID:26941626

  20. Non-volatile, high density, high speed, Micromagnet-Hall effect Random Access Memory (MHRAM)

    NASA Technical Reports Server (NTRS)

    Wu, Jiin C.; Katti, Romney R.; Stadler, Henry L.

    1991-01-01

    The micromagnetic Hall effect random access memory (MHRAM) has the potential of replacing ROMs, EPROMs, EEPROMs, and SRAMs because of its ability to achieve non-volatility, radiation hardness, high density, and fast access times, simultaneously. Information is stored magnetically in small magnetic elements (micromagnets), allowing unlimited data retention time, unlimited numbers of rewrite cycles, and inherent radiation hardness and SEU immunity, making the MHRAM suitable for ground based as well as spaceflight applications. The MHRAM device design is not affected by areal property fluctuations in the micromagnet, so high operating margins and high yield can be achieved in large scale integrated circuit (IC) fabrication. The MHRAM has short access times (less than 100 nsec). Write access time is short because on-chip transistors are used to gate current quickly, and magnetization reversal in the micromagnet can occur in a matter of a few nanoseconds. Read access time is short because the high electron mobility sensor (InAs or InSb) produces a large signal voltage in response to the fringing magnetic field from the micromagnet. High storage density is achieved since a unit cell consists only of two transistors and one micromagnet Hall effect element. By comparison, a DRAM unit cell has one transistor and one capacitor, and a SRAM unit cell has six transistors.

  1. Effects of Long-Term Flutamide Treatment during Development on Sexual Behavior and Hormone Responsiveness in Rams

    PubMed Central

    Roselli, Charles E.; Meaker, Mary; Stormshak, Fred; Estill, Charles T.

    2016-01-01

    Testosterone (T) exposure during midgestation differentiates neural circuits controlling sex-specific behaviors and patterns of gonadotropin secretion in male sheep. T acts through androgen receptors (AR) and/or after aromatization to estradiol and binding to estrogen receptors. The current study assessed the role of AR activation in male sexual differentiation. We compared rams that were exposed to the AR antagonist flutamide (Flu) throughout the critical period (i.e. day 30 – 90 of gestation) to control rams and ewes that received no prenatal treatments. The external genitalia of all Flu rams were phenotypically female. Testes were positioned subcutaneously in the inguinal region of the abdomen, exhibited seasonally impaired androgen secretion and were azospermic. Flu rams displayed male-typical precopulatory and mounting behaviors, but could not intromit or ejaculate because they lacked a penis. Flu rams exhibited greater mounting behavior than control rams, and like controls, showed sexual partner preferences for estrous ewes. Neither control nor Flu rams responded to estradiol treatments with displays of female-typical receptive behavior or LH surge responses; whereas all control ewes responded as expected. The ovine sexually dimorphic nucleus in Flu rams was intermediate in volume between control rams and ewes and significantly different from both. . These results indicate that prenatal antiandrogen exposure is not able to block male sexual differentiation in sheep and suggest that compensatory mechanisms intervene to maintain sufficient androgen stimulation during development. PMID:27005749

  2. Growing without a mother results in poorer sexual behaviour in adult rams.

    PubMed

    Damián, J P; Beracochea, F; Machado, S; Hötzel, M J; Banchero, G; Ungerfeld, R

    2018-01-01

    The aim of this study was to determine if the absence of the mother during rearing has long-term effects on sexual behaviour and physiological reproductive parameters of adult rams. Two groups of rams were: (1) artificially reared, separated from their dams 24 to 36 h after birth (Week 0) and fed using sheep milk until 10 weeks of age (group AR, n=14); and (2) reared by their dams until 10 weeks of age (group DR, n=13). Sexual behaviour (tests of 20 min) and physiological reproductive parameters were analysed separately for the non-breeding (Weeks 42 to 64) and the breeding (Weeks 66 to 90) seasons. Body weight, scrotal circumference, gonado-somatic index, testosterone concentrations or sperm parameters were similar in both rearing conditions (AR v. DR) in both seasons. During the non-breeding season AR rams displayed fewer ano-genital sniffings (AR: 4.2±0.4 v. DR: 5.3±0.4, P=0.04) and matings (AR: 1.2±0.2 v. DR: 1.8±0.2, P=0.002) than DR rams. During the breeding season AR rams displayed fewer ano-genital sniffings (AR: 4.3±0.5 v. DR: 5.7±0.5, P=0.005), flehmen (AR: 0.7±0.2 v. DR: 1.1±0.2, P=0.03), mount attempts (AR: 1.4±0.2 v. DR: 2.1±0.2, P=0.04), and tended to mount less frequently (AR: 6.6±0.9 v. DR: 8.8±0.9, P=0.08) than DR rams. In conclusion, the absence of the mother during the rearing period negatively affected display of sexual behaviour towards oestrous ewes during a rams adult life in both breeding and non-breeding seasons. However, it did not affect testis size, testosterone secretion or sperm variables.

  3. Robust training attenuates TBI-induced deficits in reference and working memory on the radial 8-arm maze

    PubMed Central

    Sebastian, Veronica; Diallo, Aissatou; Ling, Douglas S. F.; Serrano, Peter A.

    2013-01-01

    Globally, it is estimated that nearly 10 million people sustain severe brain injuries leading to hospitalization and/or death every year. Amongst survivors, traumatic brain injury (TBI) results in a wide variety of physical, emotional and cognitive deficits. The most common cognitive deficit associated with TBI is memory loss, involving impairments in spatial reference and working memory. However, the majority of research thus far has characterized the deficits associated with TBI on either reference or working memory systems separately, without investigating how they interact within a single task. Thus, we examined the effects of TBI on short-term working and long-term reference memory using the radial 8-arm maze (RAM) with a sequence of four baited and four unbaited arms. Subjects were given 10 daily trials for 6 days followed by a memory retrieval test 2 weeks after training. Multiple training trials not only provide robust training, but also test the subjects' ability to frequently update short-term memory while learning the reference rules of the task. Our results show that TBI significantly impaired short-term working memory function on previously acquired spatial information but has little effect on long-term reference memory. Additionally, TBI significantly increased working memory errors during acquisition and reference memory errors during retention testing 2 weeks later. With a longer recovery period after TBI, the robust RAM training mitigated the reference memory deficit in retention but not the short-term working memory deficit during acquisition. These results identify the resiliency and vulnerabilities of short-term working and long-term reference memory to TBI in the context of robust training. The data highlight the role of cognitive training and other behavioral remediation strategies implicated in attenuating deficits associated with TBI. PMID:23653600

  4. Effect of acute pesticide exposure on bee spatial working memory using an analogue of the radial-arm maze

    NASA Astrophysics Data System (ADS)

    Samuelson, Elizabeth E. W.; Chen-Wishart, Zachary P.; Gill, Richard J.; Leadbeater, Ellouise

    2016-12-01

    Pesticides, including neonicotinoids, typically target pest insects by being neurotoxic. Inadvertent exposure to foraging insect pollinators is usually sub-lethal, but may affect cognition. One cognitive trait, spatial working memory, may be important in avoiding previously-visited flowers and other spatial tasks such as navigation. To test this, we investigated the effect of acute thiamethoxam exposure on spatial working memory in the bumblebee Bombus terrestris, using an adaptation of the radial-arm maze (RAM). We first demonstrated that bumblebees use spatial working memory to solve the RAM by showing that untreated bees performed significantly better than would be expected if choices were random or governed by stereotyped visitation rules. We then exposed bees to either a high sub-lethal positive control thiamethoxam dose (2.5 ng-1 bee), or one of two low doses (0.377 or 0.091 ng-1) based on estimated field-realistic exposure. The high dose caused bees to make more and earlier spatial memory errors and take longer to complete the task than unexposed bees. For the low doses, the negative effects were smaller but statistically significant, and dependent on bee size. The spatial working memory impairment shown here has the potential to harm bees exposed to thiamethoxam, through possible impacts on foraging efficiency or homing.

  5. Attentional priorities and access to short-term memory: parietal interactions.

    PubMed

    Gillebert, Céline R; Dyrholm, Mads; Vangkilde, Signe; Kyllingsbæk, Søren; Peeters, Ronald; Vandenberghe, Rik

    2012-09-01

    The intraparietal sulcus (IPS) has been implicated in selective attention as well as visual short-term memory (VSTM). To contrast mechanisms of target selection, distracter filtering, and access to VSTM, we combined behavioral testing, computational modeling and functional magnetic resonance imaging. Sixteen healthy subjects participated in a change detection task in which we manipulated both target and distracter set sizes. We directly compared the IPS response as a function of the number of targets and distracters in the display and in VSTM. When distracters were not present, the posterior and middle segments of IPS showed the predicted asymptotic activity increase with an increasing target set size. When distracters were added to a single target, activity also increased as predicted. However, the addition of distracters to multiple targets suppressed both middle and posterior IPS activities, thereby displaying a significant interaction between the two factors. The interaction between target and distracter set size in IPS could not be accounted for by a simple explanation in terms of number of items accessing VSTM. Instead, it led us to a model where items accessing VSTM receive differential weights depending on their behavioral relevance, and secondly, a suppressive effect originates during the selection phase when multiple targets and multiple distracters are simultaneously present. The reverse interaction between target and distracter set size was significant in the right temporoparietal junction (TPJ), where activity was highest for a single target compared to any other condition. Our study reconciles the role of middle IPS in attentional selection and biased competition with its role in VSTM access. Copyright © 2012 Elsevier Inc. All rights reserved.

  6. Response of the Ubiquitin-Proteasome System to Memory Retrieval After Extended-Access Cocaine or Saline Self-Administration.

    PubMed

    Werner, Craig T; Milovanovic, Mike; Christian, Daniel T; Loweth, Jessica A; Wolf, Marina E

    2015-12-01

    The ubiquitin-proteasome system (UPS) has been implicated in the retrieval-induced destabilization of cocaine- and fear-related memories in Pavlovian paradigms. However, nothing is known about its role in memory retrieval after self-administration of cocaine, an operant paradigm, or how the length of withdrawal from cocaine may influence retrieval mechanisms. Here, we examined UPS activity after an extended-access cocaine self-administration regimen that leads to withdrawal-dependent incubation of cue-induced cocaine craving. Controls self-administered saline. In initial experiments, memory retrieval was elicited via a cue-induced seeking/retrieval test on withdrawal day (WD) 50-60, when craving has incubated. We found that retrieval of cocaine- and saline-associated memories produced similar increases in polyubiquitinated proteins in the nucleus accumbens (NAc), compared with rats that did not undergo a seeking/retrieval test. Measures of proteasome catalytic activity confirmed similar activation of the UPS after retrieval of saline and cocaine memories. However, in a subsequent experiment in which testing was conducted on WD1, proteasome activity in the NAc was greater after retrieval of cocaine memory than saline memory. Analysis of other brain regions confirmed that effects of cocaine memory retrieval on proteasome activity, relative to saline memory retrieval, depend on withdrawal time. These results, combined with prior studies, suggest that the relationship between UPS activity and memory retrieval depends on training paradigm, brain region, and time elapsed between training and retrieval. The observation that mechanisms underlying cocaine memory retrieval change depending on the age of the memory has implications for development of memory destabilization therapies for cue-induced relapse in cocaine addicts.

  7. Heart rate patterns during courtship and mating in rams and in estrous and nonestrous ewes ().

    PubMed

    Orihuela, A; Omaña, J C; Ungerfeld, R

    2016-02-01

    The aim of this study was to compare the heart rate (HR) patterns in rams mated with estrous or nonestrous ewes and in mated estrous and nonestrous ewes () during courtship and mating. For this purpose, HR and behavior were recorded using a radio telemetry recording system and a closed-circuit television system with video recording, respectively. Rams were joined with either an estrous ( = 10) or a nonestrous ( = 10) ewe that was restrained in a stanchion by the neck. Data were continuously recorded until each ram performed 3 ejaculations. Eight days later, the HR of the 10 estrous and 10 nonestrous ewes was recorded during mating. Although the time between entrance into the yard and the first ejaculation was similar across rams, rams that mounted estrous ewes were faster at attaining their second (3min5s ± 17 s vs. 5min28s ± 18 s) and third (7min58s ± 45 s vs. 12 min ± 1min14s) ejaculations (all < 0.05). By contrast, no differences in HR were observed between rams that interacted with estrous versus nonestrous ewes. In all cases, HR reached maximum values immediately after each ejaculation and the HR pattern was similar across ejaculations (first, second, and third). Although HR was similar between estrous and nonestrous ewes before mating, nonestrous ewes had higher HR ( < 0.05) during mating. In summary, 1) rams that mated estrous ewes displayed shorter interejaculation periods but HR did not differ between groups of rams during any ejaculation (first, second, or third), 2) HR for both groups of rams peaked shortly after each ejaculation, and 3) HR increased more in nonestrous than in estrous ewes while mating.

  8. Optical mass memories

    NASA Technical Reports Server (NTRS)

    Bailey, G. A.

    1976-01-01

    Optical and magnetic variants in the design of trillion-bit read/write memories are compared and tabulated. Components and materials suitable for a random access read/write nonmoving memory system are examined, with preference given to holography and photoplastic materials. Advantages and deficiencies of photoplastics are reviewed. Holographic page composer design, essential features of an optical memory with no moving parts, fiche-oriented random access memory design, and materials suitable for an efficient photoplastic fiche are considered. The optical variants offer advantages in lower volume and weight at data transfer rates near 1 Mbit/sec, but power drain is of the same order as for the magnetic variants (tape memory, disk memory). The mechanical properties of photoplastic film materials still leave much to be desired.

  9. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campbell, Philip Montgomery; Wix, Steven D.

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models andmore » compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.« less

  10. Parity of access to memory services in London for the BAME population: a cross-sectional study.

    PubMed

    Cook, Laura; Mukherjee, Sujoy; McLachlan, Tim; Shah, Rajendra; Livingston, Gill; Mukadam, Naaheed

    2018-03-12

    To investigate whether referrals to memory services in London reflect the ethnic diversity of the population. Memory service data including referral rates of BAME was collected from London Clinical Commissioning Groups (CCGs). The expected percentage of BAME referrals using census data was compared against White British population percentages using the chi squared test. We found that within 13,166 referrals to memory services across London, the percentage of people from BAME groups was higher than would be expected (20.3 versus 19.4%; χ 2 = 39.203, d.f. = 1, p < 0.0001) indicating that generally people from BAME groups are accessing memory services. Seventy-nine percent of memory services had more referrals than expected or no significant difference for all BAME groups. When there were fewer referrals then expected, the largest difference in percentage for an individual ethnic group was 3.3%. Results are encouraging and may indicate a significant improvement in awareness of dementia and help seeking behaviour among BAME populations. Prevalence of dementia in some ethnic groups may be higher so these numbers could still indicate under-referral. Due to the data available we were unable to compare disease severity or diagnosis type.

  11. Recognition of a heritage in danger: rammed-earth architecture in Lyon city, France

    NASA Astrophysics Data System (ADS)

    Alex, Dorothée

    2018-04-01

    Historically, man has built with what he had underfoot: if the earthen construction is a tradition of 11 000 years old, the technique of the rammed earth (earth rammed in a formwork) is relatively new since it appeared for the first time in Tunisia in 814 BC. Exported in France, rammed earth is established mainly in Rhône-Alpes Region which has an ideal soil, rich in gravel, sand, silt and clay. Traditionally associated with the rural world, rammed earth heritage is also present in urban centers. The city of Lyon is one of the rare European cities to concentrate a large proportion of rammed earth buildings: the inventories made show that they are present in almost all the districts as well as in the suburban areas. This high density could be explained by the phenomenon of rural exodus experienced by Lyon during the nineteenth century. The agricultural populations, attracted by the prosperity of the city, then settled at the doors of this city, bringing their know-how by building with the cheaper and easier material available: earth. Rammed earth buildings are therefore located on bounder areas between the countryside and the city. They are thus found on lands that were once outside the city walls. With the advent of the industrial era at the end of the 19th century and the appearance of concrete, rammed earth constructions gradually disappeared. The constructions that we see today are therefore prior to 1900. Varied, ranging from detached houses to the 6-storey buildings, they stand as a testimony to a know-how that finds a particular resonance today, while the environmental and economic concerns are at the forefront. Little known, they constitute a heritage in danger that should be rediscovered.

  12. Jargon that Computes: Today's PC Terminology.

    ERIC Educational Resources Information Center

    Crawford, Walt

    1997-01-01

    Discusses PC (personal computer) and telecommunications terminology in context: Integrated Services Digital Network (ISDN); Asymmetric Digital Subscriber Line (ADSL); cable modems; satellite downloads; T1 and T3 lines; magnitudes ("giga-,""nano-"); Central Processing Unit (CPU); Random Access Memory (RAM); Universal Serial Bus…

  13. Optical memories in digital computing

    NASA Technical Reports Server (NTRS)

    Alford, C. O.; Gaylord, T. K.

    1979-01-01

    High capacity optical memories with relatively-high data-transfer rate and multiport simultaneous access capability may serve as basis for new computer architectures. Several computer structures that might profitably use memories are: a) simultaneous record-access system, b) simultaneously-shared memory computer system, and c) parallel digital processing structure.

  14. Carbon nanomaterials for non-volatile memories

    NASA Astrophysics Data System (ADS)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  15. Effect of ram semen extenders and supplements on computer assisted sperm analysis parameters

    USDA-ARS?s Scientific Manuscript database

    A study evaluated the effects of ram semen extender and extender supplementation on computer assisted sperm analysis (CASA) parameters positively correlated with progressive motility. Semen collected from 5 rams was distributed across treatment combinations consisting of either TRIS citrate (T) or ...

  16. Towards Terabit Memories

    NASA Astrophysics Data System (ADS)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  17. Reliable Acquisition of RAM Dumps from Intel-Based Apple Mac Computers over FireWire

    NASA Astrophysics Data System (ADS)

    Gladyshev, Pavel; Almansoori, Afrah

    RAM content acquisition is an important step in live forensic analysis of computer systems. FireWire offers an attractive way to acquire RAM content of Apple Mac computers equipped with a FireWire connection. However, the existing techniques for doing so require substantial knowledge of the target computer configuration and cannot be used reliably on a previously unknown computer in a crime scene. This paper proposes a novel method for acquiring RAM content of Apple Mac computers over FireWire, which automatically discovers necessary information about the target computer and can be used in the crime scene setting. As an application of the developed method, the techniques for recovery of AOL Instant Messenger (AIM) conversation fragments from RAM dumps are also discussed in this paper.

  18. Magnetic field dependence of spin torque switching in nanoscale magnetic tunnel junctions

    NASA Astrophysics Data System (ADS)

    Yang, Liu; Rowlands, Graham; Katine, Jordan; Langer, Juergen; Krivorotov, Ilya

    2012-02-01

    Magnetic random access memory based on spin transfer torque effect in nanoscale magnetic tunnel junctions (STT-RAM) is emerging as a promising candidate for embedded and stand-alone computer memory. An important performance parameter of STT-RAM is stability of its free magnetic layer against thermal fluctuations. Measurements of the free layer switching probability as a function of sub-critical voltage at zero effective magnetic field (read disturb rate or RDR measurements) have been proposed as a method for quantitative evaluation of the free layer thermal stability at zero voltage. In this presentation, we report RDR measurement as a function of external magnetic field, which provide a test of the RDR method self-consistency and reliability.

  19. Design and measurement of fully digital ternary content addressable memory using ratioless static random access memory cells and hierarchical-AND matching comparator

    NASA Astrophysics Data System (ADS)

    Nishikata, Daisuke; Ali, Mohammad Alimudin Bin Mohd; Hosoda, Kento; Matsumoto, Hiroshi; Nakamura, Kazuyuki

    2018-04-01

    A 36-bit × 32-entry fully digital ternary content addressable memory (TCAM) using the ratioless static random access memory (RL-SRAM) technology and fully complementary hierarchical-AND matching comparators (HAMCs) was developed. Since its fully complementary and digital operation enables the effect of device variabilities to be avoided, it can operate with a quite low supply voltage. A test chip incorporating a conventional TCAM and a proposed 24-transistor ratioless TCAM (RL-TCAM) cells and HAMCs was developed using a 0.18 µm CMOS process. The minimum operating voltage of 0.25 V of the developed RL-TCAM, which is less than half of that of the conventional TCAM, was measured via the conventional CMOS push–pull output buffers with the level-shifting and flipping technique using optimized pull-up voltage and resistors.

  20. Electrical Evaluation of RCA MWS5001D Random Access Memory, Volume 5, Appendix D

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    The electrical characterization and qualification test results are presented for the RCA MWS 5001D random access memory. The tests included functional tests, AC and DC parametric tests, AC parametric worst-case pattern selection test, determination of worst-case transition for setup and hold times, and a series of schmoo plots. Average input high current, worst case input high current, output low current, and data setup time are some of the results presented.

  1. Electrical Evaluation of RCA MWS5001D Random Access Memory, Volume 4, Appendix C

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    The electrical characterization and qualification test results are presented for the RCA MWS5001D random access memory. The tests included functional tests, AC and DC parametric tests, AC parametric worst-case pattern selection test, determination of worst-case transition for setup and hold times, and a series of schmoo plots. Statistical analysis data is supplied along with write pulse width, read cycle time, write cycle time, and chip enable time data.

  2. Enhanced oxygen vacancy diffusion in Ta2O5 resistive memory devices due to infinitely adaptive crystal structure

    NASA Astrophysics Data System (ADS)

    Jiang, Hao; Stewart, Derek A.

    2016-04-01

    Metal oxide resistive memory devices based on Ta2O5 have demonstrated high switching speed, long endurance, and low set voltage. However, the physical origin of this improved performance is still unclear. Ta2O5 is an important archetype of a class of materials that possess an adaptive crystal structure that can respond easily to the presence of defects. Using first principles nudged elastic band calculations, we show that this adaptive crystal structure leads to low energy barriers for in-plane diffusion of oxygen vacancies in λ phase Ta2O5. Identified diffusion paths are associated with collective motion of neighboring atoms. The overall vacancy diffusion is anisotropic with higher diffusion barriers found for oxygen vacancy movement between Ta-O planes. Coupled with the fact that oxygen vacancy formation energy in Ta2O5 is relatively small, our calculated low diffusion barriers can help explain the low set voltage in Ta2O5 based resistive memory devices. Our work shows that other oxides with adaptive crystal structures could serve as potential candidates for resistive random access memory devices. We also discuss some general characteristics for ideal resistive RAM oxides that could be used in future computational material searches.

  3. Basic and applied studies of the ram accelerator as a hypervelocity projectile launcher

    NASA Astrophysics Data System (ADS)

    Bruckner, Adam P.; Knowlen, Carl

    1993-12-01

    The potential of using ram accelerator technology for an impulsive launcher of autonomously guided interceptors, such as the LEAP, has been studied during this contract period. In addition, fundamental investigations on some of the engineering issues which must be addressed for enabling ram accelerator propulsive modes to operate at 4 km/sec have been undertaken. An experimental investigation of the gas dynamic limits of ram accelerator operation has demonstrated the existence of two distinct limiting mechanisms that must be accounted for when designing projectiles for these launchers. Other experiments were conducted to make detailed pressure measurements of the flow fields at the tube walls to study the effects of projectile canting. Results from this LEAP launcher study and the experimental investigations indicate that the ram accelerator technology is well suited for applications as a transportable launcher capable of meeting the needs of theater ballistic missile defense missions.

  4. Accessing long-term memory representations during visual change detection.

    PubMed

    Beck, Melissa R; van Lamsweerde, Amanda E

    2011-04-01

    In visual change detection tasks, providing a cue to the change location concurrent with the test image (post-cue) can improve performance, suggesting that, without a cue, not all encoded representations are automatically accessed. Our studies examined the possibility that post-cues can encourage the retrieval of representations stored in long-term memory (LTM). Participants detected changes in images composed of familiar objects. Performance was better when the cue directed attention to the post-change object. Supporting the role of LTM in the cue effect, the effect was similar regardless of whether the cue was presented during the inter-stimulus interval, concurrent with the onset of the test image, or after the onset of the test image. Furthermore, the post-cue effect and LTM performance were similarly influenced by encoding time. These findings demonstrate that monitoring the visual world for changes does not automatically engage LTM retrieval.

  5. The “Ram Effect”: A “Non-Classical” Mechanism for Inducing LH Surges in Sheep

    PubMed Central

    Fabre-Nys, Claude; Chanvallon, Audrey; Dupont, Joëlle; Lardic, Lionel; Lomet, Didier; Martinet, Stéphanie; Scaramuzzi, Rex J.

    2016-01-01

    During spring sheep do not normally ovulate but exposure to a ram can induce ovulation. In some ewes an LH surge is induced immediately after exposure to a ram thus raising questions about the control of this precocious LH surge. Our first aim was to determine the plasma concentrations of oestradiol (E2) E2 in anoestrous ewes before and after the “ram effect” in ewes that had a “precocious” LH surge (starting within 6 hours), a “normal” surge (between 6 and 28h) and “late» surge (not detected by 56h). In another experiment we tested if a small increase in circulating E2 could induce an LH surge in anoestrus ewes. The concentration of E2 significantly was not different at the time of ram introduction among ewes with the three types of LH surge. “Precocious” LH surges were not preceded by a large increase in E2 unlike “normal” surges and small elevations of circulating E2 alone were unable to induce LH surges. These results show that the “precocious” LH surge was not the result of E2 positive feedback. Our second aim was to test if noradrenaline (NA) is involved in the LH response to the “ram effect”. Using double labelling for Fos and tyrosine hydroxylase (TH) we showed that exposure of anoestrous ewes to a ram induced a higher density of cells positive for both in the A1 nucleus and the Locus Coeruleus complex compared to unstimulated controls. Finally, the administration by retrodialysis into the preoptic area, of NA increased the proportion of ewes with an LH response to ram odor whereas treatment with the α1 antagonist Prazosin decreased the LH pulse frequency and amplitude induced by a sexually active ram. Collectively these results suggest that in anoestrous ewes NA is involved in ram-induced LH secretion as observed in other induced ovulators. PMID:27384667

  6. Binder of Sperm Proteins protect ram spermatozoa from freeze-thaw damage.

    PubMed

    Pini, Taylor; Farmer, Kiri; Druart, Xavier; Teixeira-Gomes, Ana Paula; Tsikis, Guillaume; Labas, Valerie; Leahy, Tamara; de Graaf, Simon P

    2018-06-01

    Cryopreservation causes sub-lethal damage which limits the fertility of frozen thawed spermatozoa. Seminal plasma has been investigated as a cryoprotectant, but has yielded inconsistent results due to considerable variation in its constituents. Individual seminal plasma proteins offer an ideal alternative to whole seminal plasma, and several have been correlated with freezing success. Binder of Sperm Proteins (BSPs) are abundant ram seminal plasma proteins which have been suggested to have significant protective effects on ram spermatozoa during cold shock. This is in direct opposition to bull spermatozoa, where BSPs cause sperm deterioration during in vitro handling. We investigated the potential of BSP1 and BSP5 to prevent freezing associated damage to important functional parameters of ram spermatozoa. BSPs purified by size exclusion chromatography improved post thaw motility and penetration through artificial mucus. Highly purified BSP1 and BSP5, isolated by gelatin affinity and RP-HPLC, improved motility and membrane integrity, and reduced post thaw protein tyrosine phosphorylation. Exposure to BSP5 before freezing increased the amount of phosphatidylethanolamine on the sperm surface after thawing. Neither BSP1 nor BSP5 prevented freezing associated changes in membrane lipid disorder. These results suggest that BSPs may significantly improve freezing outcomes of ram spermatozoa. Copyright © 2018 Elsevier Inc. All rights reserved.

  7. Evaluating the reproductive ability of breeding rams in North-Eastern Spain using clinical examination of the body and external genitalia.

    PubMed

    Mozo, René; Galeote, Ana Isabel; Alabart, José Luis; Fantova, Enrique; Folch, José

    2015-11-26

    Predicting the ability of rams to detect, mate and fertilise ewes in oestrus accurately is certainly difficult; however, tests based on clinical examinations have been performed to assess the overall potential capacity of rams to serve and impregnate ewes. Clinical examinations for breeding soundness evaluation were carried out in 897 Rasa Aragonesa (RA) rams from 35 flocks in North-Eastern (NE) Spain. Clinical examinations of head, trunk, limbs and genitals were performed in each ram. Blood samples were collected for a serological study of Brucella ovis. The sheep owners were surveyed regarding the characteristics of the flock, rams' health history and the management of rams. The clinical alterations found were classified according to severity (mild or severe). Rams were classified as suitable (without lesions or with only mild lesions) or unsuitable (with severe lesions) for breeding depending on the results of the clinical examinations. The results showed that 60.6 % of rams presented some type of alteration (mild: 43.3 %; severe: 17.3 %) in various body parts (genitalia: 31.6 %; head and trunk: 37.2 %; limbs: 15.5 %), and that 16.7 % of rams were classified as unsuitable breeders. The most common genital alterations were ulcerative posthitis (18.7 %) followed by testicular lesions (5.3 %). The highest prevalence of unsuitable breeders was found in the category of adult and aged rams (13.8 % and 37.4 %, respectively) and in the category of emaciated rams (33.3 %). All rams examined were seronegative to Brucella ovis. The mean percentage of rams in flocks was 2.8 % (min: 1.6 %; max: 4.6 %); nevertheless, this percentage dropped to 2.5 % (min: 1.4 %; max: 3.7 %) and 2.1 % (min: 0.3 %; max: 3.5 %) when only suitable or effective (suitable mature) rams were considered. Thus, it is concluded that there are fewer effective rams in farms than farmers realise. Frequent clinical examination of males is recommended in order to identify potentially infertile rams.

  8. Nonvolatile reconfigurable sequential logic in a HfO2 resistive random access memory array.

    PubMed

    Zhou, Ya-Xiong; Li, Yi; Su, Yu-Ting; Wang, Zhuo-Rui; Shih, Ling-Yi; Chang, Ting-Chang; Chang, Kuan-Chang; Long, Shi-Bing; Sze, Simon M; Miao, Xiang-Shui

    2017-05-25

    Resistive random access memory (RRAM) based reconfigurable logic provides a temporal programmable dimension to realize Boolean logic functions and is regarded as a promising route to build non-von Neumann computing architecture. In this work, a reconfigurable operation method is proposed to perform nonvolatile sequential logic in a HfO 2 -based RRAM array. Eight kinds of Boolean logic functions can be implemented within the same hardware fabrics. During the logic computing processes, the RRAM devices in an array are flexibly configured in a bipolar or complementary structure. The validity was demonstrated by experimentally implemented NAND and XOR logic functions and a theoretically designed 1-bit full adder. With the trade-off between temporal and spatial computing complexity, our method makes better use of limited computing resources, thus provides an attractive scheme for the construction of logic-in-memory systems.

  9. Critical role of a double-layer configuration in solution-based unipolar resistive switching memories.

    PubMed

    Carlos, Emanuel; Kiazadeh, Asal; Deuermeier, Jonas; Branquinho, Rita; Martins, Rodrigo; Fortunato, Elvira

    2018-08-24

    Lately, resistive switching memories (ReRAM) have been attracting a lot of attention due to their possibilities of fast operation, lower power consumption and simple fabrication process and they can also be scaled to very small dimensions. However, most of these ReRAM are produced by physical methods and nowadays the industry demands more simplicity, typically associated with low cost manufacturing. As such, ReRAMs in this work are developed from a solution-based aluminum oxide (Al 2 O 3 ) using a simple combustion synthesis process. The device performance is optimized by two-stage deposition of the Al 2 O 3 film. The resistive switching properties of the bilayer devices are reproducible with a yield of 100%. The ReRAM devices show unipolar resistive switching behavior with good endurance and retention time up to 10 5 s at 85 °C. The devices can be programmed in a multi-level cell operation mode by application of different reset voltages. Temperature analysis of various resistance states reveals a filamentary nature based on the oxygen vacancies. The optimized film was stacked between ITO and indium zinc oxide, targeting a fully transparent device for applications on transparent system-on-panel technology.

  10. Naringenin improves learning and memory in an Alzheimer's disease rat model: Insights into the underlying mechanisms.

    PubMed

    Ghofrani, Saeed; Joghataei, Mohammad-Taghi; Mohseni, Simin; Baluchnejadmojarad, Tourandokht; Bagheri, Maryam; Khamse, Safoura; Roghani, Mehrdad

    2015-10-05

    Alzheimer's disease (AD) is one of the prevalent neurological disorders of the central nervous system hallmarked by increased beta-amyloid (Aβ) deposition and ensuing learning and memory deficit. In the present study, the beneficial effect of naringenin on improvement of learning and memory was evaluated in an Alzheimer's disease rat model. The Aβ-injected rats showed a lower alternation score in Y-maze task, impairment of retention and recall capability in passive avoidance test, and lower correct choices and higher errors in radial arm maze (RAM) task as compared to sham group in addition to enhanced oxidative stress and apoptosis. Naringenin, but not a combination of naringenin and fulvestrant (an estrogenic receptor antagonist) significantly improved the performance of Aβ-injected rats in passive avoidance and RAM tasks. Naringenin pretreatment of Aβ-injected rats also lowered hippocampal malondialdehyde (MDA) with no significant effect on nitrite and superoxide dismutase (SOD) activity in addition to lowering apoptosis. These results suggest naringenin pretreatment attenuates Aβ-induced impairment of learning and memory through mitigation of lipid peroxidation and apoptosis and its beneficial effect is somewhat mediated via estrogenic pathway. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Ram Pressure Stripping of Galaxy JO201

    NASA Astrophysics Data System (ADS)

    Zhong, Greta; Tonnesen, Stephanie; Jaffé, Yara; Bellhouse, Callum; Bianca Poggianti

    2017-01-01

    Despite the discovery of the morphology-density relation more than 30 years ago, the process driving the evolution of spiral galaxies into S0s in clusters is still widely debated. Ram pressure stripping--the removal of a galaxy's interstellar medium by the pressure of the intracluster medium through which it orbits--may help explain galactic evolution and quenching in clusters. MUSE (Multi Unit Spectroscopic Explorer) observational data of galaxy JO201 in cluster Abell 85 reveal it to be a jellyfish galaxy--one with an H-alpha emitting gas tail on only one side. We model the possible orbits for this galaxy, constrained by the cluster mass profile, line of sight velocity, and projected distance from the cluster center. Using Enzo, an adaptive mesh refinement hydrodynamics code, we simulate effects of ram pressure on this galaxy for a range of possible orbits. We present comparisons of both the morphology and velocity structure of our simulated galaxy to the observations of H-alpha emission.

  12. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xiang; Lu, Yang; Lee, Jongho

    2016-01-04

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics formore » memory arrays.« less

  13. Nigella sativa Oil Enhances the Spatial Working Memory Performance of Rats on a Radial Arm Maze

    PubMed Central

    Sahak, Mohamad Khairul Azali; Mohamed, Abdul Majid; Hashim, Noor Hashida; Hasan Adli, Durriyyah Sharifah

    2013-01-01

    Nigella sativa, an established historical and religion-based remedy for a wide range of health problems, is a herbal medicine known to have antioxidant and neuroprotective effects. This present study investigated the effect of Nigella sativa oil (NSO) administration on the spatial memory performance (SMP) of male adult rats using eight-arm radial arm maze (RAM). Twelve Sprague Dawley rats (7–9 weeks old) were force-fed daily with 6.0 μL/100 g body weight of Nigella sativa oil (NSO group; n = 6) or 0.1 mL/100 g body weight of corn oil (control) (CO group; n = 6) for a period of 20 consecutive weeks. For each weekly evaluation of SMP, one day food-deprived rats were tested by allowing each of them 3 minutes to explore the RAM for food as their rewards. Similar to the control group, the SMP of the treated group was not hindered, as indicated by the establishment of the reference and working memory components of the spatial memory. The results demonstrated that lesser mean numbers of error were observed for the NSO-treated group in both parameters as compared to the CO-treated group. NSO could therefore enhance the learning and memory abilities of the rats; there was a significant decrease in the overall mean number of working memory error (WME) in the NSO-treated group. PMID:24454487

  14. Effect of semen extender and storage temperature on ram sperm motility over time

    USDA-ARS?s Scientific Manuscript database

    Storage of ram semen for long period of time depends on a number of factors, including type of extender and storage temperature. A study compared the effect of semen extender and storage temperature on motility of ram semen stored for 72 h. Semen collected via electroejaculator from 5 mature Katahd...

  15. Physics Notes.

    ERIC Educational Resources Information Center

    School Science Review, 1979

    1979-01-01

    Included is information regarding: fabrication of light emitting diodes, their operation as semiconductors, and an experiment demonstrating electroluminescence; experimenting with Random Access Memory (RAM) circuits; demonstrating Coriolis effect; measuring the diameter of an electron beam, E.H.T. meters; launching a trolley by catapult; a "random…

  16. Tracing ram-pressure stripping with warm molecular hydrogen emission

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sivanandam, Suresh; Rieke, Marcia J.; Rieke, George H., E-mail: sivanandam@dunlap.utoronto.ca

    We use the Spitzer Infrared Spectrograph to study four infalling cluster galaxies with signatures of ongoing ram-pressure stripping. H{sub 2} emission is detected in all four, and two show extraplanar H{sub 2} emission. The emission usually has a warm (T ∼ 115-160 K) and a hot (T ∼ 400-600 K) component that is approximately two orders of magnitude less massive than the warm one. The warm component column densities are typically 10{sup 19} to 10{sup 20} cm{sup –2} with masses of 10{sup 6} to 10{sup 8} M {sub ☉}. The warm H{sub 2} is anomalously bright compared with normal star-formingmore » galaxies and therefore may be excited by ram-pressure. In the case of CGCG 97-073, the H{sub 2} is offset from the majority of star formation along the direction of the galaxy's motion in the cluster, suggesting that it is forming in the ram-pressure wake of the galaxy. Another galaxy, NGC 4522, exhibits a warm H{sub 2} tail approximately 4 kpc in length. These results support the hypothesis that H{sub 2} within these galaxies is shock-heated from the interaction with the intracluster medium. Stripping of dust is also a common feature of the galaxies. For NGC 4522, where the distribution of dust at 8 μm is well resolved, knots and ripples demonstrate the turbulent nature of the stripping process. The Hα and 24 μm luminosities show that most of the galaxies have star-formation rates comparable to similar mass counterparts in the field. Finally, we suggest a possible evolutionary sequence primarily related to the strength of ram-pressure that a galaxy experiences to explain the varied results observed in our sample.« less

  17. Galactic star formation enhanced and quenched by ram pressure in groups and clusters

    NASA Astrophysics Data System (ADS)

    Bekki, Kenji

    2014-02-01

    We investigate how ram pressure of intragroup and intracluster medium can influence the spatial and temporal variations of star formation (SF) of disc galaxies with halo masses (Mh) ranging from 1010 to 1012 M⊙ (i.e. from dwarf irregular to Milky Way-type) in groups and clusters with 1013 ≤ Mh/M⊙ ≤ 1015 by using numerical simulations with a new model for time-varying ram pressure. The long-term evolution of SF rates and Hα morphologies corresponding to the distributions of star-forming regions are particularly investigated for different model parameters. The principal results are as follows. Whether ram pressure can enhance or reduce SF depends on Mh of disc galaxies and inclination angles of gas discs with respect to their orbital directions for a given orbit and a given environment. For example, SF can be moderately enhanced in disc galaxies with Mh = 1012 M⊙ at the pericentre passages in a cluster with Mh = 1014 M⊙ whereas it can be completely shut down (`quenching') for low-mass discs with Mh = 1010 M⊙. Ram pressure can reduce the Hα-to-optical-disc-size ratios of discs and the level of the reduction depends on Mh and orbits of disc galaxies for a given environment. Disc galaxies under strong ram pressure show characteristic Hα morphologies such as ring-like, one-sided and crescent-like distributions.

  18. Iconographic dental typography. A dental character font for computer graphics.

    PubMed

    McCormack, J

    1991-06-08

    The recent massive increase in available memory for microcomputers now allows multiple font faces to be stored in computer RAM memory for instant access to the screen and for printed output. Fonts can be constructed in which the characters are not just letters or numbers, but are miniature graphic icons--in this instance pictures of teeth. When printed on an appropriate laser printer, this produces printed graphics of publishing quality.

  19. The rams horn in western history

    NASA Astrophysics Data System (ADS)

    Lubman, David

    2003-10-01

    The shofar or rams horn-one of the most ancient of surviving aerophones-may have originated with early Neolithic herders. The shofar is mentioned frequently and importantly in the Hebrew bible and in later biblical and post-biblical literature. Despite its long history, contemporary ritual uses, and profound symbolic significance to western religion, no documentation of shofar acoustical properties was found. Since ancient times, shepherds of many cultures have fashioned sound instruments from the horns of herd animals for practical and musical uses. Shepherd horns of other cultures exhibit an evolution of form and technology (e.g., the inclusion of finger holes). The shofar is unique in having retained its primitive form. It is suggested that after centuries of practical use, the shofar became emblematic of the shepherd culture. Ritual use then developed, which froze its form. A modern ritual rams horn played by an experienced blower was examined. This rather short horn was determined to have a source strength of 92 dB (A) at 1 m, a fundamental frequency near 420 Hz, and maximum power output between 1.2 and 1.8 kHz. Sample sounds and detection range estimates are provided.

  20. Incorporation of RAM techniques into simulation modeling

    NASA Astrophysics Data System (ADS)

    Nelson, S. C., Jr.; Haire, M. J.; Schryver, J. C.

    1995-01-01

    This work concludes that reliability, availability, and maintainability (RAM) analytical techniques can be incorporated into computer network simulation modeling to yield an important new analytical tool. This paper describes the incorporation of failure and repair information into network simulation to build a stochastic computer model to represent the RAM Performance of two vehicles being developed for the US Army: The Advanced Field Artillery System (AFAS) and the Future Armored Resupply Vehicle (FARV). The AFAS is the US Army's next generation self-propelled cannon artillery system. The FARV is a resupply vehicle for the AFAS. Both vehicles utilize automation technologies to improve the operational performance of the vehicles and reduce manpower. The network simulation model used in this work is task based. The model programmed in this application requirements a typical battle mission and the failures and repairs that occur during that battle. Each task that the FARV performs--upload, travel to the AFAS, refuel, perform tactical/survivability moves, return to logistic resupply, etc.--is modeled. Such a model reproduces a model reproduces operational phenomena (e.g., failures and repairs) that are likely to occur in actual performance. Simulation tasks are modeled as discrete chronological steps; after the completion of each task decisions are programmed that determine the next path to be followed. The result is a complex logic diagram or network. The network simulation model is developed within a hierarchy of vehicle systems, subsystems, and equipment and includes failure management subnetworks. RAM information and other performance measures are collected which have impact on design requirements. Design changes are evaluated through 'what if' questions, sensitivity studies, and battle scenario changes.

  1. Hydrogen doping in HfO{sub 2} resistance change random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duncan, D.; Magyari-Köpe, B.; Nishi, Y.

    2016-01-25

    The structures and energies of hydrogen-doped monoclinic hafnium dioxide were calculated using density-functional theory. The electronic interactions are described within the LDA + U formalism, where on-site Coulomb corrections are applied to the 5d orbital electrons of Hf atoms and 2p orbital electrons of the O atoms. The effects of charge state, defect-defect interactions, and hydrogenation are investigated and compared with experiment. It is found that hydrogenation of HfO{sub 2} resistance-change random access memory devices energetically stabilizes the formation of oxygen vacancies and conductive vacancy filaments through multiple mechanisms, leading to improved switching characteristic and device yield.

  2. Random access memory immune to single event upset using a T-resistor

    DOEpatents

    Ochoa, Jr., Agustin

    1989-01-01

    In a random access memory cell, a resistance "T" decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell.

  3. Analytical Studies of the Lift and Roll Stability of a Ram Air Cushion Vehicle

    DOT National Transportation Integrated Search

    1972-12-01

    A ram air cushion vehicle (a type of ram wing) is described schematically and compared with a conventional air cushion vehicle design. The nonlinear equations for the flow in the cushion region are derived. A review is made of the most recent literat...

  4. Evaluation of Data Retention Characteristics for Ferroelectric Random Access Memories (FRAMs)

    NASA Technical Reports Server (NTRS)

    Sharma, Ashok K.; Teverovsky, Alexander

    2001-01-01

    Data retention and fatigue characteristics of 64 Kb lead zirconate titanate (PZT)-based Ferroelectric Random Access Memories (FRAMs) microcircuits manufactured by Ramtron were examined over temperature range from -85 C to +310 C for ceramic packaged parts and from -85 C to +175 C for plastic parts, during retention periods up to several thousand hours. Intrinsic failures, which were caused by a thermal degradation of the ferroelectric cells, occurred in ceramic parts after tens or hundreds hours of aging at temperatures above 200 C. The activation energy of the retention test failures was 1.05 eV and the extrapolated mean-time-to-failure (MTTF) at room temperature was estimated to be more than 280 years. Multiple write-read cycling (up to 3x10(exp 7)) during the fatigue testing of plastic and ceramic parts did not result in any parametric or functional failures. However, operational currents linearly decreased with the logarithm of number of cycles thus indicating fatigue process in PZT films. Plastic parts, that had more recent date code as compared to ceramic parts, appeared to be using die with improved process technology and showed significantly smaller changes in operational currents and data access times.

  5. Working and reference memory across the estrous cycle of rat: a long-term study in gonadally intact females.

    PubMed

    Pompili, Assunta; Tomaz, Carlos; Arnone, Benedetto; Tavares, Maria Clotilde; Gasbarri, Antonella

    2010-11-12

    The results of many studies conducted over the past two decades suggested a role of estrogen on mammal's ability to learn and remember. In the present paper, we analyzed the influence that the endogenous fluctuation of estrogen, naturally present across the different phases of estrous cycle of female rats, can exert over the performance of tasks utilized to assess memory. In particular, we analyzed the performances in an eight arms radial maze task, dependent upon working memory, and in a water maze (WM) task, dependent upon spatial reference memory. The water maze is aversively motivated by the desire to escape onto a safe platform, whereas the radial arm maze (RAM) is motivated by food reward. The difference in reinforcement may affect the speed of learning, the strategy adopted and the necessity for accurate navigation. Therefore, coherent results obtained through the two different tasks can be due to mnemonic factors. The study was conducted during a long period of time, 14 months, utilizing gonadally intact females, without pharmacological and surgical treatments. In order to evaluate the post-acquisition phase we first trained the animals to reach the criterion in performing tasks, and then we submitted them to experimental phase. Our results show that estrogen can have an effect on memory processes, and that this effect may be different in relation to different kinds of memory. In fact, in our study, estrogen selectively improved working memory, but not reference memory, during post-acquisition performance of a RAM task with four baited and four un-baited arms. Moreover, WM performances showed that estrogen have a negative effect on spatial reference memory. (c) 2010 Elsevier B.V. All rights reserved.

  6. Context-dependent activation of reduced autobiographical memory specificity as an avoidant coping style.

    PubMed

    Debeer, Elise; Raes, Filip; Williams, J Mark G; Hermans, Dirk

    2011-12-01

    According to the affect-regulation hypothesis (Williams et al., 2007), reduced autobiographical memory specificity (rAMS) or overgeneral memory (OGM) might be considered a cognitive avoidance strategy; that is, people learn to avoid the emotionally painful consequences associated with the retrieval of specific negative memories. Based on this hypothesis, one would predict significant negative associations between AMS and avoidant coping. However, studies investigating this prediction have led to equivocal results. In the present study we tested a possible explanation for these contradictory findings. It was hypothesized that rAMS (in part) reflects an avoidant coping strategy, which might only become apparent under certain conditions, that is, conditions that signal the possibility of 'danger.' To test this hypothesis, we assessed AMS and behavioral avoidance but experimentally manipulated the instructions. In the neutral condition, two parallel versions of the Autobiographical Memory Test (AMT) were presented under neutral instructions. In the threat condition, the first AMT was presented under neutral instructions, while the second AMT was presented under 'threat instructions.' Results showed no significant correlations between avoidance and OGM under neutral conditions but significant and markedly stronger correlations under threat conditions, with more avoidance being associated with fewer specific and more categoric memories. In addition, high avoiders showed a stronger reduction in AMS in the threat condition as compared with the neutral condition, while low avoiders showed no such difference between conditions. The data confirm that OGM can be considered as part of a broader avoidant coping style. However, more importantly, they show that, at least in nonclinical individuals, the activation of this coping style may depend on the context. (c) 2011 APA, all rights reserved.

  7. Kinematics of ram filter feeding and beat-glide swimming in the northern anchovy Engraulis mordax.

    PubMed

    Carey, Nicholas; Goldbogen, Jeremy A

    2017-08-01

    In the dense aquatic environment, the most adept swimmers are streamlined to reduce drag and increase the efficiency of locomotion. However, because they open their mouth to wide gape angles to deploy their filtering apparatus, ram filter feeders apparently switch between diametrically opposite swimming modes: highly efficient, streamlined 'beat-glide' swimming, and ram filter feeding, which has been hypothesized to be a high-cost feeding mode because of presumed increased drag. Ram filter-feeding forage fish are thought to play an important role in the flux of nutrients and energy in upwelling ecosystems; however, the biomechanics and energetics of this feeding mechanism remain poorly understood. We quantified the kinematics of an iconic forage fish, the northern anchovy, Engraulis mordax , during ram filter feeding and non-feeding, mouth-closed beat-glide swimming. Although many kinematic parameters between the two swimming modes were similar, we found that swimming speeds and tailbeat frequencies were significantly lower during ram feeding. Rather than maintain speed with the school, a speed which closely matches theoretical optimum filter-feeding speeds was consistently observed. Beat-glide swimming was characterized by high variability in all kinematic parameters, but variance in kinematic parameters was much lower during ram filter feeding. Under this mode, body kinematics are substantially modified, and E. mordax swims more slowly and with decreased lateral movement along the entire body, but most noticeably in the anterior. Our results suggest that hydrodynamic effects that come with deployment of the filtering anatomy may limit behavioral options during foraging and result in slower swimming speeds during ram filtration. © 2017. Published by The Company of Biologists Ltd.

  8. High density submicron magnetoresistive random access memory (invited)

    NASA Astrophysics Data System (ADS)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  9. Selective memory retrieval can impair and improve retrieval of other memories.

    PubMed

    Bäuml, Karl-Heinz T; Samenieh, Anuscheh

    2012-03-01

    Research from the past decades has shown that retrieval of a specific memory (e.g., retrieving part of a previous vacation) typically attenuates retrieval of other memories (e.g., memories for other details of the event), causing retrieval-induced forgetting. More recently, however, it has been shown that retrieval can both attenuate and aid recall of other memories (K.-H. T. Bäuml & A. Samenieh, 2010). To identify the circumstances under which retrieval aids recall, the authors examined retrieval dynamics in listwise directed forgetting, context-dependent forgetting, proactive interference, and in the absence of any induced memory impairment. They found beneficial effects of selective retrieval in listwise directed forgetting and context-dependent forgetting but detrimental effects in all the other conditions. Because context-dependent forgetting and listwise directed forgetting arguably reflect impaired context access, the results suggest that memory retrieval aids recall of memories that are subject to impaired context access but attenuates recall in the absence of such circumstances. The findings are consistent with a 2-factor account of memory retrieval and suggest the existence of 2 faces of memory retrieval. 2012 APA, all rights reserved

  10. Searching for New Double Stars with a Computer

    NASA Astrophysics Data System (ADS)

    Bryant, T. V.

    2015-04-01

    The advent of computers with large amounts of RAM memory and fast processors, as well as easy internet access to large online astronomical databases, has made computer searches based on astrometric data practicable for most researchers. This paper describes one such search that has uncovered hitherto unrecognized double stars.

  11. Tyrosine hydroxylase in the ventral tegmental area of rams with high or low libido-A role for dopamine.

    PubMed

    Kramer, A C; Mirto, A J; Austin, K J; Roselli, C E; Alexander, B M

    2017-12-01

    Dopamine synthesis in the ventral tegmental area (VTA) is necessary for the reinforcement of sexual behavior. The objective of this study determined if sexual stimuli initiates reward, and whether reward is attenuated in sexually inactive rams. Sexually active rams were exposed to urine from estrous (n=4) or ovariectomized (n=3) ewes with inactive rams (n=3) exposed to urine from estrous ewes. Following exposure, rams were exsanguinated and brains perfused. Alternating sections of the VTA were stained for Fos related antigens (FRA), tyrosine hydroxylase, and dopamine beta-hydroxylase activity. Forebrain tissue, mid-sagittal ventral to the anterior corpus callosum, was stained for dopamine D 2 receptors. Concentrations of cortisol was determined prior to and following exposure. Exposure to ovariectomized-ewe urine in sexually active rams did not influence (P=0.6) FRA expression, but fewer (P<0.05) neurons were positive for tyrosine hydroxylase in the VTA. Sexually inactive rams had fewer (P<0.05) FRA and tyrosine hydroxylase positive neurons in the VTA than sexually active rams following exposure to estrous ewe urine. VTA neurons staining positive for dopamine beta-hydroxylase did not differ by sexual activity (P=0.44) or urine exposure (P=0.07). Exposure to stimulus did not influence (P=0.46) numbers of forebrain neurons staining positive for dopamine D2 receptors in sexually active rams, but fewer (P=0.04) neurons stain positive in inactive rams. Serum concentrations of cortisol did not differ (P≥0.52) among rams prior to or following stimulus. In conclusion sexual inactivity is unlikely due to stress, but may be partially a result of decreased tyrosine hydroxylase and/or the response to dopamine. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. On the robustness of bucket brigade quantum RAM

    NASA Astrophysics Data System (ADS)

    Arunachalam, Srinivasan; Gheorghiu, Vlad; Jochym-O'Connor, Tomas; Mosca, Michele; Varshinee Srinivasan, Priyaa

    2015-12-01

    We study the robustness of the bucket brigade quantum random access memory model introduced by Giovannetti et al (2008 Phys. Rev. Lett.100 160501). Due to a result of Regev and Schiff (ICALP ’08 733), we show that for a class of error models the error rate per gate in the bucket brigade quantum memory has to be of order o({2}-n/2) (where N={2}n is the size of the memory) whenever the memory is used as an oracle for the quantum searching problem. We conjecture that this is the case for any realistic error model that will be encountered in practice, and that for algorithms with super-polynomially many oracle queries the error rate must be super-polynomially small, which further motivates the need for quantum error correction. By contrast, for algorithms such as matrix inversion Harrow et al (2009 Phys. Rev. Lett.103 150502) or quantum machine learning Rebentrost et al (2014 Phys. Rev. Lett.113 130503) that only require a polynomial number of queries, the error rate only needs to be polynomially small and quantum error correction may not be required. We introduce a circuit model for the quantum bucket brigade architecture and argue that quantum error correction for the circuit causes the quantum bucket brigade architecture to lose its primary advantage of a small number of ‘active’ gates, since all components have to be actively error corrected.

  13. Multiple core computer processor with globally-accessible local memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shalf, John; Donofrio, David; Oliker, Leonid

    A multi-core computer processor including a plurality of processor cores interconnected in a Network-on-Chip (NoC) architecture, a plurality of caches, each of the plurality of caches being associated with one and only one of the plurality of processor cores, and a plurality of memories, each of the plurality of memories being associated with a different set of at least one of the plurality of processor cores and each of the plurality of memories being configured to be visible in a global memory address space such that the plurality of memories are visible to two or more of the plurality ofmore » processor cores.« less

  14. Role of the GRAS transcription factor ATA/RAM1 in the transcriptional reprogramming of arbuscular mycorrhiza in Petunia hybrida.

    PubMed

    Rich, Mélanie K; Courty, Pierre-Emmanuel; Roux, Christophe; Reinhardt, Didier

    2017-08-08

    Development of arbuscular mycorrhiza (AM) requires a fundamental reprogramming of root cells for symbiosis. This involves the induction of hundreds of genes in the host. A recently identified GRAS-type transcription factor in Petunia hybrida, ATA/RAM1, is required for the induction of host genes during AM, and for morphogenesis of the fungal endosymbiont. To better understand the role of RAM1 in symbiosis, we set out to identify all genes that depend on activation by RAM1 in mycorrhizal roots. We have carried out a transcript profiling experiment by RNAseq of mycorrhizal plants vs. non-mycorrhizal controls in wild type and ram1 mutants. The results show that the expression of early genes required for AM, such as the strigolactone biosynthetic genes and the common symbiosis signalling genes, is independent of RAM1. In contrast, genes that are involved at later stages of symbiosis, for example for nutrient exchange in cortex cells, require RAM1 for induction. RAM1 itself is highly induced in mycorrhizal roots together with many other transcription factors, in particular GRAS proteins. Since RAM1 has previously been shown to be directly activated by the common symbiosis signalling pathway through CYCLOPS, we conclude that it acts as an early transcriptional switch that induces many AM-related genes, among them genes that are essential for the development of arbuscules, such as STR, STR2, RAM2, and PT4, besides hundreds of additional RAM1-dependent genes the role of which in symbiosis remains to be explored. Taken together, these results indicate that the defect in the morphogenesis of the fungal arbuscules in ram1 mutants may be an indirect consequence of functional defects in the host, which interfere with nutrient exchange and possibly other functions on which the fungus depends.

  15. Low power consumption resistance random access memory with Pt/InOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.; Tsai, Ming-Jinn

    2013-09-01

    In this study, the resistance switching characteristics of a resistive random access memory device with Pt/InOx/TiN structure is investigated. Unstable bipolar switching behavior is observed during the initial switching cycle, which then stabilizes after several switching cycles. Analyses indicate that the current conduction mechanism in the resistance state is dominated by Ohmic conduction. The decrease in electrical conductance can be attributed to the reduction of the cross-sectional area of the conduction path. Furthermore, the device exhibits low operation voltage and power consumption.

  16. Applications of the ram accelerator to hypervelocity aerothermodynamic testing

    NASA Technical Reports Server (NTRS)

    Bruckner, A. P.; Knowlen, C.; Hertzberg, A.

    1992-01-01

    A ram accelerator used as a hypervelocity launcher for large-scale aeroballistic range applications in hypersonics and aerodynamics research is presented. It is an in-bore ramjet device in which a projectile shaped like the centerbody of a supersonic ramjet is propelled down a stationary tube filled with a tailored combustible gas mixture. Ram accelerator operation has been demonstrated at 39 mm and 90 mm bores, supporting the proposition that this launcher concept can be scaled up to very large bore diameters of the order of 30-60 cm. It is concluded that high quality data obtained from the tube wall and projectile during the aceleration process itself are very useful for understanding aerothermodynamics of hypersonic flow in general, and for providing important CFD validation benchmarks.

  17. SEU hardened memory cells for a CCSDS Reed Solomon encoder

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whitaker, S.; Canaris, J.; Liu, K.

    This paper reports on design technique to harden CMOS memory circuits against Single Event Upset (SEU) in the space environment. The design technique provides a recovery mechanism which is independent of the shape of the upsetting event. A RAM cell and Flip Flop design are presented to demonstrate the method. The Flip Flop was used in the control circuitry for a Reed Solomon encoder designed for the Space Station and Explorer platforms.

  18. 76 FR 45295 - In the Matter of Certain Static Random Access Memories and Products Containing Same; Notice of...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-07-28

    ... supplementing the amended complaint was filed on June 28, 2011. A second amended complaint was filed on July 13... of certain static random access memories and products containing same by reason of infringement of... 13 of the `937 patent, and whether an industry in the United States exists as required by subsection...

  19. Reference intervals for serum reactive oxygen metabolites, biological antioxidant potential, and oxidative stress index in adult rams.

    PubMed

    Oikonomidis, Ioannis L; Kiosis, Evangelos A; Brozos, Christos N; Kritsepi-Konstantinou, Maria G

    2017-03-01

    OBJECTIVE To establish reference intervals for serum reactive oxygen metabolites (ROMs), biological antioxidant potential (BAP), and oxidative stress index (OSi) in adult rams by use of controlled preanalytic and analytic procedures. ANIMALS 123 healthy 1- to 4-year-old rams of 2 Greek breeds (Chios [n = 62] and Florina [61]). PROCEDURES 4 hours after rams were fed, a blood sample was obtained from each ram, and serum was harvested. Concentrations of ROMs and BAP were measured colorimetrically on a spectrophotometric analyzer. The OSi was calculated as ROMs concentration divided by BAP concentration. Combined and breed-specific reference intervals were calculated by use of nonparametric and robust methods, respectively. Reference intervals were defined as the 2.5th to 97.5th percentiles. RESULTS Reference intervals for ROMs, BAP, and OSi for all rams combined were 65 to 109 Carratelli units, 2,364 to 4,491 μmol/L, and 18.2 to 43.0 Carratelli units/(mmol/L), respectively. Reference intervals of Chios rams for ROMs, BAP, and OSi were 56 to 113 Carratelli units, 2,234 to 4,290 μmol/L, and 12.9 to 38.4 Carratelli units/(mmol/L), respectively. Reference intervals of Florina rams for ROMs, BAP, and OSi were 68 to 111 Carratelli units, 2,337 to 4,363 μmol/L, and 14.1 to 38.1 Carratelli units/(mmol/L), respectively. CONCLUSIONS AND CLINICAL RELEVANCE Reference intervals calculated in this study can be used as a guide for the interpretation of ROMs, BAP, and OSi results in rams and, under appropriate conditions, can be adopted for use by veterinary laboratories.

  20. Interfacial interactions and their impact on redox-based resistive switching memories (ReRAMs)

    NASA Astrophysics Data System (ADS)

    Valov, Ilia

    2017-09-01

    Redox-based resistive switching memories are nowadays one of the most studied systems in both academia and industrial communities. These devices are scalable down to an almost atomic level and are supposed to be applicable not only for next-generation nonvolatile memories, but also for neuromorphic computing, alternative logic operations and selector devices. The main characteristic feature of these cells is their nano- to sub-nano dimension. This makes the control and especially prediction of their properties very challenging. One of the ways to achieve better understanding and to improve the control of these systems is to study and modify their interfaces. In this review, first the fundamentals will be discussed, as these are essential for understanding which factors control the nanoscale interface properties. Further, different types of interactions at the electrode/solid electrolyte interface reported for ECM- and VCM-type cells will be exemplarily shown. Finally, the strategies and different solutions used to modify the interfaces and overcome the existing problems on the way to more stable and reliable devices will be highlighted.

  1. Antioxidant Effect of Xanthan Gum on Ram Sperm after Freezing and Thawing.

    PubMed

    Gastal, G DA; Silva, E F; Mion, B; Varela Junior, A S; Rosa, C E; Corcini, C D; Mondadori, R G; Vieira, A D; Bianchi, I; Lucia, T

    Xanthan gum is used as thickener in media to preserve food products, having cryoprotectant and antioxidant properties that may be relevant for sperm cryopreservation. To evaluate the effects of adding xanthan gum to freezing extenders on post-thawing quality and oxidant activity of ram sperm. Ejaculates from seven rams extended TRIS-egg yolk-glycerol were split in three treatments including xanthan gum (0.15%; 0.20%; and 0.25%) and a control with no xanthan gum. After thawing, motility and production of reactive oxygen species (ROS) with 0.20% and 0.25% xanthan gum were lower than for the control (P < 0.05), but mitochondrial functionality and integrity of membrane, acrosome and DNA did not differ (P > 0.05). Xanthan gum at 0.20% and 0.25% may be an efficient antioxidant for frozen-thawed ram sperm, due to the reduction in ROS production.

  2. High speed magneto-resistive random access memory

    NASA Technical Reports Server (NTRS)

    Wu, Jiin-Chuan (Inventor); Stadler, Henry L. (Inventor); Katti, Romney R. (Inventor)

    1992-01-01

    A high speed read MRAM memory element is configured from a sandwich of magnetizable, ferromagnetic film surrounding a magneto-resistive film which may be ferromagnetic or not. One outer ferromagnetic film has a higher coercive force than the other and therefore remains magnetized in one sense while the other may be switched in sense by a switching magnetic field. The magneto-resistive film is therefore sensitive to the amplitude of the resultant field between the outer ferromagnetic films and may be constructed of a high resistivity, high magneto-resistive material capable of higher sensing currents. This permits higher read voltages and therefore faster read operations. Alternate embodiments with perpendicular anisotropy, and in-plane anisotropy are shown, including an embodiment which uses high permeability guides to direct the closing flux path through the magneto-resistive material. High density, high speed, radiation hard, memory matrices may be constructed from these memory elements.

  3. Investigation of the aerothermodynamics of hypervelocity reacting flows in the ram accelerator

    NASA Technical Reports Server (NTRS)

    Hertzberg, A.; Bruckner, A. P.; Mattick, A. T.; Knowlen, C.

    1992-01-01

    New diagnostic techniques for measuring the high pressure flow fields associated with high velocity ram accelerator propulsive modes was experimentally investigated. Individual propulsive modes are distinguished by their operating Mach number range and the manner in which the combustion process is initiated and stabilized. Operation of the thermally choked ram accelerator mode begins by injecting the projectile into the accelerator tube at a prescribed entrance velocity by means of a conventional light gas gun. A specially designed obturator, which is used to seal the bore of the gun, plays a key role in the ignition of the propellant gases in the subsonic combustion mode of the ram accelerator. Once ignited, the combustion process travels with the projectile and releases enough heat to thermally choke the flow within several tube diameters behind it, thereby stabilizing a high pressure zone on the rear of the projectile. When the accelerating projectile approaches the Chapman-Jouguet detonation speed of the propellant mixture, the combustion region is observed to move up onto the afterbody of the projectile as the pressure field evolves to a distinctively different form that implies the presence of supersonic combustion processes. Eventually, a high enough Mach number is reached that the ram effect is sufficient to cause the combustion process to occur entirely on the body. Propulsive cycles utilizing on-body heat release can be established either by continuously accelerating the projectile in a single propellant mixture from low initial in-tube Mach numbers (M less than 4) or by injecting the projectile at a speed above the propellant's Chapman-Jouguet detonation speed. The results of experimental and theoretical explorations of ram accelerator gas dynamic phenomena and the effectiveness of the new diagnostic techniques are presented in this report.

  4. Activity of the hypothalamo-pituitary axis and testicular development in prepubertal ram lambs with induced hypothyroidism or hyperthyroidism.

    PubMed

    Chandrasekhar, Y; D'Occhio, M J; Holland, M K; Setchell, B P

    1985-10-01

    Prepubertal (16 weeks old) ram lambs were used to investigate the effects of hyperthyroidism and hypothyroidism on development of reproductive endocrine function. Over a period of 8 weeks, ram lambs were made hypothyroid (serum T4 less than or equal to 3 ng/ml compared with controls congruent to 30 ng/ml) by daily oral administration of methyl thiouracil or hyperthyroid (serum T4 congruent to 135 ng/ml) by daily sc injection of T4. Hyperthyroidism was associated with decreases in LH pulse frequency (2.25 +/- 0.75/12 h compared with controls 5.75 +/- 0.48/12 h), basal LH, and mean LH concentrations, together with arrested testicular growth and aspermatogenesis. Hypothyroid rams showed normal pubertal development. After iv injection of LHRH, hyperthyroid ram lambs showed similar LH responses to control and hypothyroid rams. Basal testosterone production (5.6 +/- 1.0 ng/min) and plasma testosterone concentrations after human CG (2.0 +/- 0.7 ng/ml) in hyperthyroid rams were significantly lower than in controls (67.5 +/- 24.0 ng/min and 5.2 +/- 1.0 ng/ml, respectively). It is concluded that retarded testicular development in hyperthyroid ram lambs results from changes in hypothalamo-pituitary activity manifested in a decreased LH pulse frequency.

  5. Recent results from the University of Washington's 38 mm ram accelerator

    NASA Technical Reports Server (NTRS)

    De Turenne, J. A.; Chew, G.; Bruckner, A. P.

    1992-01-01

    The ram accelerator is a propulsive device that accelerates projectiles using gasdynamic cycles similar to those which generate thrust in airbreathing ramjets. The projectile, analogous to the centerbody of a ramjet, travels supersonically through a stationary tube containing a gaseous fuel and oxidizer mixture. The projectile itself carries no onboard propellant. A combustion zone follows the projectile and stabilizes the shock structure. The resulting pressure distribution continuously accelerates the projectile. Several modes of ram accelerator operation have been investigated experimentally and theoretically. At velocities below the Chapman-Jouguet (C-J) detonation speed of the propellant mixture, the thermally choked propulsion mode accelerates the projectiles. At projectile velocities between approximately 90 and 110 percent of the C-J speed, a transdetonative propulsion mode occurs. At velocities beyond 110 percent of the C-J speed, projectiles experience superdetonative propulsion. This paper presents recent experimental results from these propulsion modes obtained with the University of Washington's 38-mm bore ram accelerator. Data from investigations with hydrogen diluted-gas mixtures are also introduced.

  6. Binder of Sperm Proteins 1 and 5 have contrasting effects on the capacitation of ram spermatozoa.

    PubMed

    Pini, Taylor; de Graaf, Simon P; Druart, Xavier; Tsikis, Guillaume; Labas, Valerie; Teixeira-Gomes, Ana Paula; Gadella, Barend M; Leahy, Tamara

    2018-06-01

    Binder of Sperm Proteins (BSPs) are the most abundant seminal plasma protein family in the ram and bull. They have been extensively studied in the bull but less is known about their function in ovine seminal plasma and current knowledge suggests that BSPs may have different effects in these two species. In the bull, they facilitate capacitation and destabilize the sperm membrane during in vitro handling, whereas in the ram, they appear to stabilize the sperm membrane and prevent cryopreservation-induced capacitation-like changes. Further investigation into the effects of BSPs on ram spermatozoa under capacitating conditions is required to further clarify their physiological roles in the ram. We investigated the effects of Binder of Sperm Proteins 1 and 5 on epididymal ram spermatozoa in conditions of low, moderate, and high cAMP. BSPs had minimal effects on sperm function in low-cAMP conditions, but caused significant changes under cAMP upregulation. BSP1 stabilized the membrane and qualitatively reduced protein tyrosine phosphorylation, but significantly increased cholesterol efflux and induced spontaneous acrosome reactions. BSP5 slightly increased spontaneous acrosome reactions and caused sperm necrosis. However, BSP5 had minimal effects on membrane lipid order and cholesterol efflux and did not inhibit protein tyrosine phosphorylation. These findings demonstrate that under maximal cAMP upregulation, BSP1 affected ram spermatozoa in a manner comparable to bull spermatozoa, while BSP5 did not.

  7. Growth performance and hematology of Djallonké rams fed haulms of four varieties of groundnut (Arachis hypogaea L.).

    PubMed

    Ansah, Terry; Yaccub, Zanabongo I; Rahman, Nurudeen A

    2017-12-01

    The study was conducted to assess the chemical composition of the haulms of 4 dual-purpose groundnut ( Arachis hypogaea L.) varieties and their effects on the growth and hematology of Djallonké rams. The groundnut varieties were ICGV 97049 (Obolo), ICGX SM 87057 (Yenyawoso), RMP 12 (Azivivi) and Manipinta. Rams (live weight 15.0 ± 3.0 kg) were randomly assigned to 4 sole groundnut haulm meal (GHM) treatments, with 4 rams each in an individual pen per treatment (total n  = 16 rams). Samples of the groundnut haulms were milled and analyzed for crude protein (CP), neutral detergent fiber (NDF) and acid detergent fiber (ADF). The CP concentration was higher ( P  < 0.05) in Azivivi, Manipinta and Yenyawoso than in Obolo. The highest ( P  < 0.05) NDF and ADF fractions were obtained in Obolo. Whilst no significant difference was reported in total and daily dry matter (DM) intake among the varieties, CP, NDF and ADF intake all differed between Obolo and other varieties. The apparent nutrient digestibility did not differ ( P  > 0.05) when the Djallonké rams were fed the haulms. However, significant differences were observed in final live weight and average daily live weight gain. Rams fed the Yenyawoso variety had higher ( P  < 0.05) final live weight and average daily live weight gain compared with those fed Obolo and Azivivi varieties. Consumption of any of the 4 varieties of groundnut haulms by Djallonké rams did not have any harmful effect on their red and white blood cell numbers and hemoglobin concentration. The study revealed that the different varieties of groundnut haulms differ in nutrient composition and also affect the growth performance of the rams. The Yenyawoso variety may be used as a sole diet for fattening Djallonké rams.

  8. PO calculation for reduction in radar cross section of hypersonic targets using RAM

    NASA Astrophysics Data System (ADS)

    Liu, Song-hua; Guo, Li-xin; Pan, Wei-tao; Chen, Wei; Xiao, Yi-fan

    2018-06-01

    The radar cross section (RCS) reduction of hypersonic targets by radar absorbing materials (RAM) coating under different reentry cases is analyzed in the C and X bands frequency range normally used for radar detection. The physical optics method is extended to both the inhomogeneous plasma sheath and RAM layer present simultaneously. The simulation results show that the absorbing coating can reduce the RCS of the plasma cloaking system and its effectiveness is related to the maximum plasma frequency. Moreover, the amount of the RCS decrease, its maxima, and the corresponding optimal RAM thickness depend on the non-uniformity and parameters of the plasma sheath. In addition, the backward RCS of the flight vehicle shrouded by plasma shielding and man-made absorber is calculated and compared to the bare cone.

  9. Bubble memory module for spacecraft application

    NASA Technical Reports Server (NTRS)

    Hayes, P. J.; Looney, K. T.; Nichols, C. D.

    1985-01-01

    Bubble domain technology offers an all-solid-state alternative for data storage in onboard data systems. A versatile modular bubble memory concept was developed. The key module is the bubble memory module which contains all of the storage devices and circuitry for accessing these devices. This report documents the bubble memory module design and preliminary hardware designs aimed at memory module functional demonstration with available commercial bubble devices. The system architecture provides simultaneous operation of bubble devices to attain high data rates. Banks of bubble devices are accessed by a given bubble controller to minimize controller parts. A power strobing technique is discussed which could minimize the average system power dissipation. A fast initialization method using EEPROM (electrically erasable, programmable read-only memory) devices promotes fast access. Noise and crosstalk problems and implementations to minimize these are discussed. Flight memory systems which incorporate the concepts and techniques of this work could now be developed for applications.

  10. Short term, repeated exposure to rams during the transition into the breeding season improves the synchrony of mating in the breeding season.

    PubMed

    Hawken, P A R; Evans, A C O; Beard, A P

    2008-07-01

    The ram effect is widely used in Mediterranean breeds of sheep but its use in temperate genotypes is restricted by breed seasonality. However, ewes from these highly seasonal genotypes are sensitive to stimulation by rams close to the onset of the natural breeding season. In this study we developed a pre-mating protocol of repeated, short-term exposure to rams (fence-line contact or vasectomised rams) beginning during late anoestrus and continuing into the breeding season. We hypothesised that this pre-mating protocol would synchronise the distribution of mating of North of England Mule ewes during the breeding season above that observed in ewes isolated from rams prior to mating. Ram-exposed ewes were given contact with rams (Experiment 1: fence-line; FR, n=94 and Experiment 2: vasectomised rams; VR; n=103) for 24h on Days 0 (10 September), 17 and 34 of the experiment. Control ewes (Experiment 1; FC, n=98 and Experiment 2; VC; n=106) remained isolated from rams prior to mating. In Experiment 2, a subset of VR (n=35) and VC ewes (n=35) were blood sampled twice weekly to monitor their pre-mating progesterone profiles. At mating, harnessed entire rams were introduced, 17 or 16 days after the last ram exposure (Experiments 1 and 2) and raddle marks were recorded daily. The median time from ram introduction to mating was reduced in ewes given both fence-line and vasectomised ram contact (P<0.001), leading to a more compact distribution of mating and lambing (At least P<0.01). In the blood sampled VR ewes, there was a progressive decline in the number of days from ram exposure to the onset of dioestrus (at least P<0.05). This observation indicates that the cycles in VR ewes became increasingly synchronised over the pre-mating period, a pattern not evident in VC ewes. In conclusion, repeated, short-term exposure of ewes to rams during the transition into the breeding season is an effective method of synchronising the distribution of mating during the breeding season.

  11. THE ROLES OF RADIATION AND RAM PRESSURE IN DRIVING GALACTIC WINDS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Mahavir; Nath, Biman B., E-mail: mahavir@rri.res.in, E-mail: biman@rri.res.in

    We study gaseous outflows from disk galaxies driven by the combined effects of ram pressure on cold gas clouds and radiation pressure on dust grains. Taking into account the gravity due to disk, bulge, and dark matter halo, and assuming continuous star formation in the disk, we show that radiation or ram pressure alone is not sufficient to drive escaping winds from disk galaxies and that both processes contribute. We show that in the parameter space of star formation rate (SFR) and rotation speed of galaxies the wind speed in galaxies with rotation speeds v{sub c} {<=} 200 km s{supmore » -1} and SFR {<=} 100 M{sub Sun} yr{sup -1} has a larger contribution from ram pressure, and that in high-mass galaxies with large SFR radiation from the disk has a greater role in driving galactic winds. The ratio of wind speed to circular speed can be approximated as v{sub w} / v{sub c} {approx} 10{sup 0.7}, [SFR/50{sub Sun }yr{sup -1}]{sup 0.4} [v{sub c}/120 km s{sup -1}]{sup -1.25}. We show that this conclusion is borne out by observations of galactic winds at low and high redshift and also of circumgalactic gas. We also estimate the mass loading factors under the combined effect of ram and radiation pressure, and show that the ratio of mass-loss rate to SFR scales roughly as v{sup -1}{sub c}{Sigma}{sub g}{sup -1}, where {Sigma}{sub g} is the gas column density in the disk.« less

  12. Objective assessment of sperm motion characteristics of Malpura ram lambs raised under intensive management system in semiarid tropical environment.

    PubMed

    Kumar, Davendra; Joshi, Anil; Naqvi, S M K

    2010-04-01

    A study was conducted to evaluate the semen production and sperm motion characteristics of ram lambs by computer-aided semen analysis technique. Eight Malpura rams were raised under intensive management system and were trained for semen collection at a weekly interval from the age of 6 months. Rams were scheduled for semen collection at a weekly interval up to 1 year of age to assess their potential for semen production and objective evaluation of semen quality. The average age of ram lambs at the time of first ejaculation was 219 days ranging from 186 to 245 days. The age of ram lambs significantly (p < 0.05) influenced sperm concentration, sperm velocities, and beat frequency of spermatozoa, which were higher in 9-12-month-old compared to 6-9-month-old ram lambs. However, the effect of age was not significant on semen volume, percent motility, percent rapid, medium or slow motile spermatozoa, percent linearity, percent straightness, amplitude of lateral head displacement, percent elongation, and area of sperm head. The body weight of ram lambs was significantly (p < 0.01) and positively correlated (r = 0.46) with age. The results indicate that Malpura ram lambs of 9-12 months of age raised under the intensive management system in a semiarid tropical environment can produce good quality of semen.

  13. Read disturb errors in a CMOS static RAM chip. [radiation hardened for spacedraft

    NASA Technical Reports Server (NTRS)

    Wood, Steven H.; Marr, James C., IV; Nguyen, Tien T.; Padgett, Dwayne J.; Tran, Joe C.; Griswold, Thomas W.; Lebowitz, Daniel C.

    1989-01-01

    Results are reported from an extensive investigation into pattern-sensitive soft errors (read disturb errors) in the TCC244 CMOS static RAM chip. The TCC244, also known as the SA2838, is a radiation-hard single-event-upset-resistant 4 x 256 memory chip. This device is being used by the Jet Propulsion Laboratory in the Galileo and Magellan spacecraft, which will have encounters with Jupiter and Venus, respectively. Two aspects of the part's design are shown to result in the occurrence of read disturb errors: the transparence of the signal path from the address pins to the array of cells, and the large resistance in the Vdd and Vss lines of the cells in the center of the array. Probe measurements taken during a read disturb failure illustrate how address skews and the data pattern in the chip combine to produce a bit flip. A capacitive charge pump formed by the individual cell capacitances and the resistance in the supply lines pumps down both the internal cell voltage and the local supply voltage until a bit flip occurs.

  14. A random access memory immune to single event upset using a T-Resistor

    DOEpatents

    Ochoa, A. Jr.

    1987-10-28

    In a random access memory cell, a resistance ''T'' decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell. 4 figs.

  15. CAN IBEX DETECT INTERSTELLAR NEUTRAL HELIUM OR OXYGEN FROM ANTI-RAM DIRECTIONS?

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galli, A.; Wurz, P.; Park, J.

    To better constrain the parameters of the interstellar neutral flow, we searched the Interstellar Boundary EXplorer (IBEX)-Lo database for helium and oxygen from the interstellar medium in the anti-ram direction in the three years (2009–2011) with the lowest background rates. We found that IBEX-Lo cannot observe interstellar helium from the anti-ram direction because the helium energy is too low for indirect detection by sputtering off the IBEX-Lo conversion surface. Our results show that this sputtering process has a low energy threshold between 25 and 30 eV, whereas the energy of the incident helium is only 10 eV for these observations.more » Interstellar oxygen, on the other hand, could in principle be detected in the anti-ram hemisphere, but the expected magnitude of the signal is close to the detection limit imposed by counting statistics and by the magnetospheric foreground.« less

  16. 50 CFR 660.15 - Equipment requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... perceived weight of water, slime, mud, debris, or other materials. Scale printouts must show: (A) The vessel... with Pentium 75-MHz or higher. Random Access Memory (RAM) must have sufficient megabyte (MB) space to... space of 217 MB or greater. A CD-ROM drive with a Video Graphics Adapter (VGA) or higher resolution...

  17. Episodic memories.

    PubMed

    Conway, Martin A

    2009-09-01

    An account of episodic memories is developed that focuses on the types of knowledge they represent, their properties, and the functions they might serve. It is proposed that episodic memories consist of episodic elements, summary records of experience often in the form of visual images, associated to a conceptual frame that provides a conceptual context. Episodic memories are embedded in a more complex conceptual system in which they can become the basis of autobiographical memories. However, the function of episodic memories is to keep a record of progress with short-term goals and access to most episodic memories is lost soon after their formation. Finally, it is suggested that developmentally episodic memories form the basis of the conceptual system and it is from sets of episodic memories that early non-verbal conceptual knowledge is abstracted.

  18. Santiago Ramón y Cajal and Harvey Cushing: two forefathers of neuroscience and neurosurgery.

    PubMed

    Zamora-Berridi, Grettel J; Pendleton, Courtney; Ruiz, Gabriel; Cohen-Gadol, Aaron A; Quiñones-Hinojosa, Alfredo

    2011-11-01

    To summarize the extraordinary accomplishments, and the commonalities, between Santiago Ramon y Cajal and Harvey Williams Cushing. Existing literature describing the lives and achievements of Ramón y Cajal and Cushing, as well as personal communication, and the surgical records of the Johns Hopkins Hospital, from 1896 to 1912, were reviewed. Both Ramón y Cajal and Cushing were men of unusually broad interests and talents, and these shared characteristics undoubtedly influenced the career paths and scientific investigations they pursued. Although Santiago Ramón y Cajal and Harvey Williams Cushing never directly interacted, the links between them can be traced through some of their disciples, including Pío del Río Hortega, Wilder Penfield, and Percival Bailey. Ramón y Cajal and Cushing are widely considered the forefathers of neuroscience and neurosurgery, respectively, and their discoveries have made lasting impressions on both the scientific and medical communities. Copyright © 2011 Elsevier Inc. All rights reserved.

  19. Soft-error tolerance and energy consumption evaluation of embedded computer with magnetic random access memory in practical systems using computer simulations

    NASA Astrophysics Data System (ADS)

    Nebashi, Ryusuke; Sakimura, Noboru; Sugibayashi, Tadahiko

    2017-08-01

    We evaluated the soft-error tolerance and energy consumption of an embedded computer with magnetic random access memory (MRAM) using two computer simulators. One is a central processing unit (CPU) simulator of a typical embedded computer system. We simulated the radiation-induced single-event-upset (SEU) probability in a spin-transfer-torque MRAM cell and also the failure rate of a typical embedded computer due to its main memory SEU error. The other is a delay tolerant network (DTN) system simulator. It simulates the power dissipation of wireless sensor network nodes of the system using a revised CPU simulator and a network simulator. We demonstrated that the SEU effect on the embedded computer with 1 Gbit MRAM-based working memory is less than 1 failure in time (FIT). We also demonstrated that the energy consumption of the DTN sensor node with MRAM-based working memory can be reduced to 1/11. These results indicate that MRAM-based working memory enhances the disaster tolerance of embedded computers.

  20. Influence of distiller's dried grains with solubles on ram lamb growth and reproductive traits.

    PubMed

    Crane, Alison R; Redden, Reid R; Crouse, Matthew S; Kirsch, James D; Borowicz, Pawel P; Held, Jeffrey E; Swanson, Kendall C; Schauer, Christopher S

    2018-04-14

    The hypothesis of this experiment was that increasing the inclusion level of distiller's dried grains with solubles (DDGS) in the diets would decrease semen quality but have no negative effects on growth performance. Following the removal of DDGS from the diet, it was hypothesized that the ram lambs would recover and become reproductively sound, independent of treatment. To test this hypothesis, Suffolk and Hampshire ram lambs (n = 112) were allocated to 4 treatments (n = 4 pens per treatment; 7 rams per pen) in a completely randomized design. Dietary treatments were 60% corn, 25% oats, and 15% commercial lamb pellet (CON), 15% of the ration as DDGS substituted for corn (% DM basis; 15DDGS), 30% of the ration as DDGS substituted for corn (% DM basis; 30DDGS), and 45% of the ration as DDGS substituted for corn (% DM basis; 45DDGS). Lambs were fed for 112 d on their respective treatment, after which they were placed on the CON ration until day 168. Lambs were weighed on consecutive d at the beginning (days 0 and 1) and end (days 167 and 168) of the study. Scrotal circumference was measured on all lambs on days 84, 112, 140, and 168. Semen samples were collected on a subset of 64 rams (4 rams per pen) to evaluate semen quality on days 84, 112, 140, and 168. Blood samples were collected on the same subset of rams every 14 d throughout the study. A quadratic effect on BW on day 112 and overall BW (P = 0.03 and P = 0.005, respectively), ADG on day 112 and overall ADG (P = 0.02 and P = 0.02, respectively), DMI (P = 0.007) on day 112, and a cubic effect (P = 0.05) for overall G:F were observed. Overall and day 168 scrotal circumference had a quadratic (P = 0.05) response. A linear increase in spermatozoa concentration on day 168 was observed (P = 0.03) as DDGS concentration increased in the treatment diets, although rams in this stage of the study were no longer receiving DDGS. Overall, testosterone concentrations exhibited a linear decrease (P = 0.005) as DDGS increased

  1. Set statistics in conductive bridge random access memory device with Cu/HfO{sub 2}/Pt structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Meiyun; Long, Shibing, E-mail: longshibing@ime.ac.cn; Wang, Guoming

    2014-11-10

    The switching parameter variation of resistive switching memory is one of the most important challenges in its application. In this letter, we have studied the set statistics of conductive bridge random access memory with a Cu/HfO{sub 2}/Pt structure. The experimental distributions of the set parameters in several off resistance ranges are shown to nicely fit a Weibull model. The Weibull slopes of the set voltage and current increase and decrease logarithmically with off resistance, respectively. This experimental behavior is perfectly captured by a Monte Carlo simulator based on the cell-based set voltage statistics model and the Quantum Point Contact electronmore » transport model. Our work provides indications for the improvement of the switching uniformity.« less

  2. Access to Attitude-Relevant Information in Memory as a Determinant of Persuasion: The Role of Message and Communicator Attributes.

    ERIC Educational Resources Information Center

    Wood, Wendy; And Others

    Research literature shows that people with access to attitude-relevant information in memory are able to draw on relevant beliefs and prior experiences when analyzing a persuasive message. This suggests that people who can retrieve little attitude-relevant information should be less able to engage in systematic processing. Two experiments were…

  3. Affect, accessibility of material in memory, and behavior: a cognitive loop?

    PubMed

    Isen, A M; Shalker, T E; Clark, M; Karp, L

    1978-01-01

    Two studies investigated the effect of good mood on cognitive processes. In the first study, conducted in a shopping mall, a positive feeling state was induced by giving subjects a free gift, and good mood, thus induced, was found to improve subjects' evaluations of the performance and service records of products they owned. In the second study, in which affect was induced by having subjects win or lose a computer game in a laboratory setting, subjects who had won the game were found to be better able to recall positive material in memory. The results of the two studies are discussed in terms of the effect that feelings have on accessibility of cognitions. In addition, the nature of affect and the relationship between good mood and behavior (such as helping) are discussed in terms of this proposed cognitive process.

  4. Lessons Learned from Application of System and Software Level RAMS Analysis to a Space Control System

    NASA Astrophysics Data System (ADS)

    Silva, N.; Esper, A.

    2012-01-01

    The work presented in this article represents the results of applying RAMS analysis to a critical space control system, both at system and software levels. The system level RAMS analysis allowed the assignment of criticalities to the high level components, which was further refined by a tailored software level RAMS analysis. The importance of the software level RAMS analysis in the identification of new failure modes and its impact on the system level RAMS analysis is discussed. Recommendations of changes in the software architecture have also been proposed in order to reduce the criticality of the SW components to an acceptable minimum. The dependability analysis was performed in accordance to ECSS-Q-ST-80, which had to be tailored and complemented in some aspects. This tailoring will also be detailed in the article and lessons learned from the application of this tailoring will be shared, stating the importance to space systems safety evaluations. The paper presents the applied techniques, the relevant results obtained, the effort required for performing the tasks and the planned strategy for ROI estimation, as well as the soft skills required and acquired during these activities.

  5. Stream specificity and asymmetries in feature binding and content-addressable access in visual encoding and memory.

    PubMed

    Huynh, Duong L; Tripathy, Srimant P; Bedell, Harold E; Ögmen, Haluk

    2015-01-01

    Human memory is content addressable-i.e., contents of the memory can be accessed using partial information about the bound features of a stored item. In this study, we used a cross-feature cuing technique to examine how the human visual system encodes, binds, and retains information about multiple stimulus features within a set of moving objects. We sought to characterize the roles of three different features (position, color, and direction of motion, the latter two of which are processed preferentially within the ventral and dorsal visual streams, respectively) in the construction and maintenance of object representations. We investigated the extent to which these features are bound together across the following processing stages: during stimulus encoding, sensory (iconic) memory, and visual short-term memory. Whereas all features examined here can serve as cues for addressing content, their effectiveness shows asymmetries and varies according to cue-report pairings and the stage of information processing and storage. Position-based indexing theories predict that position should be more effective as a cue compared to other features. While we found a privileged role for position as a cue at the stimulus-encoding stage, position was not the privileged cue at the sensory and visual short-term memory stages. Instead, the pattern that emerged from our findings is one that mirrors the parallel processing streams in the visual system. This stream-specific binding and cuing effectiveness manifests itself in all three stages of information processing examined here. Finally, we find that the Leaky Flask model proposed in our previous study is applicable to all three features.

  6. Lateral Stability of a Dynamic Ram Air Cushion Vehicle

    DOT National Transportation Integrated Search

    1974-08-01

    The lateral stability derivatives of a dynamic ram air cushion vehicle in a rectangular guideway were measured using a ship model towing tank. Lift and pitching moment are also reported. The primary lateral derivatives are all stabilizing, with signi...

  7. Basic and Applied Studies of the RAM Accelerator as a Hypervelocity Projectile Launcher

    DTIC Science & Technology

    1993-12-10

    The quasi-steady, one-dimensional "blackbox" model of thermally choked ram accelerator performance 18 that has been widely used by the authors and...the thermal choke point is assumed to be in equilibrium, the conditions can be determined by an equilibrium chemistry combustion routine. This model ...to operation, the details of the flow field must be examined. I The simplest model of the thermally choked ram accelerator flow field treats the flow

  8. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  9. Molecular gas mass and star formation of 12 Virgo spiral galaxies along the ram pressure time sequence

    NASA Astrophysics Data System (ADS)

    Chung, Eun Jung; Kim, S.

    2014-01-01

    The ram pressure stripping is known as one of the most efficient mechanisms to deplete the ISM of a galaxy in the clusters of galaxies. As being affected continuously by ICM pressure, a galaxy may lose their gas that is the fuel of star formation, and consequently star formation rate would be changed. We select twelve Virgo spiral galaxies according to their stage of the ram pressure stripping event to probe possible consequences of star formation of spiral galaxies in the ram pressure and thus the evolution of galaxies in the Virgo cluster. We investigate the molecular gas properties, star formation activity, and gas depletion time along the time from the ram pressure peak. We also discussed the evolution of galaxies in the cluster.

  10. Timber RAM. . .a long-range planning method for commercial timber lands under multiple-use management

    Treesearch

    Daniel I. Navon

    1971-01-01

    Timber RAM (Resource Allocation Method) is a long-range planning method for commercial timber lands under multiple-use management. Timber RAM can produce cutting and reforestation schedules and related harvest and economic reports. Each schedule optimizes an index of performance, subject to periodic constraints on revenues, costs, and, harvest levels. Periodic...

  11. Working memory at work: how the updating process alters the nature of working memory transfer.

    PubMed

    Zhang, Yanmin; Verhaeghen, Paul; Cerella, John

    2012-01-01

    In three N-Back experiments, we investigated components of the process of working memory (WM) updating, more specifically access to items stored outside the focus of attention and transfer from the focus to the region of WM outside the focus. We used stimulus complexity as a marker. We found that when WM transfer occurred under full attention, it was slow and highly sensitive to stimulus complexity, much more so than WM access. When transfer occurred in conjunction with access, however, it was fast and no longer sensitive to stimulus complexity. Thus the updating context altered the nature of WM processing: The dual-task situation (transfer in conjunction with access) drove memory transfer into a more efficient mode, indifferent to stimulus complexity. In contrast, access times consistently increased with complexity, unaffected by the processing context. This study reinforces recent reports that retrieval is a (perhaps the) key component of working memory functioning. Copyright © 2011 Elsevier B.V. All rights reserved.

  12. Working Memory at Work: How the Updating Process Alters the Nature of Working Memory Transfer

    PubMed Central

    Zhang, Yanmin; Verhaeghen, Paul; Cerella, John

    2011-01-01

    In three N-Back experiments, we investigated components of the process of working memory (WM) updating, more specifically access to items stored outside the focus of attention and transfer from the focus to the region of WM outside the focus. We used stimulus complexity as a marker. We found that when WM transfer occurred under full attention, it was slow and highly sensitive to stimulus complexity, much more so than WM access. When transfer occurred in conjunction with access, however, it was fast and no longer sensitive to stimulus complexity. Thus the updating context altered the nature of WM processing: The dual-task situation (transfer in conjunction with access) drove memory transfer into a more efficient mode, indifferent to stimulus complexity. In contrast, access times consistently increased with complexity, unaffected by the processing context. This study reinforces recent reports that retrieval is a (perhaps the) key component of working memory functioning. PMID:22105718

  13. Optoelectronic-cache memory system architecture.

    PubMed

    Chiarulli, D M; Levitan, S P

    1996-05-10

    We present an investigation of the architecture of an optoelectronic cache that can integrate terabit optical memories with the electronic caches associated with high-performance uniprocessors and multiprocessors. The use of optoelectronic-cache memories enables these terabit technologies to provide transparently low-latency secondary memory with frame sizes comparable with disk pages but with latencies that approach those of electronic secondary-cache memories. This enables the implementation of terabit memories with effective access times comparable with the cycle times of current microprocessors. The cache design is based on the use of a smart-pixel array and combines parallel free-space optical input-output to-and-from optical memory with conventional electronic communication to the processor caches. This cache and the optical memory system to which it will interface provide a large random-access memory space that has a lower overall latency than that of magnetic disks and disk arrays. In addition, as a consequence of the high-bandwidth parallel input-output capabilities of optical memories, fault service times for the optoelectronic cache are substantially less than those currently achievable with any rotational media.

  14. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    NASA Astrophysics Data System (ADS)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  15. Fattening ability and carcass value of Dhamari and Tehami ram lambs, F₁ crossbreds of Dhamari × Tehami in Yemen.

    PubMed

    Al Khewani, Talal; Momani Shaker, Mohamed; Al-Olofi, Samer

    2014-12-01

    A fattening experiment was carried out to analyze and evaluate the effect of ram lamb genotype on the growth, feed conversion (FC), and carcass value of Dhamari ram lambs, F1 crossbreds of Dhamari × Tehami (F1 DhT), and Tehami ram lambs. Genotype of the ram lambs including the experiment (n = 30) had high significant effect on total weight gain (TWG), average daily gain (ADG), and FC (P < 0.01-0.001). Genotype of the lamb had significant effect on hot and cold dressing percentage (P < 0.001). Also, genotype of the lamb had significant effect on the highest leg percentage (P < 0.001). Furthermore, genotype of the ram lambs had significant effect on musculus longissimus dorsi (MLD) area (P < 0.001). Genotype of the ram lamb had significant effect on TWG, ADG, and FC (P < 0.001). The results of moisture percentage, crude protein percentage, and ash percentage of muscle samples were significantly influenced by ram lamb's genotype (P < 0.001). Fasting live weight and other carcass measurements (hot carcass weight, leg weight, loin weight, shoulder weight, first quality cuts weight) have a positive and highest correlations (P < 0.001). The positive and the highest correlations were found between fasting live weight and hot carcass weight, first quality parts weight. In addition, the positive and the highest correlations were found between hot carcass weight and first quality cuts weight. In general, the results of this study documented that F1 DhT was better than Tehami ram lambs in ADG, TWG, and FC. Additionally, the results show that the feed conversion was in F1 DhT crossbreds ram lambs better than pure Dhamari and Tehami ram lambs, mainly in the carcass indicators.

  16. Dual representation of item positions in verbal short-term memory: Evidence for two access modes.

    PubMed

    Lange, Elke B; Verhaeghen, Paul; Cerella, John

    Memory sets of N = 1~5 digits were exposed sequentially from left-to-right across the screen, followed by N recognition probes. Probes had to be compared to memory list items on identity only (Sternberg task) or conditional on list position. Positions were probed randomly or in left-to-right order. Search functions related probe response times to set size. Random probing led to ramped, "Sternbergian" functions whose intercepts were elevated by the location requirement. Sequential probing led to flat search functions-fast responses unaffected by set size. These results suggested that items in STM could be accessed either by a slow search-on-identity followed by recovery of an associated location tag, or in a single step by following item-to-item links in study order. It is argued that this dual coding of location information occurs spontaneously at study, and that either code can be utilised at retrieval depending on test demands.

  17. Comparing interfertility data with random amplified microsatellites DNA (RAMS) studies in Ganoderma Karst. Taxonomy.

    PubMed

    Nudin, Nur Fatihah Hasan; S, Siddiquee

    2012-03-01

    The taxonomy of the causal pathogen of basal stem rot of oil palms, Ganoderma is somewhat problematic at present. In order to determine the genetic distance relationship between G. boninense isolates and non-boninense isolates, a random amplified microsatellites DNA (RAMS) technique was carried out. The result was then compared with interfertility data of G. boninense that had been determined in previous mating studies to confirm the species of G. boninense. Dendrogram from cluster analysis based on UPGMA of RAMS data showed that two major clusters, I and II which separated at a genetic distance of 0.7935 were generated. Cluster I consisted of all the biological species G. boninense isolates namely CNLB, GSDK 3, PER 71, WD 814, GBL 3, GBL 6, OC, GH 02, 170 SL and 348781 while all non-boninense isolates namely G. ASAM, WRR, TFRI 129, G. RES, GJ, and CNLM were grouped together in cluster II. Although the RAMS markers showed polymorphisms in all the isolates tested, the results obtained were in agreement with the interfertility data. Therefore, the RAMS data could support the interfertility data for the identification of Ganoderma isolates.

  18. Energy normalization of TV viewed optical correlation (automated correlation plane analyzer for an optical processor)

    NASA Technical Reports Server (NTRS)

    Grumet, A.

    1981-01-01

    An automatic correlation plane processor that can rapidly acquire, identify, and locate the autocorrelation outputs of a bank of multiple optical matched filters is described. The read-only memory (ROM) stored digital silhouette of each image associated with each matched filter allows TV video to be used to collect image energy to provide accurate normalization of autocorrelations. The resulting normalized autocorrelations are independent of the illumination of the matched input. Deviation from unity of a normalized correlation can be used as a confidence measure of correct image identification. Analog preprocessing circuits permit digital conversion and random access memory (RAM) storage of those video signals with the correct amplitude, pulse width, rising slope, and falling slope. TV synchronized addressing of 3 RAMs permits on-line storage of: (1) the maximum unnormalized amplitude, (2) the image x location, and (3) the image y location of the output of each of up to 99 matched filters. A fourth RAM stores all normalized correlations. A normalization approach, normalization for cross correlations, a system's description with block diagrams, and system's applications are discussed.

  19. Ram-recovery Characteristics of NACA Submerged Inlets at High Subsonic Speeds

    NASA Technical Reports Server (NTRS)

    Hall, Charles F; Frank, Joseph L

    1948-01-01

    Results are presented of an experimental investigation of the characteristics of NACA submerged inlets on a model of a fighter airplane for Mach numbers from 0.30 to 0.875. The effects on the ram-recovery ratio at the inlets of Mach number, angle of attack, boundary-layer thickness on the fuselage, inlet location, and boundary-layer deflectors are shown. The data indicate only a slight decrease in ram-recovery ratio for the inlets ahead of or just behind the wing leading edge as Mach number increased, but showed large decreases at high Mach numbers for the inlets aft of the point of maximum thickness of the wing.

  20. A Search for Ram-pressure Stripping in the Hydra I Cluster

    NASA Technical Reports Server (NTRS)

    Brown, B.

    2005-01-01

    Ram-pressure stripping is a method by which hot interstellar gas can be removed from a galaxy moving through a group or cluster of galaxies. Indirect evidence of ram-pressure stripping includes lowered X-ray brightness in a galaxy due to less X-ray emitting gas remaining in the galaxy. Here we present the initial results of our program to determine whether cluster elliptical galaxies have lower hot gas masses than their counterparts in less rich environments. This test requires the use of the high-resolution imaging of the Chandra Observatory and we present our analysis of the galaxies in the nearby cluster Hydra I.

  1. A Search for Ram-pressure Stripping in the Hydra I Cluster

    NASA Technical Reports Server (NTRS)

    Brown, B. A.

    2005-01-01

    Ram-pressure stripping is a method by which hot interstellar gas can be removed from a galaxy moving through a group or cluster of galaxies. Indirect evidence of ram-pressure stripping includes lowered X- ray brightness in a galaxy due to less X-ray emitting gas remaining in the galaxy. Here we present the initial results of our program to determine whether cluster elliptical galaxies have lower hot gas masses than their counterparts in less rich environments. This test requires the use of the high-resolution imaging of the Chundru Observatory and we present our analysis of the galaxies in the nearby cluster Hydra I.

  2. Reproductive performance of postpartum ewes treated with insulin or progesterone hormones in association with ram effect.

    PubMed

    Ferreira-Silva, J C; Basto, Srl; Tenório Filho, F; Moura, M T; Silva Filho, M L; Oliveira, Mal

    2017-08-01

    The reproductive performance of postpartum Santa Inês (SI) and Morada Nova (MN) ewes treated with insulin or progesterone hormones in association with ram effect was evaluated. Ewes from SI (n = 69) and MN (n = 69) breeds were randomly allocated to three groups of each breed (T1-ram effect only; T2-ram effect + insulin; T3-ram effect + progesterone). Progesterone concentrations (ηg/ml; mean ± SD) before and after introduction of rams (n = 6) were 0.51 ± 0.22 and 3.78 ± 0.68 (T1), 0.65 ± 0.21 and 3.77 ± 0.78 (T2) and 0.52 ± 0.21 and 3.84 ± 0.84 (T3) in SI ewes and 0.74 ± 0.19 and 3.71 ± 0.56 (T1), 0.70 ± 0.21 and 3.79 ± 0.75 (T2) and 0.81 ± 0.14 and 3.87 ± 0.80 (T3) in MN ewes, respectively. Thus, lower progesterone concentrations were found before the introduction of rams (p < .05). After the introduction of rams, preovulatory peaks of LH (ηg/ml) occurred at 28 (T1), 44 (T2) and 48 (T3) hr in SI ewes and at 64 (T1), 40 (T2) and 44 (T3) hr in MN ewes. The mean number of ovulations was similar between groups (p > .05), was 1.3 ± 0.51 (T1), 1.5 ± 0.54 (T2) and 1.6 ± 0.51 (T3) in SI ewes and 1.3 ± 0.51 (T1), 1.6 ± 0.51 (T2) and 1.6 ± 0.51 (T3) in MN ewes. In conclusion, the ram effect alone is effective at inducing and synchronizing oestrus in sheep under postpartum anoestrus, irrespective of hormone treatments. © 2017 Blackwell Verlag GmbH.

  3. Efficient Memory Access with NumPy Global Arrays using Local Memory Access

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daily, Jeffrey A.; Berghofer, Dan C.

    This paper discusses the work completed working with Global Arrays of data on distributed multi-computer systems and improving their performance. The tasks completed were done at Pacific Northwest National Laboratory in the Science Undergrad Laboratory Internship program in the summer of 2013 for the Data Intensive Computing Group in the Fundamental and Computational Sciences DIrectorate. This work was done on the Global Arrays Toolkit developed by this group. This toolkit is an interface for programmers to more easily create arrays of data on networks of computers. This is useful because scientific computation is often done on large amounts of datamore » sometimes so large that individual computers cannot hold all of it. This data is held in array form and can best be processed on supercomputers which often consist of a network of individual computers doing their computation in parallel. One major challenge for this sort of programming is that operations on arrays on multiple computers is very complex and an interface is needed so that these arrays seem like they are on a single computer. This is what global arrays does. The work done here is to use more efficient operations on that data that requires less copying of data to be completed. This saves a lot of time because copying data on many different computers is time intensive. The way this challenge was solved is when data to be operated on with binary operations are on the same computer, they are not copied when they are accessed. When they are on separate computers, only one set is copied when accessed. This saves time because of less copying done although more data access operations were done.« less

  4. Effect of embedded metal nanocrystals on the resistive switching characteristics in NiN-based resistive random access memory cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Min Ju; Kim, Hee-Dong; Man Hong, Seok

    2014-03-07

    The metal nanocrystals (NCs) embedded-NiN-based resistive random access memory cells are demonstrated using several metal NCs (i.e., Pt, Ni, and Ti) with different physical parameters in order to investigate the metal NC's dependence on resistive switching (RS) characteristics. First, depending on the electronegativity of metal, the size of metal NCs is determined and this affects the operating current of memory cells. If metal NCs with high electronegativity are incorporated, the size of the NCs is reduced; hence, the operating current is reduced owing to the reduced density of the electric field around the metal NCs. Second, the potential wells aremore » formed by the difference of work function between the metal NCs and active layer, and the barrier height of the potential wells affects the level of operating voltage as well as the conduction mechanism of metal NCs embedded memory cells. Therefore, by understanding these correlations between the active layer and embedded metal NCs, we can optimize the RS properties of metal NCs embedded memory cells as well as predict their conduction mechanisms.« less

  5. Multiple memory stores and operant conditioning: a rationale for memory's complexity.

    PubMed

    Meeter, Martijn; Veldkamp, Rob; Jin, Yaochu

    2009-02-01

    Why does the brain contain more than one memory system? Genetic algorithms can play a role in elucidating this question. Here, model animals were constructed containing a dorsal striatal layer that controlled actions, and a ventral striatal layer that controlled a dopaminergic learning signal. Both layers could gain access to three modeled memory stores, but such access was penalized as energy expenditure. Model animals were then selected on their fitness in simulated operant conditioning tasks. Results suggest that having access to multiple memory stores and their representations is important in learning to regulate dopamine release, as well as in contextual discrimination. For simple operant conditioning, as well as stimulus discrimination, hippocampal compound representations turned out to suffice, a counterintuitive result given findings that hippocampal lesions tend not to affect performance in such tasks. We argue that there is in fact evidence to support a role for compound representations and the hippocampus in even the simplest conditioning tasks.

  6. Remote Memory Access Protocol Target Node Intellectual Property

    NASA Technical Reports Server (NTRS)

    Haddad, Omar

    2013-01-01

    The MagnetoSpheric Multiscale (MMS) mission had a requirement to use the Remote Memory Access Protocol (RMAP) over its SpaceWire network. At the time, no known intellectual property (IP) cores were available for purchase. Additionally, MMS preferred to implement the RMAP functionality with control over the low-level details of the design. For example, not all the RMAP standard functionality was needed, and it was desired to implement only the portions of the RMAP protocol that were needed. RMAP functionality had been previously implemented in commercial off-the-shelf (COTS) products, but the IP core was not available for purchase. The RMAP Target IP core is a VHDL (VHSIC Hardware Description Language description of a digital logic design suitable for implementation in an FPGA (field-programmable gate array) or ASIC (application-specific integrated circuit) that parses SpaceWire packets that conform to the RMAP standard. The RMAP packet protocol allows a network host to access and control a target device using address mapping. This capability allows SpaceWire devices to be managed in a standardized way that simplifies the hardware design of the device, as well as the development of the software that controls the device. The RMAP Target IP core has some features that are unique and not specified in the RMAP standard. One such feature is the ability to automatically abort transactions if the back-end logic does not respond to read/write requests within a predefined time. When a request times out, the RMAP Target IP core automatically retracts the request and returns a command response with an appropriate status in the response packet s header. Another such feature is the ability to control the SpaceWire node or router using RMAP transactions in the extended address range. This allows the SpaceWire network host to manage the SpaceWire network elements using RMAP packets, which reduces the number of protocols that the network host needs to support.

  7. High spatial resolution measurements in a single stage ram accelerator

    NASA Technical Reports Server (NTRS)

    Hinkey, J. B.; Burnham, E. A.; Bruckner, A. P.

    1992-01-01

    High spatial resolution experimental tube wall pressure measurements of ram accelerator gas dynamic phenomena are presented in this paper. The ram accelerator is a ramjet-in-tube device which operates in a manner similar to that of a conventional ramjet. The projectile resembles the centerbody of a ramjet and travels supersonically through a tube filled with a combustible gaseous mixture, with the tube acting as the outer cowling. Pressure data are recorded as the projectile passes by sensors mounted in the tube wall at various locations along the tube. Utilization of special highly instrumented sections of tube has allowed the recording of gas dynamic phenomena with high resolution. High spatial resolution tube wall pressure data from the three regimes of propulsion studied to date (subdetonative, transdetonative, and superdetonative) in a single stage gas mixture are presented and reveal the three-dimensional character of the flow field induced by projectile fins and the canting of the fins and the canting of the projectile body relative to the tube wall. Also presented for comparison to the experimental data are calculations made with an inviscid, three-dimensional CFD code. The knowledge gained from these experiments and simulations is useful in understanding the underlying nature of ram accelerator propulsive regimes, as well as assisting in the validation of three-dimensional CFD coded which model unsteady, chemically reactive flows.

  8. Nonvolatile memory chips: critical technology for high-performance recce systems

    NASA Astrophysics Data System (ADS)

    Kaufman, Bruce

    2000-11-01

    Airborne recce systems universally require nonvolatile storage of recorded data. Both present and next generation designs make use of flash memory chips. Flash memory devices are in high volume use for a variety of commercial products ranging form cellular phones to digital cameras. Fortunately, commercial applications call for increasing capacities and fast write times. These parameters are important to the designer of recce recorders. Of economic necessity COTS devices are used in recorders that must perform in military avionics environments. Concurrently, recording rates are moving to $GTR10Gb/S. Thus to capture imagery for even a few minutes of record time, tactically meaningful solid state recorders will require storage capacities in the 100s of Gbytes. Even with memory chip densities at present day 512Mb, such capacities require thousands of chips. The demands on packaging technology are daunting. This paper will consider the differing flash chip architectures, both available and projected and discuss the impact on recorder architecture and performance. Emerging nonvolatile memory technologies, FeRAM AND MIRAM will be reviewed with regard to their potential use in recce recorders.

  9. Inspection of Stryker Engines Evaluated Using SCPL in a 20K Mile RAM-D Test

    DTIC Science & Technology

    2014-02-01

    Availability, Maintainability, and Durability (RAM-D) test. The Caterpillar 3126 engine as tested was removed from the IAV Stryker, an 18 ton 8-wheeled...The objective of this work was to complete a tear down and inspection of two CAT 3126 engines as used in the IAV Stryker. A 20k mile RAM-D test was

  10. Some pitfalls in measuring memory in animals.

    PubMed

    Thorpe, Christina M; Jacova, Claudia; Wilkie, Donald M

    2004-11-01

    Because the presence or absence of memories in the brain cannot be directly observed, scientists must rely on indirect measures and use inferential reasoning to make statements about the status of memories. In humans, memories are often accessed through spoken or written language. In animals, memory is accessed through overt behaviours such as running down an arm in a maze, pressing a lever, or visiting a food cache site. Because memory is measured by these indirect methods, errors in the veracity of statements about memory can occur. In this brief paper, we identify three areas that may serve as pitfalls in reasoning about memory in animals: (1) the presence of 'silent associations', (2) intrusions of species-typical behaviours on memory tasks, and (3) improper mapping between human and animals memory tasks. There are undoubtedly other areas in which scientists should act cautiously when reasoning about the status of memory.

  11. Infertility in a Ram Associated with a Knobbed Acrosome Abnormality of the Spermatozoa

    PubMed Central

    Savage, N. C.

    1984-01-01

    A yearling Rambouillet ram with an asymmetrical scrotum was examined for potential breeding soundness prior to use in a synchronized mating program in a purebred flock of 20 ewes. Initial sperm cell evaluation revealed 78% knobbed acrosomes associated with few other abnormalities of the head and midpiece. Use of the ram resulted in no conception in one group of ten synchronized ewes. One month later, the proportion of sperm cells with knobbed acrosomes was 80%. ImagesFigure 1.Figure 2. PMID:17422370

  12. RamA, a Protein Required for Reductive Activation of Corrinoid-dependent Methylamine Methyltransferase Reactions in Methanogenic Archaea*S⃞

    PubMed Central

    Ferguson, Tsuneo; Soares, Jitesh A.; Lienard, Tanja; Gottschalk, Gerhard; Krzycki, Joseph A.

    2009-01-01

    Archaeal methane formation from methylamines is initiated by distinct methyltransferases with specificity for monomethylamine, dimethylamine, or trimethylamine. Each methylamine methyltransferase methylates a cognate corrinoid protein, which is subsequently demethylated by a second methyltransferase to form methyl-coenzyme M, the direct methane precursor. Methylation of the corrinoid protein requires reduction of the central cobalt to the highly reducing and nucleophilic Co(I) state. RamA, a 60-kDa monomeric iron-sulfur protein, was isolated from Methanosarcina barkeri and is required for in vitro ATP-dependent reductive activation of methylamine:CoM methyl transfer from all three methylamines. In the absence of the methyltransferases, highly purified RamA was shown to mediate the ATP-dependent reductive activation of Co(II) corrinoid to the Co(I) state for the monomethylamine corrinoid protein, MtmC. The ramA gene is located near a cluster of genes required for monomethylamine methyltransferase activity, including MtbA, the methylamine-specific CoM methylase and the pyl operon required for co-translational insertion of pyrrolysine into the active site of methylamine methyltransferases. RamA possesses a C-terminal ferredoxin-like domain capable of binding two tetranuclear iron-sulfur proteins. Mutliple ramA homologs were identified in genomes of methanogenic Archaea, often encoded near methyltrophic methyltransferase genes. RamA homologs are also encoded in a diverse selection of bacterial genomes, often located near genes for corrinoid-dependent methyltransferases. These results suggest that RamA mediates reductive activation of corrinoid proteins and that it is the first functional archetype of COG3894, a family of redox proteins of unknown function. PMID:19043046

  13. The relationship between post-thaw sperm DNA integrity and non-return rate among Norwegian cross-bred rams.

    PubMed

    Nordstoga, A B; Krogenæs, A; Nødtvedt, A; Farstad, W; Waterhouse, K

    2013-04-01

    With the aim of investigating the relationship between sperm DNA integrity and non-return rate (NRR) among Norwegian cross-bred rams, semen from 15 individuals was examined by flow cytometry. Sperm Chromatin Structure Assay (SCSA) quantifies the proportion of spermatozoa with denatured DNA after in situ acid treatment, and the four parameters % DFI, % HDS, MEAN DFI and SD DFI are all different measures of DNA denaturation and maturation. Field fertility, reported as NRR 25 days after insemination was based on all inseminations from a large-scale breeding programme and supplied by the Norwegian Association of Sheep and Goat Farmers. From each ram, four straws from four different weeks of the breeding season were analysed, and the associations between 25-day NRR and the mean of the four SCSA parameters were tested using a logistic regression model. The results revealed no association between fertility and % DFI or % HDS, while SD DFI and MEAN DFI showed a significant negative association with NRR. Further, the SCSA values varied significantly between ejaculates within ram among some of the rams in the study. However, no significant association was seen between these intra-individual differences in sperm DNA integrity and NRR. In conclusion, this study suggests an association between sperm DNA integrity and NRR for rams. However, further research must be conducted to confirm these findings and determine whether sperm DNA assessments can be applied to predict ram fertility. © 2012 Blackwell Verlag GmbH.

  14. Implementation of nitrogen-doped titanium-tungsten tunable heater in phase change random access memory and its effects on device performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tan, Chun Chia; Zhao, Rong, E-mail: zhao-rong@sutd.edu.sg; Chong, Tow Chong

    2014-10-13

    Nitrogen-doped titanium-tungsten (N-TiW) was proposed as a tunable heater in Phase Change Random Access Memory (PCRAM). By tuning N-TiW's material properties through doping, the heater can be tailored to optimize the access speed and programming current of PCRAM. Experiments reveal that N-TiW's resistivity increases and thermal conductivity decreases with increasing nitrogen-doping ratio, and N-TiW devices displayed (∼33% to ∼55%) reduced programming currents. However, there is a tradeoff between the current and speed for heater-based PCRAM. Analysis of devices with different N-TiW heaters shows that N-TiW doping levels could be optimized to enable low RESET currents and fast access speeds.

  15. The dynamic interplay between acute psychosocial stress, emotion and autobiographical memory.

    PubMed

    Sheldon, Signy; Chu, Sonja; Nitschke, Jonas P; Pruessner, Jens C; Bartz, Jennifer A

    2018-06-06

    Although acute psychosocial stress can impact autobiographical memory retrieval, the nature of this effect is not entirely clear. One reason for this ambiguity is because stress can have opposing effects on the different stages of autobiographical memory retrieval. We addressed this issue by testing how acute stress affects three stages of the autobiographical memory retrieval - accessing, recollecting and reconsolidating a memory. We also investigate the influence of emotion valence on this effect. In a between-subjects design, participants were first exposed to an acute psychosocial stressor or a control task. Next, the participants were shown positive, negative or neutral retrieval cues and asked to access and describe autobiographical memories. After a three to four day delay, participants returned for a second session in which they described these autobiographical memories. During initial retrieval, stressed participants were slower to access memories than were control participants; moreover, cortisol levels were positively associated with response times to access positively-cued memories. There were no effects of stress on the amount of details used to describe memories during initial retrieval, but stress did influence memory detail during session two. During session two, stressed participants recovered significantly more details, particularly emotional ones, from the remembered events than control participants. Our results indicate that the presence of stress impairs the ability to access consolidated autobiographical memories; moreover, although stress has no effect on memory recollection, stress alters how recollected experiences are reconsolidated back into memory traces.

  16. DETAIL OF CORNICE MOULDING WITH RAM'S HEAD MOTIF. EIGHT SHADES ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    DETAIL OF CORNICE MOULDING WITH RAM'S HEAD MOTIF. EIGHT SHADES OF GOLD LEAF AND BURNISHED GOLD LEAF WERE USED FOR THE INTERIOR FINISHES. - Anaconda Historic District, Washoe Theater, 305 Main Street, Anaconda, Deer Lodge County, MT

  17. A stochastic simulation method for the assessment of resistive random access memory retention reliability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berco, Dan, E-mail: danny.barkan@gmail.com; Tseng, Tseung-Yuen, E-mail: tseng@cc.nctu.edu.tw

    This study presents an evaluation method for resistive random access memory retention reliability based on the Metropolis Monte Carlo algorithm and Gibbs free energy. The method, which does not rely on a time evolution, provides an extremely efficient way to compare the relative retention properties of metal-insulator-metal structures. It requires a small number of iterations and may be used for statistical analysis. The presented approach is used to compare the relative robustness of a single layer ZrO{sub 2} device with a double layer ZnO/ZrO{sub 2} one, and obtain results which are in good agreement with experimental data.

  18. A Pilot Memory Café for People with Learning Disabilities and Memory Difficulties

    ERIC Educational Resources Information Center

    Kiddle, Hannah; Drew, Neil; Crabbe, Paul; Wigmore, Jonathan

    2016-01-01

    Memory cafés have been found to normalise experiences of dementia and provide access to an accepting social network. People with learning disabilities are at increased risk of developing dementia, but the possible benefits of attending a memory café are not known. This study evaluates a 12-week pilot memory café for people with learning…

  19. 10. DETAIL OF CORNICE MOULDING WITH RAM'S HEAD MOTIF. EIGHT ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    10. DETAIL OF CORNICE MOULDING WITH RAM'S HEAD MOTIF. EIGHT SHADES OF GOLD LEAF AND BURNISHED GOLD LEAF WERE USED FOR THE INTERIOR FINISHES - Anaconda Historic District, Washoe Theater, 305 Main Street, Anaconda, Deer Lodge County, MT

  20. System and method for programmable bank selection for banked memory subsystems

    DOEpatents

    Blumrich, Matthias A.; Chen, Dong; Gara, Alan G.; Giampapa, Mark E.; Hoenicke, Dirk; Ohmacht, Martin; Salapura, Valentina; Sugavanam, Krishnan

    2010-09-07

    A programmable memory system and method for enabling one or more processor devices access to shared memory in a computing environment, the shared memory including one or more memory storage structures having addressable locations for storing data. The system comprises: one or more first logic devices associated with a respective one or more processor devices, each first logic device for receiving physical memory address signals and programmable for generating a respective memory storage structure select signal upon receipt of pre-determined address bit values at selected physical memory address bit locations; and, a second logic device responsive to each of the respective select signal for generating an address signal used for selecting a memory storage structure for processor access. The system thus enables each processor device of a computing environment memory storage access distributed across the one or more memory storage structures.

  1. Recent trends in hardware security exploiting hybrid CMOS-resistive memory circuits

    NASA Astrophysics Data System (ADS)

    Sahay, Shubham; Suri, Manan

    2017-12-01

    This paper provides a comprehensive review and insight of recent trends in the field of random number generator (RNG) and physically unclonable function (PUF) circuits implemented using different types of emerging resistive non-volatile (NVM) memory devices. We present a detailed review of hybrid RNG/PUF implementations based on the use of (i) Spin-Transfer Torque (STT-MRAM), and (ii) metal-oxide based (OxRAM), NVM devices. Various approaches on Hybrid CMOS-NVM RNG/PUF circuits are considered, followed by a discussion on different nanoscale device phenomena. Certain nanoscale device phenomena (variability/stochasticity etc), which are otherwise undesirable for reliable memory and storage applications, form the basis for low power and highly scalable RNG/PUF circuits. Detailed qualitative comparison and benchmarking of all implementations is performed.

  2. Imaging through atmospheric turbulence for laser based C-RAM systems: an analytical approach

    NASA Astrophysics Data System (ADS)

    Buske, Ivo; Riede, Wolfgang; Zoz, Jürgen

    2013-10-01

    High Energy Laser weapons (HEL) have unique attributes which distinguish them from limitations of kinetic energy weapons. HEL weapons engagement process typical starts with identifying the target and selecting the aim point on the target through a high magnification telescope. One scenario for such a HEL system is the countermeasure against rockets, artillery or mortar (RAM) objects to protect ships, camps or other infrastructure from terrorist attacks. For target identification and especially to resolve the aim point it is significant to ensure high resolution imaging of RAM objects. During the whole ballistic flight phase the knowledge about the expectable imaging quality is important to estimate and evaluate the countermeasure system performance. Hereby image quality is mainly influenced by unavoidable atmospheric turbulence. Analytical calculations have been taken to analyze and evaluate image quality parameters during an approaching RAM object. In general, Kolmogorov turbulence theory was implemented to determine atmospheric coherence length and isoplanatic angle. The image acquisition is distinguishing between long and short exposure times to characterize tip/tilt image shift and the impact of high order turbulence fluctuations. Two different observer positions are considered to show the influence of the selected sensor site. Furthermore two different turbulence strengths are investigated to point out the effect of climate or weather condition. It is well known that atmospheric turbulence degenerates image sharpness and creates blurred images. Investigations are done to estimate the effectiveness of simple tip/tilt systems or low order adaptive optics for laser based C-RAM systems.

  3. Integration of RAMS in LCC analysis for linear transport infrastructures. A case study for railways.

    NASA Astrophysics Data System (ADS)

    Calle-Cordón, Álvaro; Jiménez-Redondo, Noemi; Morales-Gámiz, F. J.; García-Villena, F. A.; Garmabaki, Amir H. S.; Odelius, Johan

    2017-09-01

    Life-cycle cost (LCC) analysis is an economic technique used to assess the total costs associated with the lifetime of a system in order to support decision making in long term strategic planning. For complex systems, such as railway and road infrastructures, the cost of maintenance plays an important role in the LCC analysis. Costs associated with maintenance interventions can be more reliably estimated by integrating the probabilistic nature of the failures associated to these interventions in the LCC models. Reliability, Maintainability, Availability and Safety (RAMS) parameters describe the maintenance needs of an asset in a quantitative way by using probabilistic information extracted from registered maintenance activities. Therefore, the integration of RAMS in the LCC analysis allows obtaining reliable predictions of system maintenance costs and the dependencies of these costs with specific cost drivers through sensitivity analyses. This paper presents an innovative approach for a combined RAMS & LCC methodology for railway and road transport infrastructures being developed under the on-going H2020 project INFRALERT. Such RAMS & LCC analysis provides relevant probabilistic information to be used for condition and risk-based planning of maintenance activities as well as for decision support in long term strategic investment planning.

  4. Hydric characterisation of rammed earth samples for different lime concentrations

    NASA Astrophysics Data System (ADS)

    Soudani, Lucile; Fabbri, Antonin; Woloszyn, Monika; Grillet, Anne-Cécile; Morel, Jean-Claude

    2018-04-01

    The rehabilitation of ancient rammed earth houses, as well as the use of earthen materials in modern constructions, are a growing matter of concern, especially in area such as Rhône-Alpes, France, where 40% of old constructions are in rammed earth. A current pathology observed for this type of construction is related to the rising damps, for which the water from the ground is absorbed by the wall. This situation leads to a very saturated state. As it has been proven that the compressive strength is altered by the presence of water in the pores, a better understanding on high relative humidity range is necessary to be able to predict the mechanical behavior of buildings and thus ensure a better risk assessment. The present study describes experimental results of the water uptake experiments and moisture storage at high relative humidities.

  5. Unexpected surface implanted layer in static random access memory devices observed by microwave impedance microscope

    NASA Astrophysics Data System (ADS)

    Kundhikanjana, W.; Yang, Y.; Tanga, Q.; Zhang, K.; Lai, K.; Ma, Y.; Kelly, M. A.; Li, X. X.; Shen, Z.-X.

    2013-02-01

    Real-space mapping of doping concentration in semiconductor devices is of great importance for the microelectronics industry. In this work, a scanning microwave impedance microscope (MIM) is employed to resolve the local conductivity distribution of a static random access memory sample. The MIM electronics can also be adjusted to the scanning capacitance microscopy (SCM) mode, allowing both measurements on the same region. Interestingly, while the conventional SCM images match the nominal device structure, the MIM results display certain unexpected features, which originate from a thin layer of the dopant ions penetrating through the protective layers during the heavy implantation steps.

  6. RAPID: A random access picture digitizer, display, and memory system

    NASA Technical Reports Server (NTRS)

    Yakimovsky, Y.; Rayfield, M.; Eskenazi, R.

    1976-01-01

    RAPID is a system capable of providing convenient digital analysis of video data in real-time. It has two modes of operation. The first allows for continuous digitization of an EIA RS-170 video signal. Each frame in the video signal is digitized and written in 1/30 of a second into RAPID's internal memory. The second mode leaves the content of the internal memory independent of the current input video. In both modes of operation the image contained in the memory is used to generate an EIA RS-170 composite video output signal representing the digitized image in the memory so that it can be displayed on a monitor.

  7. Delayed puberty caused by hyperthyroidism in ram lambs is not a result of suppression in body growth.

    PubMed

    Chandrasekhar, Y; D'Occhio, M J; Setchell, B P

    1986-03-01

    Over a period of 8 weeks ram lambs (16 weeks old) were made hyperthyroidal (serum thyroxine approximately equal to 150 ng/ml, compared with control approximately equal to 48 ng/ml) by daily subcutaneous injections of thyroxine or maintained at a constant body weight by restriction of the feed intake. Hyperthyroidal and restricted-intake lambs remained at a constant body weight during the period of treatment whilst control rams gained body weight. Testicular growth was normal in restricted-intake lambs but was suppressed in hyperthyroidal animals. Hyperthyroidism, but not feed restriction, was also associated with decrease in LH pulse frequency (1.3 +/- 0.3/12 h compared with controls 4.8 +/- 0.9/12 h. Hyperthyroidal lambs showed normal LH responses to exogenous LHRH. After cessation of treatment testicular growth continued to be suppressed for up to 16 weeks in previously hyperthyroidic rams; thereafter testes began to increase in size but at 30 weeks after treatment were still smaller than those of control rams. It is concluded that elevated thyroxine concentrations directly influence sexual maturation in ram lambs through actions at hypothalamic and/or higher brain centres which control LH secretion. Transient hyperthyroidism during sexual maturation may cause permanent impairment of sexual development.

  8. Reliability and Maintainability model (RAM) user and maintenance manual. Part 2

    NASA Technical Reports Server (NTRS)

    Ebeling, Charles E.

    1995-01-01

    This report documents the procedures for utilizing and maintaining the Reliability and Maintainability Model (RAM) developed by the University of Dayton for the NASA Langley Research Center (LaRC). The RAM model predicts reliability and maintainability (R&M) parameters for conceptual space vehicles using parametric relationships between vehicle design and performance characteristics and subsystem mean time between maintenance actions (MTBM) and manhours per maintenance action (MH/MA). These parametric relationships were developed using aircraft R&M data from over thirty different military aircraft of all types. This report describes the general methodology used within the model, the execution and computational sequence, the input screens and data, the output displays and reports, and study analyses and procedures. A source listing is provided.

  9. Investigation of advanced propulsion technologies: The RAM accelerator and the flowing gas radiation heater

    NASA Technical Reports Server (NTRS)

    Bruckner, A. P.; Knowlen, C.; Mattick, A. T.; Hertzberg, A.

    1992-01-01

    The two principal areas of advanced propulsion investigated are the ram accelerator and the flowing gas radiation heater. The concept of the ram accelerator is presented as a hypervelocity launcher for large-scale aeroballistic range applications in hypersonics and aerothermodynamics research. The ram accelerator is an in-bore ramjet device in which a projectile shaped like the centerbody of a supersonic ramjet is propelled in a stationary tube filled with a tailored combustible gas mixture. Combustion on and behind the projectile generates thrust which accelerates it to very high velocities. The acceleration can be tailored for the 'soft launch' of instrumented models. The distinctive reacting flow phenomena that have been observed in the ram accelerator are relevant to the aerothermodynamic processes in airbreathing hypersonic propulsion systems and are useful for validating sophisticated CFD codes. The recently demonstrated scalability of the device and the ability to control the rate of acceleration offer unique opportunities for the use of the ram accelerator as a large-scale hypersonic ground test facility. The flowing gas radiation receiver is a novel concept for using solar energy to heat a working fluid for space power or propulsion. Focused solar radiation is absorbed directly in a working gas, rather than by heat transfer through a solid surface. Previous theoretical analysis had demonstrated that radiation trapping reduces energy loss compared to that of blackbody receivers, and enables higher efficiencies and higher peak temperatures. An experiment was carried out to measure the temperature profile of an infrared-active gas and demonstrate the effect of radiation trapping. The success of this effort validates analytical models of heat transfer in this receiver, and confirms the potential of this approach for achieving high efficiency space power and propulsion.

  10. Attention, working memory, and phenomenal experience of WM content: memory levels determined by different types of top-down modulation.

    PubMed

    Jacob, Jane; Jacobs, Christianne; Silvanto, Juha

    2015-01-01

    What is the role of top-down attentional modulation in consciously accessing working memory (WM) content? In influential WM models, information can exist in different states, determined by allocation of attention; placing the original memory representation in the center of focused attention gives rise to conscious access. Here we discuss various lines of evidence indicating that such attentional modulation is not sufficient for memory content to be phenomenally experienced. We propose that, in addition to attentional modulation of the memory representation, another type of top-down modulation is required: suppression of all incoming visual information, via inhibition of early visual cortex. In this view, there are three distinct memory levels, as a function of the top-down control associated with them: (1) Nonattended, nonconscious associated with no attentional modulation; (2) attended, phenomenally nonconscious memory, associated with attentional enhancement of the actual memory trace; (3) attended, phenomenally conscious memory content, associated with enhancement of the memory trace and top-down suppression of all incoming visual input.

  11. The Alcoa ram fastener: A reusable blind rivet

    NASA Technical Reports Server (NTRS)

    Dewalt, W. J.

    1972-01-01

    Results of tensile, shear, fatigue and accelerated weathering tests are presented for the ram fastener, a reusable, single unit blind rivet. The effects of variations in hole size, grip length and sheet thickness on strength properties of the fastener were determined. The test results show these fasteners to have strength characteristics suitable for light structural applications. Exposure to accelerated weathering did not impair their performance.

  12. Memory protection

    NASA Technical Reports Server (NTRS)

    Denning, Peter J.

    1988-01-01

    Accidental overwriting of files or of memory regions belonging to other programs, browsing of personal files by superusers, Trojan horses, and viruses are examples of breakdowns in workstations and personal computers that would be significantly reduced by memory protection. Memory protection is the capability of an operating system and supporting hardware to delimit segments of memory, to control whether segments can be read from or written into, and to confine accesses of a program to its segments alone. The absence of memory protection in many operating systems today is the result of a bias toward a narrow definition of performance as maximum instruction-execution rate. A broader definition, including the time to get the job done, makes clear that cost of recovery from memory interference errors reduces expected performance. The mechanisms of memory protection are well understood, powerful, efficient, and elegant. They add to performance in the broad sense without reducing instruction execution rate.

  13. In Vitro Selection of ramR and soxR Mutants Overexpressing Efflux Systems by Fluoroquinolones as Well as Cefoxitin in Klebsiella pneumoniae▿

    PubMed Central

    Bialek-Davenet, Suzanne; Marcon, Estelle; Leflon-Guibout, Véronique; Lavigne, Jean-Philippe; Bert, Frédéric; Moreau, Richard; Nicolas-Chanoine, Marie-Hélène

    2011-01-01

    The relationship between efflux system overexpression and cross-resistance to cefoxitin, quinolones, and chloramphenicol has recently been reported in Klebsiella pneumoniae. In 3 previously published clinical isolates and 17 in vitro mutants selected with cefoxitin or fluoroquinolones, mutations in the potential regulator genes of the AcrAB efflux pump (acrR, ramR, ramA, marR, marA, soxR, soxS, and rob) were searched, and their impacts on efflux-related antibiotic cross-resistance were assessed. All mutants but 1, and 2 clinical isolates, overexpressed acrB. No mutation was detected in the regulator genes studied among the clinical isolates and 8 of the mutants. For the 9 remaining mutants, a mutation was found in the ramR gene in 8 of them and in the soxR gene in the last one, resulting in overexpression of ramA and soxS, respectively. Transformation of the ramR mutants and the soxR mutant with the wild-type ramR and soxR genes, respectively, abolished overexpression of acrB and ramA in the ramR mutants and of soxS in the soxR mutant, as well as antibiotic cross-resistance. Resistance due to efflux system overexpression was demonstrated for 4 new antibiotics: cefuroxime, cefotaxime, ceftazidime, and ertapenem. This study shows that the ramR and soxR genes control the expression of efflux systems in K. pneumoniae and suggests the existence of efflux pumps other than AcrAB and of other loci involved in the regulation of AcrAB expression. PMID:21464248

  14. Timber management planning with timber ram and goal programming

    Treesearch

    Richard C. Field

    1978-01-01

    By using goal programming to enhance the linear programming of Timber RAM, multiple decision criteria were incorporated in the timber management planning of a National Forest in the southeastern United States. Combining linear and goal programming capitalizes on the advantages of the two techniques and produces operationally feasible solutions. This enhancement may...

  15. How intention and monitoring your thoughts influence characteristics of autobiographical memories.

    PubMed

    Barzykowski, Krystian; Staugaard, Søren Risløv

    2018-05-01

    Involuntary autobiographical memories come to mind effortlessly and unintended, but the mechanisms of their retrieval are not fully understood. We hypothesize that involuntary retrieval depends on memories that are highly accessible (e.g., intense, unusual, recent, rehearsed), while the elaborate search that characterizes voluntary retrieval also produces memories that are mundane, repeated or distant - memories with low accessibility. Previous research provides some evidence for this 'threshold hypothesis'. However, in almost every prior study, participants have been instructed to report only memories while ignoring other thoughts. It is possible that such an instruction can modify the phenomenological characteristics of involuntary memories. This study aimed to investigate the effects of retrieval intentionality (i.e., wanting to retrieve a memory) and selective monitoring (i.e., instructions to report only memories) on the phenomenology of autobiographical memories. Participants were instructed to (1) intentionally retrieve autobiographical memories, (2) intentionally retrieve any type of thought (3) wait for an autobiographical memory to spontaneously appear, or (4) wait for any type of thought to spontaneously appear. They rated the mental content on a number of phenomenological characteristics both during retrieval and retrospectively following retrieval. The results support the prediction that highly accessible memories mostly enter awareness unintended and without selective monitoring, while memories with low accessibility rely on intention and selective monitoring. We discuss the implications of these effects. © 2017 The British Psychological Society.

  16. Memory-Intensive Benchmarks: IRAM vs. Cache-Based Machines

    NASA Technical Reports Server (NTRS)

    Biswas, Rupak; Gaeke, Brian R.; Husbands, Parry; Li, Xiaoye S.; Oliker, Leonid; Yelick, Katherine A.; Biegel, Bryan (Technical Monitor)

    2002-01-01

    The increasing gap between processor and memory performance has lead to new architectural models for memory-intensive applications. In this paper, we explore the performance of a set of memory-intensive benchmarks and use them to compare the performance of conventional cache-based microprocessors to a mixed logic and DRAM processor called VIRAM. The benchmarks are based on problem statements, rather than specific implementations, and in each case we explore the fundamental hardware requirements of the problem, as well as alternative algorithms and data structures that can help expose fine-grained parallelism or simplify memory access patterns. The benchmarks are characterized by their memory access patterns, their basic control structures, and the ratio of computation to memory operation.

  17. Modeling of behavioral responses for successful selection of easy-to-train rams for semen collection with an artificial vagina.

    PubMed

    Ambrosi, Claudia Pamela; Rubio, Natalia; Giménez, Gustavo; Venturino, Andrés; Aisen, Eduardo Gabriel; López Armengol, María Fernanda

    2018-06-01

    The aim of this study was to analyze the reproductive behavioral responses in Australian Merino rams, to identify those related to a faster training for semen collection with an artificial vagina. Eight Australian Merino rams, aged 1.5 years and with no prior sexual experience, were randomly selected from an extensively grazed flock. One immobilized ewe with no hormone stimulation was used for rams to sexually interact and mount. The frequencies of approaching, sniffing, flehmen, pushing, pawing with chin resting, and tongue flicking were recorded during eight training and three post-training assessments periods. In addition, the duration of sniffing and flehmen responses, as well as the time from when the ram started to approach the ewe until the mount with ejaculation (completed mount) were recorded. Descriptive, correlation, and modeling analyses were performed. Amongst the rams, four mounted the ewe and ejaculated for the first time during the training phase, and three mounted and ejaculated for the first time after the training phase. The remaining ram mounted the ewe and ejaculated for the first time during the post-training evaluation in the following year. A great variability in the behavior repertoire was observed among rams. The correlation analysis indicated that the completed mount was associated with the behaviors during the approaching response. The expression of the sniffing response decreased between the training phase and post-training evaluation, while the responses of pushing the ewe and tongue flicking ceased to occur. Pawing the side of the ewe with the chin resting on the back of the ewe and flehmen responses, however, continued between the training and post-training phases. This led to a decrease in the time from when the ram started to approach the ewe until the completed mount. It is concluded that the responses of approaching the ewe, pawing the side of the ewe with chin resting on the ewe, and sniffing of the ewe (the latter occurring

  18. Fos Expression in the Olfactory Pathway of High- and Low-Sexually Performing Rams Exposed to Urine from Estrous or Ovariectomized Ewes

    PubMed Central

    Mirto, AJ; Austin, KJ; Uthlaut, VA; Roselli, CE; Alexander, BM

    2015-01-01

    Exposure to estrous ewe urine stimulates investigation and mounting activity in sexually active but not sexually inactive rams. It was hypothesized sexual indifference may result from an inability to detect olfactory cues or an interruption of the pathway from detection of the olfactory stimulus to the motor response. Sexually active (n=4) and inactive (n=3) rams were exposed to urine from estrous ewes. An additional group of sexually active rams (n=3) were exposed to urine from ovariectomized ewes. Rams were exsanguinated following 1 h of exposure to stimulus. Neural activity was determined in tissues of interest by the presence of fos and fos-related proteins detected by immunohistochemistry procedures. Sexually active rams exposed to urine from ovariectomized ewes had more (P ≤ 0.05) fos-positive cells in the olfactory bulb, but fewer (P = 0.03) fos-positive cells in the cortical amygdala compared to sexually active rams exposed to urine from estrous ewes. Sexually inactive rams had similar (P ≥ 0.13) numbers of fos positive neurons in the olfactory bulb and medial amygdala but fewer (P ≤ 0.04) in the central amygdala, bed nucleus of the stria terminalis and the medial preoptic area compared to sexually active rams exposed to urine from estrous ewes. Sexual inactivity was not associated with decreased hypothalamic function since fos activity was similar (P ≥ 0.14) among groups in the suprachiasmatic and ventral medial nucleus. Sexual inactivity is not likely due to an impaired ability to detect or process olfactory stimuli by the main olfactory bulb and medial-cortical amygdala. Sexually inactive rams may have reduced attentiveness to sexual stimuli and/or decreased responsiveness of regions in the brain which regulate reproductive behaviors. PMID:28348447

  19. Initiation Mechanisms of Low-loss Swept-ramp Obstacles for Deflagration to Detonation Transition in Pulse Detonation Combustors

    DTIC Science & Technology

    2009-12-01

    minimal pressure losses. 15. NUMBER OF PAGES 113 14. SUBJECT TERMS Pulse Detonation Combustors, PDC, Pulse Detonation Engines, PDE , PDE ...Postgraduate School PDC Pulse Detonation Combustor PDE Pulse Detonation Engine RAM Random Access Memory RDT Research, Design and Test RPL...inhibiting the implementation of this advanced propulsion system. The primary advantage offered by pulse detonation engines ( PDEs ) is the high efficiency

  20. Spin-transfer-torque efficiency enhanced by edge-damage of perpendicular magnetic random access memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Kyungmi; Lee, Kyung-Jin, E-mail: kj-lee@korea.ac.kr; Department of Materials Science and Engineering, Korea University, Seoul 136-713

    2015-08-07

    We numerically investigate the effect of magnetic and electrical damages at the edge of a perpendicular magnetic random access memory (MRAM) cell on the spin-transfer-torque (STT) efficiency that is defined by the ratio of thermal stability factor to switching current. We find that the switching mode of an edge-damaged cell is different from that of an undamaged cell, which results in a sizable reduction in the switching current. Together with a marginal reduction of the thermal stability factor of an edge-damaged cell, this feature makes the STT efficiency large. Our results suggest that a precise edge control is viable formore » the optimization of STT-MRAM.« less

  1. Forming-free and self-rectifying resistive switching of the simple Pt/TaOx/n-Si structure for access device-free high-density memory application

    NASA Astrophysics Data System (ADS)

    Gao, Shuang; Zeng, Fei; Li, Fan; Wang, Minjuan; Mao, Haijun; Wang, Guangyue; Song, Cheng; Pan, Feng

    2015-03-01

    The search for self-rectifying resistive memories has aroused great attention due to their potential in high-density memory applications without additional access devices. Here we report the forming-free and self-rectifying bipolar resistive switching behavior of a simple Pt/TaOx/n-Si tri-layer structure. The forming-free phenomenon is attributed to the generation of a large amount of oxygen vacancies, in a TaOx region that is in close proximity to the TaOx/n-Si interface, via out-diffusion of oxygen ions from TaOx to n-Si. A maximum rectification ratio of ~6 × 102 is obtained when the Pt/TaOx/n-Si devices stay in a low resistance state, which originates from the existence of a Schottky barrier between the formed oxygen vacancy filament and the n-Si electrode. More importantly, numerical simulation reveals that the self-rectifying behavior itself can guarantee a maximum crossbar size of 212 × 212 (~44 kbit) on the premise of 10% read margin. Moreover, satisfactory switching uniformity and retention performance are observed based on this simple tri-layer structure. All of these results demonstrate the great potential of this simple Pt/TaOx/n-Si tri-layer structure for access device-free high-density memory applications.The search for self-rectifying resistive memories has aroused great attention due to their potential in high-density memory applications without additional access devices. Here we report the forming-free and self-rectifying bipolar resistive switching behavior of a simple Pt/TaOx/n-Si tri-layer structure. The forming-free phenomenon is attributed to the generation of a large amount of oxygen vacancies, in a TaOx region that is in close proximity to the TaOx/n-Si interface, via out-diffusion of oxygen ions from TaOx to n-Si. A maximum rectification ratio of ~6 × 102 is obtained when the Pt/TaOx/n-Si devices stay in a low resistance state, which originates from the existence of a Schottky barrier between the formed oxygen vacancy filament and the n

  2. Investigation of starting transients in the thermally choked ram accelerator

    NASA Technical Reports Server (NTRS)

    Burnham, E. A.; Hinkey, J. B.; Bruckner, A. P.

    1992-01-01

    An experimental investigation of the starting transients of the thermally choked ram accelerator is presented in this paper. Construction of a highly instrumented tube section and instrumentation inserts provide high resolution experimental pressure, luminosity, and electromagnetic data of the starting transients. Data obtained prior to and following the entrance diaphragm show detailed development of shock systems in both combustible and inert mixtures. With an evacuated launch tube, starting the diffuser is possible at any Mach number above the Kantrowitz Mach number. The detrimental effects and possible solutions of higher launch tube pressures and excessive obturator leakage (blow-by) are discussed. Ignition of a combustible mixture is demonstrated with both perforated and solid obturators. The relative advantages and disadvantages of each are discussed. Data obtained from these starting experiments enhance the understanding of the ram accelerator, as well as assist in the validation of unsteady, chemically reacting CFD codes.

  3. Two Way Coupling RAM-SCB to the Space Weather Modeling Framework

    NASA Astrophysics Data System (ADS)

    Welling, D. T.; Jordanova, V. K.; Zaharia, S. G.; Toth, G.

    2010-12-01

    The Ring current Atmosphere interaction Model with Self-Consistently calculated 3D Magnetic field (RAM-SCB) has been used to successfully study inner magnetosphere dynamics during different solar wind and magnetosphere conditions. Recently, one way coupling of RAM-SCB with the Space Weather Modeling Framework (SWMF) has been achieved to replace all data or empirical inputs with those obtained through first-principles-based codes: magnetic field and plasma flux outer boundary conditions are provided by the Block Adaptive Tree Solar wind Roe-type Upwind Scheme (BATS-R-US) MHD code, convection electric field is provided by the Ridley Ionosphere Model (RIM), and ion composition is provided by the Polar Wind Outflow Model (PWOM) combined with a multi-species MHD approach. These advances, though creating a powerful inner magnetosphere virtual laboratory, neglect the important mechanisms through which the ring current feeds back into the whole system, primarily the stretching of the magnetic field lines and shielding of the convection electric field through strong region two Field Aligned Currents (FACs). In turn, changing the magnetosphere in this way changes the evolution of the ring current. To address this shortcoming, the coupling has been expanded to include feedback from RAM-SCB to the other coupled codes: region two FACs are returned to the RIM while total plasma pressure is used to nudge the MHD solution towards the RAM-SCB values. The impacts of the two way coupling are evaluated on three levels: the global magnetospheric level, focusing on the impact on the ionosphere and the shape of the magnetosphere, the regional level, examining the impact on the development of the ring current in terms of energy density, anisotropy, and plasma distribution, and the local level to compare the new results to in-situ measurements of magnetic and electric field and plasma. The results will also be compared to past simulations using the one way coupling and no coupling

  4. Neural Correlates of Conceptual Implicit Memory and Their Contamination of Putative Neural Correlates of Explicit Memory

    ERIC Educational Resources Information Center

    Voss, Joel L.; Paller, Ken A.

    2007-01-01

    During episodic recognition tests, meaningful stimuli such as words can engender both conscious retrieval (explicit memory) and facilitated access to meaning that is distinct from the awareness of remembering (conceptual implicit memory). Neuroimaging investigations of one type of memory are frequently subject to the confounding influence of the…

  5. The potential of multi-port optical memories in digital computing

    NASA Technical Reports Server (NTRS)

    Alford, C. O.; Gaylord, T. K.

    1975-01-01

    A high-capacity memory with a relatively high data transfer rate and multi-port simultaneous access capability may serve as the basis for new computer architectures. The implementation of a multi-port optical memory is discussed. Several computer structures are presented that might profitably use such a memory. These structures include (1) a simultaneous record access system, (2) a simultaneously shared memory computer system, and (3) a parallel digital processing structure.

  6. Santiago Ramón y Cajal and the Spanish school of neurology.

    PubMed

    Andres-Barquin, Pedro J

    2002-11-01

    Advances in neurology are now possible thanks to the endeavours of a few scientists who in the past laid firm foundations for the study of the nervous system. Santiago Ramón y Cajal (1852-1934) was one such pioneer of brain exploration and is acknowledged as the founder of modern neuroscience. He described the structure and organisation of virtually all parts of the nervous system and developed theories, including the neuron doctrine and the law of functional polarisation, that are the cornerstones of neuroscience. In addition to devoting his life to research, Ramón y Cajal was a dedicated teacher and mentor and created a school that greatly contributed to the flourishing of neurology.

  7. Thin TiOx layer as a voltage divider layer located at the quasi-Ohmic junction in the Pt/Ta2O5/Ta resistance switching memory.

    PubMed

    Li, Xiang Yuan; Shao, Xing Long; Wang, Yi Chuan; Jiang, Hao; Hwang, Cheol Seong; Zhao, Jin Shi

    2017-02-09

    Ta 2 O 5 has been an appealing contender for the resistance switching random access memory (ReRAM). The resistance switching (RS) in this material is induced by the repeated formation and rupture of the conducting filaments (CFs) in the oxide layer, which are accompanied by the almost inevitable randomness of the switching parameters. In this work, a 1 to 2 nm-thick Ti layer was deposited on the 10 nm-thick Ta 2 O 5 RS layer, which greatly improved the RS performances, including the much-improved switching uniformity. The Ti metal layer was naturally oxidized to TiO x (x < 2) and played the role of a series resistor, whose resistance value was comparable to the on-state resistance of the Ta 2 O 5 RS layer. The series resistor TiO x efficiently suppressed the adverse effects of the voltage (or current) overshooting at the moment of switching by the appropriate voltage partake effect, which increased the controllability of the CF formation and rupture. The switching cycle endurance was increased by two orders of magnitude even during the severe current-voltage sweep tests compared with the samples without the thin TiO x layer. The Ti deposition did not induce any significant overhead to the fabrication process, making the process highly promising for the mass production of a reliable ReRAM.

  8. Kanerva's sparse distributed memory: An associative memory algorithm well-suited to the Connection Machine

    NASA Technical Reports Server (NTRS)

    Rogers, David

    1988-01-01

    The advent of the Connection Machine profoundly changes the world of supercomputers. The highly nontraditional architecture makes possible the exploration of algorithms that were impractical for standard Von Neumann architectures. Sparse distributed memory (SDM) is an example of such an algorithm. Sparse distributed memory is a particularly simple and elegant formulation for an associative memory. The foundations for sparse distributed memory are described, and some simple examples of using the memory are presented. The relationship of sparse distributed memory to three important computational systems is shown: random-access memory, neural networks, and the cerebellum of the brain. Finally, the implementation of the algorithm for sparse distributed memory on the Connection Machine is discussed.

  9. zorder-lib: Library API for Z-Order Memory Layout

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nowell, Lucy; Edward W. Bethel

    2015-04-01

    This document describes the motivation for, elements of, and use of the zorder-lib, a library API that implements organization of and access to data in memory using either a-order (also known as "row-major" order) or z-order memory layouts. The primary motivation for this work is to improve the performance of many types of data- intensive codes by increasing both spatial and temporal locality of memory accesses. The basic idea is that the cost associated with accessing a datum is less when it is nearby in either space or time.

  10. System Verification Through Reliability, Availability, Maintainability (RAM) Analysis & Technology Readiness Levels (TRLs)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Emmanuel Ohene Opare, Jr.; Charles V. Park

    The Next Generation Nuclear Plant (NGNP) Project, managed by the Idaho National Laboratory (INL), is authored by the Energy Policy Act of 2005, to research, develop, design, construct, and operate a prototype fourth generation nuclear reactor to meet the needs of the 21st Century. A section in this document proposes that the NGNP will provide heat for process heat applications. As with all large projects developing and deploying new technologies, the NGNP is expected to meet high performance and availability targets relative to current state of the art systems and technology. One requirement for the NGNP is to provide heatmore » for the generation of hydrogen for large scale productions and this process heat application is required to be at least 90% or more available relative to other technologies currently on the market. To reach this goal, a RAM Roadmap was developed highlighting the actions to be taken to ensure that various milestones in system development and maturation concurrently meet required availability requirements. Integral to the RAM Roadmap was the use of a RAM analytical/simulation tool which was used to estimate the availability of the system when deployed based on current design configuration and the maturation level of the system.« less

  11. Simulation of a Severe Autumn/Winter Drought in Eastern China by Regional Atmospheric Modeling System(RAMS)

    NASA Astrophysics Data System (ADS)

    Meng, Chunchun; Ma, Yaoming

    2016-04-01

    Compared with European Centre for Medium-Range Weather Forecasts (ERA-interim) Reanalysis data and Global Summary Of Day (GSOD) observation data, the outcomes from RAMS of the 2008/2009 severe autumn/winter drought in eastern china are analyzed in this study. The reanalysis data showed that most parts of north China are controlled by northwest wind which was accompanied by cold air, the warm and moist air from South Sea is so weak to meet with cold air, therefore forming a circulation which is unfavorable for the formation of precipitation over Eastern China. RAMS performs very well over the simulation of this atmospheric circulation, so do the rainfall and air temperature over China and where the drought occurred. Meanwhile, the simulation of the time series of precipitation and temperature behaves excellent, the square of correlation coefficient between simulations and observations reached above 0.8. Although the performance of RAMS on this drought simulation is fairly accurate, there is amount of research work to be continued to complete a more realistic simulation. KEY WORDS RAMS; severe drought; numerical simulation; atmospheric circulation; precipitation and air temperature

  12. Multistate Memristive Tantalum Oxide Devices for Ternary Arithmetic

    PubMed Central

    Kim, Wonjoo; Chattopadhyay, Anupam; Siemon, Anne; Linn, Eike; Waser, Rainer; Rana, Vikas

    2016-01-01

    Redox-based resistive switching random access memory (ReRAM) offers excellent properties to implement future non-volatile memory arrays. Recently, the capability of two-state ReRAMs to implement Boolean logic functionality gained wide interest. Here, we report on seven-states Tantalum Oxide Devices, which enable the realization of an intrinsic modular arithmetic using a ternary number system. Modular arithmetic, a fundamental system for operating on numbers within the limit of a modulus, is known to mathematicians since the days of Euclid and finds applications in diverse areas ranging from e-commerce to musical notations. We demonstrate that multistate devices not only reduce the storage area consumption drastically, but also enable novel in-memory operations, such as computing using high-radix number systems, which could not be implemented using two-state devices. The use of high radix number system reduces the computational complexity by reducing the number of needed digits. Thus the number of calculation operations in an addition and the number of logic devices can be reduced. PMID:27834352

  13. Multistate Memristive Tantalum Oxide Devices for Ternary Arithmetic.

    PubMed

    Kim, Wonjoo; Chattopadhyay, Anupam; Siemon, Anne; Linn, Eike; Waser, Rainer; Rana, Vikas

    2016-11-11

    Redox-based resistive switching random access memory (ReRAM) offers excellent properties to implement future non-volatile memory arrays. Recently, the capability of two-state ReRAMs to implement Boolean logic functionality gained wide interest. Here, we report on seven-states Tantalum Oxide Devices, which enable the realization of an intrinsic modular arithmetic using a ternary number system. Modular arithmetic, a fundamental system for operating on numbers within the limit of a modulus, is known to mathematicians since the days of Euclid and finds applications in diverse areas ranging from e-commerce to musical notations. We demonstrate that multistate devices not only reduce the storage area consumption drastically, but also enable novel in-memory operations, such as computing using high-radix number systems, which could not be implemented using two-state devices. The use of high radix number system reduces the computational complexity by reducing the number of needed digits. Thus the number of calculation operations in an addition and the number of logic devices can be reduced.

  14. Multistate Memristive Tantalum Oxide Devices for Ternary Arithmetic

    NASA Astrophysics Data System (ADS)

    Kim, Wonjoo; Chattopadhyay, Anupam; Siemon, Anne; Linn, Eike; Waser, Rainer; Rana, Vikas

    2016-11-01

    Redox-based resistive switching random access memory (ReRAM) offers excellent properties to implement future non-volatile memory arrays. Recently, the capability of two-state ReRAMs to implement Boolean logic functionality gained wide interest. Here, we report on seven-states Tantalum Oxide Devices, which enable the realization of an intrinsic modular arithmetic using a ternary number system. Modular arithmetic, a fundamental system for operating on numbers within the limit of a modulus, is known to mathematicians since the days of Euclid and finds applications in diverse areas ranging from e-commerce to musical notations. We demonstrate that multistate devices not only reduce the storage area consumption drastically, but also enable novel in-memory operations, such as computing using high-radix number systems, which could not be implemented using two-state devices. The use of high radix number system reduces the computational complexity by reducing the number of needed digits. Thus the number of calculation operations in an addition and the number of logic devices can be reduced.

  15. Digital high speed programmable convolver

    NASA Astrophysics Data System (ADS)

    Rearick, T. C.

    1984-12-01

    A circuit module for rapidly calculating a discrete numerical convolution is described. A convolution such as finding the sum of the products of a 16 bit constant and a 16 bit variable is performed by a module which is programmable so that the constant may be changed for a new problem. In addition, the module may be programmed to find the sum of the products of 4 and 8 bit constants and variables. RAM (Random Access Memories) are loaded with partial products of the selected constant and all possible variables. Then, when the actual variable is loaded, it acts as an address to find the correct partial product in the particular RAM. The partial products from all of the RAMs are shifted to the appropriate numerical power position (if necessary) and then added in adder elements.

  16. Supplementation of soybean lecithin-based semen extender by antioxidants: complementary flowcytometric study on post-thawed ram spermatozoa.

    PubMed

    Sharafi, Mohsen; Zhandi, Mahdi; Akbari Sharif, Abbas

    2015-06-01

    The purpose of the current study was to evaluate the effects of cysteine (C) and glutathione (G) on the post-thawed ram sperm quality. Collected semen samples from four mature rams were diluted with five soybean lecithin (SL)-based extenders containing: no antioxidant (SL-0), 5 mM cysteine (SL-C5), 10 mM cysteine (SL-C10), 5 mM glutathione (SL-G5) and 10 mM glutathione (SL-G10). After freeze-thawing process, motion and velocity parameters, plasma membrane integrity and functionality, morphological abnormality, lipid peroxidation, acrosomal status, mitochondria activity, and apoptosis status of post-thawed ram spermatozoa were assessed. The results showed that SL-C10 increased the total motility and plasma membrane integrity (p < 0.05) of post-thawed ram spermatozoa (55.86 ± 1.37 and 60.57 ± 1.34 %) compared to other extenders. Progressive motility was significantly higher in SL-C10 (24.71 ± 1.13 %) compared to SL-0 (20 ± 1.13 %) and SL-G10 (15 ± 1.13 %). Mitochondrial activity was significantly higher in SL-C10 (56.83 ± 2.29 %) compared to SL-G10 (38.75 ± 2.29 %). Capacitation and acrosomal status, lipid peroxidation, and the percentage of dead spermatozoa were not affected by different extenders. The percentage of live spermatozoa was higher in SL-C10 (56.33 ± 1.35 %) compared to other extenders. Also, SL-C10 resulted in a lower percentage of apoptotic spermatozoa (14.17 ± 0.53 %) compared to other extenders. The results of this study showed that supplementation of SL-based ram semen extender with 10 mM cysteine resulted in an improved quality of post-thawed ram spermatozoa.

  17. Numerical simulations of the superdetonative ram accelerator combusting flow field

    NASA Technical Reports Server (NTRS)

    Soetrisno, Moeljo; Imlay, Scott T.; Roberts, Donald W.

    1993-01-01

    The effects of projectile canting and fins on the ram accelerator combusting flowfield and the possible cause of the ram accelerator unstart are investigated by performing axisymmetric, two-dimensional, and three-dimensional calculations. Calculations are performed using the INCA code for solving Navier-Stokes equations and a guasi-global combustion model of Westbrook and Dryer (1981, 1984), which includes N2 and nine reacting species (CH4, CO, CO2, H2, H, O2, O, OH, and H2O), which are allowed to undergo a 12-step reaction. It is found that, without canting, interactions between the fins, boundary layers, and combustion fronts are insufficient to unstart the projectile at superdetonative velocities. With canting, the projectile will unstart at flow conditions where it appears to accelerate without canting. Unstart occurs at some critical canting angle. It is also found that three-dimensionality plays an important role in the overall combustion process.

  18. Review of optical memory technologies

    NASA Technical Reports Server (NTRS)

    Chen, D.

    1972-01-01

    Optical technologies for meeting the demands of large capacity fast access time memory are discussed in terms of optical phenomena and laser applications. The magneto-optic and electro-optic approaches are considered to be the most promising memory approaches.

  19. Mitigating Upsets in SRAM-Based FPGAs from the Xilinx Virtex 2 Family

    NASA Technical Reports Server (NTRS)

    Swift, G. M.; Yui, C. C.; Carmichael, C.; Koga, R.; George, J. S.

    2003-01-01

    Static random access memory (SRAM) upset rates in field programmable gate arrays (FPGAs) from the Xilinx Virtex 2 family have been tested for radiation effects on configuration memory, block RAM and the power-on-reset (POR) and SelectMAP single event functional interrupts (SEFIs). Dynamic testing has shown the effectiveness and value of Triple Module Redundancy (TMR) and partial reconfiguration when used in conjunction. Continuing dynamic testing for more complex designs and other Virtex 2 capabilities (i.e., I/O standards, digital clock managers (DCM), etc.) is scheduled.

  20. Radiation dosimetry using three-dimensional optical random access memories

    NASA Technical Reports Server (NTRS)

    Moscovitch, M.; Phillips, G. W.

    2001-01-01

    Three-dimensional optical random access memories (3D ORAMs) are a new generation of high-density data storage devices. Binary information is stored and retrieved via a light induced reversible transformation of an ensemble of bistable photochromic molecules embedded in a polymer matrix. This paper describes the application of 3D ORAM materials to radiation dosimetry. It is shown both theoretically and experimentally, that ionizing radiation in the form of heavy charged particles is capable of changing the information originally stored on the ORAM material. The magnitude and spatial distribution of these changes are used as a measure of the absorbed dose, particle type and energy. The effects of exposure on 3D ORAM materials have been investigated for a variety of particle types and energies, including protons, alpha particles and 12C ions. The exposed materials are observed to fluoresce when exposed to laser light. The intensity and the depth of the fluorescence is dependent on the type and energy of the particle to which the materials were exposed. It is shown that these effects can be modeled using Monte Carlo calculations. The model provides a better understanding of the properties of these materials. which should prove useful for developing systems for charged particle and neutron dosimetry/detector applications. c2001 Published by Elsevier Science B.V.

  1. Discovery of Ram-pressure Stripped Gas around an Elliptical Galaxy in Abell 2670

    NASA Astrophysics Data System (ADS)

    Sheen, Yun-Kyeong; Smith, Rory; Jaffé, Yara; Kim, Minjin; Yi, Sukyoung K.; Duc, Pierre-Alain; Nantais, Julie; Candlish, Graeme; Demarco, Ricardo; Treister, Ezequiel

    2017-05-01

    Studies of cluster galaxies are increasingly finding galaxies with spectacular one-sided tails of gas and young stars, suggestive of intense ram-pressure stripping. These so-called “jellyfish” galaxies typically have late-type morphology. In this paper, we present Multi Unit Spectroscopic Explorer (MUSE) observations of an elliptical galaxy in Abell 2670 with long tails of material visible in the optical spectra, as well as blobs with tadpole-like morphology. The spectra in the central part of the galaxy reveal a stellar component as well as ionized gas. The stellar component does not have significant rotation, while the ionized gas defines a clear star-forming gas disk. We argue, based on deep optical images of the galaxy, that the gas was most likely acquired during a past wet merger. It is possible that the star-forming blobs are also remnants of the merger. In addition, the direction and kinematics of the one-sided ionized tails, combined with the tadpole morphology of the star-forming blobs, strongly suggests that the system is undergoing ram pressure from the intracluster medium. In summary, this paper presents the discovery of a post-merger elliptical galaxy undergoing ram-pressure stripping.

  2. Simulation study on heat conduction of a nanoscale phase-change random access memory cell.

    PubMed

    Kim, Junho; Song, Ki-Bong

    2006-11-01

    We have investigated heat transfer characteristics of a nano-scale phase-change random access memory (PRAM) cell using finite element method (FEM) simulation. Our PRAM cell is based on ternary chalcogenide alloy, Ge2Sb2Te5 (GST), which is used as a recording layer. For contact area of 100 x 100 nm2, simulations of crystallization and amorphization processes were carried out. Physical quantities such as electric conductivity, thermal conductivity, and specific heat were treated as temperature-dependent parameters. Through many simulations, it is concluded that one can reduce set current by decreasing both electric conductivities of amorphous GST and crystalline GST, and in addition to these conditions by decreasing electric conductivity of molten GST one can also reduce reset current significantly.

  3. Resistance Switching Memory Characteristics of Si/CaF2/CdF2 Quantum-Well Structures Grown on Metal (CoSi2) Layer

    NASA Astrophysics Data System (ADS)

    Denda, Junya; Uryu, Kazuya; Watanabe, Masahiro

    2013-04-01

    A novel scheme of resistance switching random access memory (ReRAM) devices fabricated using Si/CaF2/CdF2/CaF2/Si quantum-well structures grown on metal CoSi2 layer formed on a Si substrate has been proposed, and embryonic write/erase memory operation has been demonstrated at room temperature. It has been found that the oxide-mediated epitaxy (OME) technique for forming the CoSi2 layer on Si dramatically improves the stability and reproducibility of the current-voltage (I-V) curve. This technology involves 10-nm-thick Co layer deposition on a protective oxide prepared by boiling in a peroxide-based solution followed by annealing at 550 °C for 30 min for silicidation in ultrahigh vacuum. A switching voltage of lower than 1 V, a peak current density of 32 kA/cm2, and an ON/OFF ratio of 10 have been observed for the sample with the thickness sequence of 0.9/0.9/2.5/0.9/5.0 nm for the respective layers in the Si/CaF2/CdF2/CaF2/Si structure. Results of surface morphology analysis suggest that the grain size of crystal islands with flat surfaces strongly affects the quality of device characteristics.

  4. Frontal activations associated with accessing and evaluating information in working memory: an fMRI study.

    PubMed

    Zhang, John X; Leung, Hoi-Chung; Johnson, Marcia K

    2003-11-01

    To investigate the involvement of frontal cortex in accessing and evaluating information in working memory, we used a variant of a Sternberg paradigm and compared brain activations between positive and negative responses (known to differentially tax access/evaluation processes). Participants remembered two trigrams in each trial and were then cued to discard one of them and maintain the other one as the target set. After a delay, a probe letter was presented and participants made decisions about whether or not it was in the target set. Several frontal areas--anterior cingulate (BA32), middle frontal gyrus (bilateral BA9, right BA10, and right BA46), and left inferior frontal gyrus (BA44/45)--showed increased activity when participants made correct negative responses relative to when they made correct positive responses. No areas activated significantly more for the positive responses than for the negative responses. It is suggested that the multiple frontal areas involved in the test phase of this task may reflect several component processes that underlie more general frontal functions.

  5. Reversibility and safety of KISS1 metastasis suppressor gene vaccine in immunocastration of ram lambs

    PubMed Central

    2018-01-01

    Objective The aim of this study was to investigate the reversibility and safety of KISS1 metastasis suppressor (KISS1) gene vaccine in immunocastration. Methods Six eight-week old ram lambs were randomly divided into vaccinated and control groups. The vaccine (1 mg/ram lamb) was injected at weeks 0, 3, and 6 of the study. Blood samples were collected from the jugular vein before primary immunization and at weeks 2, 4, 6, 10, 14, 22, and 30 after primary immunization. All ram lambs were slaughtered at 38 weeks of age, and samples were collected. Results The specific anti-KISS1 antibody titers in vaccinated animals were significantly higher and the serum testosterone level was significantly lower than those in the control groups from week 4 to 14 after primary immunization (p<0.05). No significant difference was observed at weeks 22 and 30 after the primary immunization. Similar results were also found for scrotal circumference, testicular weight, length, breadth, and spermatogenesis in seminiferous tubules in week 30 after primary immunization. KS (KISS1-hepatitis B surface antigen S) fusion fragment of KISS1 gene vaccine was not detected in host cell genomic DNA of 9 tissues of the vaccinated ram lambs by polymerase chain reaction. Conclusion The effects of KISS1 gene vaccine in immunocastration were reversible and no integration events were recorded. PMID:29268573

  6. Reversibility and safety of KISS1 metastasis suppressor gene vaccine in immunocastration of ram lambs.

    PubMed

    Han, Yan-Guo; Liu, Gui-Qiong; Jiang, Xun-Ping; Xiang, Xing-Long; Huang, Yong-Fu; Nie, Bin; Zhao, Jia-Yu; Nabeel, Ijaz; Tesema, Birhanu

    2018-06-01

    The aim of this study was to investigate the reversibility and safety of KISS1 metastasis suppressor ( KISS1 ) gene vaccine in immunocastration. Six eight-week old ram lambs were randomly divided into vaccinated and control groups. The vaccine (1 mg/ram lamb) was injected at weeks 0, 3, and 6 of the study. Blood samples were collected from the jugular vein before primary immunization and at weeks 2, 4, 6, 10, 14, 22, and 30 after primary immunization. All ram lambs were slaughtered at 38 weeks of age, and samples were collected. The specific anti- KISS1 antibody titers in vaccinated animals were significantly higher and the serum testosterone level was significantly lower than those in the control groups from week 4 to 14 after primary immunization (p<0.05). No significant difference was observed at weeks 22 and 30 after the primary immunization. Similar results were also found for scrotal circumference, testicular weight, length, breadth, and spermatogenesis in seminiferous tubules in week 30 after primary immunization. KS ( KISS1 -hepatitis B surface antigen S ) fusion fragment of KISS1 gene vaccine was not detected in host cell genomic DNA of 9 tissues of the vaccinated ram lambs by polymerase chain reaction. The effects of KISS1 gene vaccine in immunocastration were reversible and no integration events were recorded.

  7. Retrieval practice enhances the accessibility but not the quality of memory.

    PubMed

    Sutterer, David W; Awh, Edward

    2016-06-01

    Numerous studies have demonstrated that retrieval from long-term memory (LTM) can enhance subsequent memory performance, a phenomenon labeled the retrieval practice effect. However, the almost exclusive reliance on categorical stimuli in this literature leaves open a basic question about the nature of this improvement in memory performance. It has not yet been determined whether retrieval practice improves the probability of successful memory retrieval or the quality of the retrieved representation. To answer this question, we conducted three experiments using a mixture modeling approach (Zhang & Luck, 2008) that provides a measure of both the probability of recall and the quality of the recalled memories. Subjects attempted to memorize the color of 400 unique shapes. After every 10 images were presented, subjects either recalled the last 10 colors (the retrieval practice condition) by clicking on a color wheel with each shape as a retrieval cue or they participated in a control condition that involved no further presentations (Experiment 1) or restudy of the 10 shape/color associations (Experiments 2 and 3). Performance in a subsequent delayed recall test revealed a robust retrieval practice effect. Subjects recalled a significantly higher proportion of items that they had previously retrieved relative to items that were untested or that they had restudied. Interestingly, retrieval practice did not elicit any improvement in the precision of the retrieved memories. The same empirical pattern also was observed following delays of greater than 24 hours. Thus, retrieval practice increases the probability of successful memory retrieval but does not improve memory quality.

  8. Novel nano materials for high performance logic and memory devices

    NASA Astrophysics Data System (ADS)

    Das, Saptarshi

    mobility with the layer thickness. The non-monotonic trend suggests that in order to harvest the maximum potential of MoS2 for high performance device applications, a layer thickness in the range of 6-12 nm would be ideal. Finally using scandium contacts on 10nm thick exfoliated MoS2 flakes that are covered by a 15nm ALD grown Al2O3 film, record high mobility of 700cm2/Vs is achieved at room-temperature which is extremely encouraging for the design of high performance logic devices. The destructive nature of the readout process in Ferroelectric Random Access Memories (FeRAMs) is one of the major limiting factors for their wide scale commercialization. Utilizing Ferroelectric Field-Effect Transistor RAM (FeTRAM) instead solves the destructive read out problem, but at the expense of introducing crystalline ferroelectrics that are hard to integrate into CMOS. In order to address these challenges a novel, fully functional, CMOS compatible, One-Transistor-One-Transistor (1T1T) memory cell architecture using an organic ferroelectric -- PVDF-TrFE -- as the memory storage unit (gate oxide) and a silicon nanowire as the memory read out unit (channel material) is proposed and experimentally demonstrated. While evaluating the scaling potential of the above mentioned organic FeTRAM, it is found that the switching time and switching voltage of this organic copolymer PVDF-TrFE exhibits an unexpected scaling behavior as a function of the lateral device dimensions. The phenomenological theory, that explains this abnormal scaling trend, involves in-plane interchain and intrachain interaction of the copolymer - resulting in a power-law dependence of the switching field on the device area (ESW alpha ACH0.1) that is ultimately responsible for the decrease in the switching time and switching voltage. These findings are encouraging since they indicate that scaling the switching voltage and switching time without aggressively scaling the copolymer thickness occurs naturally while scaling the

  9. Electrical Evaluation of RCA MWS5001D Random Access Memory, Volume 1

    NASA Technical Reports Server (NTRS)

    Klute, A.

    1979-01-01

    Electrical characterization and qualification tests were performed on the RCA MWS5001D, 1024 by 1-bit, CMOS, random access memory. Characterization tests were performed on five devices. The tests included functional tests, AC parametric worst case pattern selection test, determination of worst-case transition for setup and hold times and a series of schmoo plots. The qualification tests were performed on 32 devices and included a 2000 hour burn in with electrical tests performed at 0 hours and after 168, 1000, and 2000 hours of burn in. The tests performed included functional tests and AC and DC parametric tests. All of the tests in the characterization phase, with the exception of the worst-case transition test, were performed at ambient temperatures of 25, -55 and 125 C. The worst-case transition test was performed at 25 C. The preburn in electrical tests were performed at 25, -55, and 125 C. All burn in endpoint tests were performed at 25, -40, -55, 85, and 125 C.

  10. DESTINY

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    2015-03-10

    DESTINY is a comprehensive tool for modeling 3D and 2D cache designs using SRAM,embedded DRAM (eDRAM), spin transfer torque RAM (STT-RAM), resistive RAM (ReRAM), and phase change RAM (PCN). In its purpose, it is similar to CACTI, CACTI-3DD or NVSim. DESTINY is very useful for performing design-space exploration across several dimensions, such as optimizing for a target (e.g. latency, area or energy-delay product) for agiven memory technology, choosing the suitable memory technology or fabrication method (i.e. 2D v/s 3D) for a given optimization target, etc. DESTINY has been validated against several cache prototypes. DESTINY is expected to boost studies ofmore » next-generation memory architectures used in systems ranging from mobile devices to extreme-scale supercomputers.« less

  11. Hydrocarbon-Fueled Scramjet Research at Hypersonic Mach Numbers

    DTIC Science & Technology

    2005-03-31

    oxide O atomic oxygen 02 molecular oxygen OH hydroxyl radical ppm parts per million PD photodiode PLLF planar laser-induced fluorescence PMT...photomultiplier tube RAM random access memory RANS Reynolds-averaged Navier-Stokes RET rotational energy transfer TDLAS tunable diode laser absorption...here extend this knowledge base to flight at Mach 11.5. Griffiths (2004) used a tunable diode laser absorption spectroscopy ( TDLAS ) system to measure

  12. Application of phase-change materials in memory taxonomy.

    PubMed

    Wang, Lei; Tu, Liang; Wen, Jing

    2017-01-01

    Phase-change materials are suitable for data storage because they exhibit reversible transitions between crystalline and amorphous states that have distinguishable electrical and optical properties. Consequently, these materials find applications in diverse memory devices ranging from conventional optical discs to emerging nanophotonic devices. Current research efforts are mostly devoted to phase-change random access memory, whereas the applications of phase-change materials in other types of memory devices are rarely reported. Here we review the physical principles of phase-change materials and devices aiming to help researchers understand the concept of phase-change memory. We classify phase-change memory devices into phase-change optical disc, phase-change scanning probe memory, phase-change random access memory, and phase-change nanophotonic device, according to their locations in memory hierarchy. For each device type we discuss the physical principles in conjunction with merits and weakness for data storage applications. We also outline state-of-the-art technologies and future prospects.

  13. Application of phase-change materials in memory taxonomy

    PubMed Central

    Wang, Lei; Tu, Liang; Wen, Jing

    2017-01-01

    Abstract Phase-change materials are suitable for data storage because they exhibit reversible transitions between crystalline and amorphous states that have distinguishable electrical and optical properties. Consequently, these materials find applications in diverse memory devices ranging from conventional optical discs to emerging nanophotonic devices. Current research efforts are mostly devoted to phase-change random access memory, whereas the applications of phase-change materials in other types of memory devices are rarely reported. Here we review the physical principles of phase-change materials and devices aiming to help researchers understand the concept of phase-change memory. We classify phase-change memory devices into phase-change optical disc, phase-change scanning probe memory, phase-change random access memory, and phase-change nanophotonic device, according to their locations in memory hierarchy. For each device type we discuss the physical principles in conjunction with merits and weakness for data storage applications. We also outline state-of-the-art technologies and future prospects. PMID:28740557

  14. Staging memory for massively parallel processor

    NASA Technical Reports Server (NTRS)

    Batcher, Kenneth E. (Inventor)

    1988-01-01

    The invention herein relates to a computer organization capable of rapidly processing extremely large volumes of data. A staging memory is provided having a main stager portion consisting of a large number of memory banks which are accessed in parallel to receive, store, and transfer data words simultaneous with each other. Substager portions interconnect with the main stager portion to match input and output data formats with the data format of the main stager portion. An address generator is coded for accessing the data banks for receiving or transferring the appropriate words. Input and output permutation networks arrange the lineal order of data into and out of the memory banks.

  15. Telephone word-list recall tested in the rural aging and memory study: two parallel versions for the TICS-M.

    PubMed

    Hogervorst, Eva; Bandelow, Stephan; Hart, John; Henderson, Victor W

    2004-09-01

    Parallel versions of memory tasks are useful in clinical and research settings to reduce practice effects engendered by multiple administrations. We aimed to investigate the usefulness of three parallel versions of ten-item word list recall tasks administered by telephone. A population based telephone survey of middle-aged and elderly residents of Bradley County, Arkansas was carried out as part of the Rural Aging and Memory Study (RAMS). Participants in the study were 1845 persons aged 40 to 95 years. Word lists included that used in the telephone interview of cognitive status (TICS) as a criterion standard and two newly developed lists. The mean age of participants was 61.05 (SD 12.44) years; 39.5% were over age 65. 78% of the participants had completed high school, 66% were women and 21% were African-American. There was no difference in demographic characteristics between groups receiving different word list versions, and performances on the three versions were equivalent for both immediate (mean 4.22, SD 1.53) and delayed (mean 2.35 SD 1.75) recall trials. The total memory score (immediate+delayed recall) was negatively associated with older age (beta = -0.41, 95%CI=-0.11 to -0.04), lower education (beta = 0.24, 95%CI = 0.36 to 0.51), male gender (beta = -0.18, 95%CI = -1.39 to -0.90) and African-American race (beta = -0.15, 95%CI = -1.41 to -0.82). The two RAMS word recall lists and the TICS word recall list can be used interchangeably in telephone assessment of memory of middle-aged and elderly persons. This finding is important for future studies where parallel versions of a word-list memory task are needed. (250 words).

  16. Context controls access to working and reference memory in the pigeon (Columba livia).

    PubMed

    Roberts, William A; Macpherson, Krista; Strang, Caroline

    2016-01-01

    The interaction between working and reference memory systems was examined under conditions in which salient contextual cues were presented during memory retrieval. Ambient colored lights (red or green) bathed the operant chamber during the presentation of comparison stimuli in delayed matching-to-sample training (working memory) and during the presentation of the comparison stimuli as S+ and S- cues in discrimination training (reference memory). Strong competition between memory systems appeared when the same contextual cue appeared during working and reference memory training. When different contextual cues were used, however, working memory was completely protected from reference memory interference. © 2016 Society for the Experimental Analysis of Behavior.

  17. RAM-SCB simulations of electron transport and plasma wave scattering during the October 2012 “double-dip” storm

    DOE PAGES

    Jordanova, Vania Koleva; Tu, Weichao; Chen, Yue; ...

    2016-09-01

    Here, mechanisms for electron injection, trapping, and loss in the near-Earth space environment are investigated during the October 2012 “double-dip” storm using our magnetically self-consistent ring current model (RAM-SCB). Pitch angle and energy scattering are included for the first time in RAM-SCB using L and magnetic local time (MLT) dependent event-specific chorus wave models inferred from NOAA POES and Van Allen Probes EMFISIS observations. The dynamics of the source (~10s keV) and seed (~100s keV) populations of the radiation belts simulated with RAM-SCB is compared with Van Allen Probes MagEIS observations in the morning sector and with measurements from NOAA-15more » satellite in the predawn and afternoon MLT sectors. We find that although the low-energy (E < 100 keV) electron fluxes are in good agreement with observations, increasing significantly by magnetospheric convection during both SYM-H dips while decreasing during the intermediate recovery phase, the injection of high-energy electrons is underestimated by this mechanism throughout the storm. Local acceleration by chorus waves intensifies the electron fluxes at E ≥ 50 keV considerably and RAM-SCB simulations overestimate the observed trapped fluxes by more than an order of magnitude; the simulated with RAM-SCB precipitating fluxes are weaker and their temporal and spatial evolution agree well with POES/MEPED data.« less

  18. RAM-SCB simulations of electron transport and plasma wave scattering during the October 2012 “double-dip” storm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jordanova, Vania Koleva; Tu, Weichao; Chen, Yue

    Here, mechanisms for electron injection, trapping, and loss in the near-Earth space environment are investigated during the October 2012 “double-dip” storm using our magnetically self-consistent ring current model (RAM-SCB). Pitch angle and energy scattering are included for the first time in RAM-SCB using L and magnetic local time (MLT) dependent event-specific chorus wave models inferred from NOAA POES and Van Allen Probes EMFISIS observations. The dynamics of the source (~10s keV) and seed (~100s keV) populations of the radiation belts simulated with RAM-SCB is compared with Van Allen Probes MagEIS observations in the morning sector and with measurements from NOAA-15more » satellite in the predawn and afternoon MLT sectors. We find that although the low-energy (E < 100 keV) electron fluxes are in good agreement with observations, increasing significantly by magnetospheric convection during both SYM-H dips while decreasing during the intermediate recovery phase, the injection of high-energy electrons is underestimated by this mechanism throughout the storm. Local acceleration by chorus waves intensifies the electron fluxes at E ≥ 50 keV considerably and RAM-SCB simulations overestimate the observed trapped fluxes by more than an order of magnitude; the simulated with RAM-SCB precipitating fluxes are weaker and their temporal and spatial evolution agree well with POES/MEPED data.« less

  19. The utility of nanowater for ram semen cryopreservation.

    PubMed

    Murawski, Maciej; Schwarz, Tomasz; Grygier, Joanna; Patkowski, Krzysztof; Oszczęda, Zdzisław; Jelkin, Igor; Kosiek, Anna; Gruszecki, Tomasz M; Szymanowska, Anna; Skrzypek, Tomasz; Zieba, Dorota A; Bartlewski, Pawel M

    2015-05-01

    Nanowater (NW; water declusterized in the low-temperature plasma reactor) has specific physicochemical properties that could increase semen viability after freezing and hence fertility after artificial insemination (AI) procedures. The main goal of this study was to evaluate ram semen quality after freezing in the media containing NW. Ejaculates from 10 rams were divided into two equal parts, diluted in a commercially available semen extender (Triladyl®; MiniTüb GmbH, Tiefenbach, Germany) prepared with deionized water (DW) or NW, and then frozen in liquid nitrogen. Semen samples were examined for sperm motility and morphology using the sperm class analyzer system and light microscopy. Cryo-scanning electron microscopy (cryo-SEM) was employed to determine the size of extracellular water crystals in frozen semen samples. Survival time at room temperature, aspartate aminotransferase (AspAT) and alkaline phosphatase (ALP) concentrations post-thawing as well as conception/lambing rates after laparoscopic intrauterine AI of 120 ewes were also determined. There were no significant differences between DW and NW groups in sperm progressive motility (26.4 ± 12.2 and 30.8 ± 12.4%) or survival time (266.6 ± 61.3 and 270.9 ± 76.7 min) after thawing and no differences in the percentages of spermatozoa with various morphological defects before or after freezing. There were, however, differences (P < 0.05) in AspAT (DW: 187.1 ± 160.4 vs. NW: 152.7 ± 118.3 U/l) and ALP concentrations (DW: 2198.3 ± 1810.5 vs. NW: 1612.1 ± 1144.8 U/l) in semen samples post-thawing. Extracellular water crystals were larger (P < 0.05) in ejaculates frozen in NW-containing media. Ultrasonographic examinations on day 40 post-AI revealed higher (P < 0.05) conception rates in ewes inseminated with NW (78.3%) compared with DW semen (58.3%), and the percentages of ewes that carried lambs to term were 73.3% and 45.0% in NW and DW groups, respectively (P < 0.01). In summary, the use of a semen

  20. False Operation of Static Random Access Memory Cells under Alternating Current Power Supply Voltage Variation

    NASA Astrophysics Data System (ADS)

    Sawada, Takuya; Takata, Hidehiro; Nii, Koji; Nagata, Makoto

    2013-04-01

    Static random access memory (SRAM) cores exhibit susceptibility against power supply voltage variation. False operation is investigated among SRAM cells under sinusoidal voltage variation on power lines introduced by direct RF power injection. A standard SRAM core of 16 kbyte in a 90 nm 1.5 V technology is diagnosed with built-in self test and on-die noise monitor techniques. The sensitivity of bit error rate is shown to be high against the frequency of injected voltage variation, while it is not greatly influenced by the difference in frequency and phase against SRAM clocking. It is also observed that the distribution of false bits is substantially random in a cell array.