Sample records for acid hf etching

  1. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  2. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion

    PubMed Central

    Zahran, R.; Rosales Leal, J. I.; Rodríguez Valverde, M. A.; Cabrerizo Vílchez, M. A.

    2016-01-01

    Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF) acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5–7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time. PMID:27824875

  3. Closed System Step Etching of CI chondrite Ivuna reveals primordial noble gases in the HF-solubles

    NASA Astrophysics Data System (ADS)

    Riebe, My E. I.; Busemann, Henner; Wieler, Rainer; Maden, Colin

    2017-05-01

    We analyzed all the noble gases in HF-soluble phases in the CI chondrite Ivuna by in-vacuum gas release using the "Closed System Step Etching" (CSSE) technique, which allows for direct noble gas measurements of acid-soluble phases. The main motivation was to investigate if there are primordial noble gases in HF-soluble phases in Ivuna, something that has not been done before in CI chondrites, as most primordial noble gases are known to reside in HF-resistant phases. The first steps under mild etching released He, Ne, and Ar with solar-like elemental and isotopic compositions, confirming that Ivuna contains implanted solar wind (SW) noble gases acquired in the parent body regolith. The SW component released in some etch steps was elementally unfractionated. This is unusual as trapped SW noble gases are elementally fractionated in most meteoritic material. In the intermediate etch steps under slightly harsher etching, cosmogenic noble gases were more prominent than SW noble gases. The HF-soluble portion of Ivuna contained primordial Ne and Xe, that was most visible in the last etch steps after all cosmogenic and most SW gases had been released. The primordial Ne and Xe in the HF-solubles have isotopic and elemental ratios readily explained as a mixture of the two most abundant primordial noble gas components in Ivuna bulk samples: HL and Q. Only small fractions of the total HL and Q in Ivuna were released during CSSE analysis; ∼3% of 20NeHL and ∼4% of 132XeQ. HL is known to reside in nanodiamond-rich separates and Q-gases are most likely carried by a carbonaceous phase known as phase Q. Q-gases were likely released from an HF-soluble portion of phase Q. However, nanodiamonds might not be the source of the HL-gases released upon etching, since nanodiamond-rich separates are very HF-resistant and the less tightly bound nanodiamond component P3 was not detected.

  4. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    PubMed

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  5. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    PubMed

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  6. Effect of etching with distinct hydrofluoric acid concentrations on the flexural strength of a lithium disilicate-based glass ceramic.

    PubMed

    Prochnow, Catina; Venturini, Andressa B; Grasel, Rafaella; Bottino, Marco C; Valandro, Luiz Felipe

    2017-05-01

    This study examined the effects of distinct hydrofluoric acid concentrations on the mechanical behavior of a lithium disilicate-based glass ceramic. Bar-shaped specimens were produced from ceramic blocks (e.max CAD, Ivoclar Vivadent). The specimens were polished, chamfered, and sonically cleaned in distilled water. The specimens were randomly divided into five groups (n = 23). The HF1, HF3, HF5, and HF10 specimens were etched for 20 s with acid concentrations of 1%, 3%, 5%, and 10%, respectively, while the SC (control) sample was untreated. The etched surfaces were evaluated using a scanning electron microscope and an atomic force microscope. Finally, the roughness was measured, and 3-point bending flexural tests were performed. The data were analyzed using one-way analysis of variance (ANOVA) and Tukey's test (α = 0.05). The Weibull modulus and characteristic strength were also determined. No statistical difference in the roughness and flexural strength was determined among the groups. The structural reliabilities (Weilbull moduli) were similar for the tested groups; however, the characteristic strength of the HF1 specimen was greater than that of the HF10 specimen. Compared with the untreated ceramic, the surface roughness and flexural strength of the ceramic were unaffected upon etching, regardless of the acid concentration. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 885-891, 2017. © 2016 Wiley Periodicals, Inc.

  7. In-situ etch rate study of Hf{sub x}La{sub y}O{sub z} in Cl{sub 2}/BCl{sub 3} plasmas using the quartz crystal microbalance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marchack, Nathan; Kim, Taeseung; Chang, Jane P., E-mail: jpchang@seas.ucla.edu

    2015-05-15

    The etch rate of Hf{sub x}La{sub y}O{sub z} films in Cl{sub 2}/BCl{sub 3} plasmas was measured in-situ in an inductively coupled plasma reactor using a quartz crystal microbalance and corroborated by cross-sectional SEM measurements. The etch rate depended on the ion energy as well as the plasma chemistry. In contrast to other Hf-based ternary oxides, the etch rate of Hf{sub x}La{sub y}O{sub z} films was higher in Cl{sub 2} than in BCl{sub 3}. In the etching of Hf{sub 0.25}La{sub 0.12}O{sub 0.63}, Hf appeared to be preferentially removed in Cl{sub 2} plasmas, per surface compositional analysis by x-ray photoelectron spectroscopy andmore » the detection of HfCl{sub 3} generation in mass spectroscopy. These findings were consistent with the higher etch rate of Hf{sub 0.25}La{sub 0.12}O{sub 0.63} than that of La{sub 2}O{sub 3}.« less

  8. Micro-PIXE and micro-RBS characterization of micropores in porous silicon prepared using microwave-assisted hydrofluoric acid etching.

    PubMed

    Ahmad, Muthanna; Grime, Geoffrey W

    2013-04-01

    Porous silicon (PS) has been prepared using a microwave-assisted hydrofluoric acid (HF) etching method from a silicon wafer pre-implanted with 5 MeV Cu ions. The use of microbeam proton-induced X-ray emission (micro-PIXE) and microbeam Rutherford backscattering techniques reveals for the first time the capability of these techniques for studying the formation of micropores. The porous structures observed from micro-PIXE imaging results are compared to scanning electron microscope images. It was observed that the implanted copper accumulates in the same location as the pores and that at high implanted dose the pores form large-scale patterns of lines and concentric circles. This is the first work demonstrating the use of microwave-assisted HF etching in the formation of PS.

  9. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  10. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  11. Adiabatic tapered optical fiber fabrication in two step etching

    NASA Astrophysics Data System (ADS)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  12. Characterization and adsorption properties of diatomaceous earth modified by hydrofluoric acid etching.

    PubMed

    Tsai, Wen-Tien; Lai, Chi-Wei; Hsien, Kuo-Jong

    2006-05-15

    This work was a study of the chemical modification of diatomaceous earth (DE) using hydrofluoric acid (HF) solution. Under the experimental conditions investigated, it was found that HF under controlled conditions significantly etched inward into the interior of the existing pore structure in the clay mineral due to its high content of silica, leaving a framework possessing a larger BET surface area (ca. 10 m2 g(-1)) in comparison with that (ca. 4 m2 g(-1)) of its precursor (i.e., DE). Further, the results indicated that the HF concentration is a more determining factor in creating more open pores than other process parameters (temperature, holding time, and solid/liquid ratio). This observation was also in close agreement with the examinations by the silicon analysis, scanning electron microscopy, X-ray diffraction, and Fourier transform infrared spectroscopy. The adsorption kinetics and the adsorption isotherm of methylene blue onto the resulting clay adsorbent can be well described by a pseudo-second-order reaction model and the Freundlich model, respectively.

  13. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  14. The impact of hydrofluoric acid etching followed by unfilled resin on the biaxial strength of a glass-ceramic.

    PubMed

    Posritong, Sumana; Borges, Alexandre Luiz Souto; Chu, Tien-Min Gabriel; Eckert, George J; Bottino, Marco A; Bottino, Marco C

    2013-11-01

    To evaluate the null hypotheses that hydrofluoric (HF) acid etching time would neither decrease the biaxial flexural strength of a glass-based veneering ceramic nor enhance it after silane and unfilled resin (UR) applications. Disc-shaped IPS e.max ZirPress specimens were allocated into 12 groups: G1-control (no-etching), G2-30 s, G3-60 s, G4-90 s, G5-120 s, G6-60 s+60 s. Groups (G7-G12) were treated in the same fashion as G1-G6, but followed by silane and UR applications. Surface morphology and roughness (Ra and Rq) of the ceramics were assessed by means of scanning electron microscopy (SEM) and profilometry, respectively. Flexural strength was determined by biaxial testing. Data were analyzed by two-way ANOVA and the Sidak test (α=0.05). Weibull statistics were estimated and finite element analysis (FEA) was carried out to verify the stress concentration end areas of fracture. The interaction (etching time vs. surface treatment) was significant for Ra (p=0.008) and Rq (0.0075). Resin-treated groups presented significantly lower Ra and Rq than non-treated groups, except for the 60s group (p<0.005). SEM revealed that etching affected the ceramic microstructure and that the UR was able to penetrate into the irregularities. A significant effect of etching time (p=0.029) on flexural strength was seen. G7-G12 presented higher strength than G1-G6 (p<0.0001). None of experimental groups failed to show 95% confidence intervals of σ0 and m overlapped. FEA showed lower stress concentration after resin treatment. HF acid etching time did not show a damaging effect on the ceramic flexural strength. Moreover, the flexural strength could be enhanced after UR treatment. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  15. Optical fiber nanoprobe preparation for near-field optical microscopy by chemical etching under surface tension and capillary action.

    PubMed

    Mondal, Samir K; Mitra, Anupam; Singh, Nahar; Sarkar, S N; Kapur, Pawan

    2009-10-26

    We propose a technique of chemical etching for fabrication of near perfect optical fiber nanoprobe (NNP). It uses photosensitive single mode optical fiber to etch in hydro fluoric (HF) acid solution. The difference in etching rate for cladding and photosensitive core in HF acid solution creates capillary ring along core-cladding boundary under a given condition. The capillary ring is filled with acid solution due to surface tension and capillary action. Finally it creates near perfect symmetric tip at the apex of the fiber as the height of the acid level in capillary ring decreases while width of the ring increases with continuous etching. Typical tip features are short taper length (approximately 4 microm), large cone angle (approximately 38 degrees ), and small probe tip dimension (<100 nm). A finite difference time domain (FDTD) analysis is also presented to compare near field optics of the NNP with conventional nanoprobe (CNP). The probe may be ideal for near field optical imaging and sensor applications.

  16. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  17. Cross Sections for Electron-Impact Dissociation of Alternative Etching Gas, C3HF7O

    NASA Astrophysics Data System (ADS)

    Tanaka, Hideyuki; Toyoda, Hirotaka; Sugai, Hideo

    1998-09-01

    The search for alternative for perfluorocarbon gases from the environmental point of view has resulted in a new etching gas, C3HF7O (1,2,2,2-tetrafluoroethyl-trifluoromethyl ether, abbreviated as HFE-227). In this paper, the first measurement of the absolute cross sections for the dissociation of HFE-227, is reported.The neutral dissociation is measured from the threshold to 250 eV by appearance mass spectrometry in a dual electron beam device.The threshold energies for the neutral dissociation into CF, CF2 and CF3 are 14.7, 12.5 and 11.2 eV, respectively.The cross sections for the dissociation from HFE-227 into CF3 and CF are larger than those from c-C4F8 (octafluorocyclobutane), but not for the dissociation into CF2.Besides the neutral dissociation, the cross sections for the dissociative ionization of HFE-227 are extensively measured for the formation of twelve ionic species, i.e., CO+, CHO+, CF+, CHF+, CFO+, CF2+, CHF2+, CF3+, CHF3+, C2HF2O+, C2HF4+ and C2HF4O+.

  18. Influence of Etching Protocol and Silane Treatment with a Universal Adhesive on Lithium Disilicate Bond Strength.

    PubMed

    Kalavacharla, V K; Lawson, N C; Ramp, L C; Burgess, J O

    2015-01-01

    To measure the effects of hydrofluoric acid (HF) etching and silane prior to the application of a universal adhesive on the bond strength between lithium disilicate and a resin. Sixty blocks of lithium disilicate (e.max CAD, Ivoclar Vivadent) were sectioned into coupons and polished. Specimens were divided into six groups (n=10) based on surface pretreatments, as follows: 1) no treatment (control); 2) 5% HF etch for 20 seconds (5HF); 3) 9.5% HF etch for 60 seconds (9.5HF); 4) silane with no HF (S); 5) 5% HF for 20 seconds + silane (5HFS); and 6) 9.5% HF for 60 seconds + silane (9.5HFS). All etching was followed by rinsing, and all silane was applied in one coat for 20 seconds and then dried. The universal adhesive (Scotchbond Universal, 3M ESPE) was applied onto the pretreated ceramic surface, air thinned, and light cured for 10 seconds. A 1.5-mm-diameter plastic tube filled with Z100 composite (3M ESPE) was applied over the bonded ceramic surface and light cured for 20 seconds on all four sides. The specimens were thermocycled for 10,000 cycles (5°C-50°C/15 s dwell time). Specimens were loaded until failure using a universal testing machine at a crosshead speed of 1 mm/min. The peak failure load was used to calculate the shear bond strength. Scanning electron microscopy images were taken of representative e.max specimens from each group. A two-way analysis of variance (ANOVA) determined that there were significant differences between HF etching, silane treatment, and the interaction between HF and silane treatment (p<0.01). Silane treatment provided higher shear bond strength regardless of the use or concentration of the HF etchant. Individual one-way ANOVA and Tukey post hoc analyses were performed for each silane group. Shear bond strength values for each etch time were significantly different (p<0.01) and could be divided into significantly different groups based on silane treatment: no silane treatment: 0 HF < 5% HF < 9.5% HF; and RelyX silane treatment: 0

  19. Dual-peak long-period fiber gratings with enhanced refractive index sensitivity by finely tailored mode dispersion that uses the light cladding etching technique.

    PubMed

    Chen, Xianfeng; Zhou, Kaiming; Zhang, Lin; Bennion, Ian

    2007-02-01

    We have experimentally investigated the mode dispersion property and refractive index sensitivity of dual-peak long-period fiber gratings (LPGs) that were sensitized by hydrofluoric acid (HF) etching. The nature of the coupled cladding modes close to the dispersion turning point makes the dual-peak LPGs ultrasensitive to cladding property, permitting a fine tailoring of the mode dispersion and index sensitivity by the light cladding etching method using HF acid of only 1% concentration. As an implementation of an optical biosensor, the etched device was used to detect the concentration of hemoglobin protein in a sugar solution, showing a sensitivity as high as 20 nm/1%.

  20. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  1. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  2. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  3. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  4. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  5. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  6. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch

    NASA Astrophysics Data System (ADS)

    Xu, Ning; Pirkle, David R.; Jeffries, Jay B.; McMillin, Brian; Hanson, Ronald K.

    2004-11-01

    A hydrogen fluoride (HF) monitor, using a tunable diode laser, is designed and used to detect the etch endpoints for dielectric film etching in a commercial plasma reactor. The reactor plasma contains HF, a reaction product of feedstock gas CF4 and the hydrogen-containing films (photoresist, SiOCH) on the substrate. A near-infrared diode laser is used to scan the P(3) transition in the first overtone of HF near 1.31 μm to monitor changes in the level of HF concentration in the plasma. Using 200 ms averaging and a signal modulation technique, we estimate a minimum detectable HF absorbance of 6×10-5 in the etch plasma, corresponding to an HF partial pressure of 0.03 mTorr. The sensor could indicate, in situ, the SiOCH over tetraethoxysilane oxide (TEOS) trench endpoint, which was not readily discerned by optical emission. These measurements demonstrate the feasibility of a real-time diode laser-based sensor for etch endpoint monitoring and a potential for process control.

  7. Effects of various etching protocols on the flexural properties and surface topography of fiber-reinforced composite dental posts.

    PubMed

    Aksornmuang, Juthatip; Chuenarrom, Chanya; Chittithaworn, Natjira

    2017-09-26

    The purpose of this study was to evaluate the flexural properties and surface topography of fiber posts surface-treated with various etching protocols. Seventy each of three types of fiber posts: RelyX Fiber Post, Tenax Fiber Trans, and D.T. Light-Post Illusion X-Ro, were randomly divided into 7 groups: no surface treatment, surface treated with hydrofluoric acid (HF) 4.5% for 60 s, HF 4.5% for 120 s, HF 9.6% for 15 s, HF 9.6% for 60 s, HF 9.6% for 120 s, and treated with H 2 O 2 24% for 10 min. The specimens were then subjected to a three-point bending test. Surface topographies of the posts were observed using a SEM. The results indicate that fiber post surface pretreatments had no adverse effects on the flexural properties. However, the fiber posts treated with high HF concentrations or long etching times seemed to have more surface irregularities.

  8. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  9. Nanofabrication on monocrystalline silicon through friction-induced selective etching of Si3N4 mask

    PubMed Central

    2014-01-01

    A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication. PMID:24940174

  10. Note: Dissolved hydrogen detection in power transformer oil based on chemically etched fiber Bragg grating.

    PubMed

    Jiang, Jun; Ma, Guo-ming; Song, Hong-tu; Zhou, Hong-yang; Li, Cheng-rong; Luo, Ying-ting; Wang, Hong-bin

    2015-10-01

    A fiber Bragg grating (FBG) sensor based on chemically etched cladding to detect dissolved hydrogen is proposed and studied in this paper. Low hydrogen concentration tests have been carried out in mixed gases and transformer oil to investigate the repeatability and sensitivity. Moreover, to estimate the influence of etched cladding thickness, a physical model of FBG-based hydrogen sensor is analyzed. Experimental results prove that thin cladding chemically etched by HF acid solution improves the response to hydrogen detection in oil effectively. At last, the sensitivity of FBG sensor chemically etched 16 μm could be as high as 0.060 pm/(μl/l), increased by more than 30% in comparison to un-etched FBG.

  11. Effects of attrition, prior acid-etching, and cyclic loading on the bond strength of a self-etching adhesive system to dentin.

    PubMed

    Shinkai, Koichi; Ebihara, Takashi; Shirono, Manabu; Seki, Hideaki; Wakaki, Suguru; Suzuki, Masaya; Suzuki, Shiro; Katoh, Yoshiroh

    2009-03-01

    The purpose of this study was to evaluate the effects of dentin attrition, phosphoric acid etching, and cyclic loading on the microtensile bond strength (microTBS) of a self-etching adhesive system to dentin. Flat dentin surfaces of human molars were assigned to eight experimental groups based on those with or without attrition, prior acid-etching, and cyclic loading. Resin composite paste was placed and polymerized after the bonding procedure according to manufacturer's instructions. The specimens were subjected to microTBS testing at a crosshead speed of 0.5 mm/min. Results showed that the minimum mean value of microTBS was 14.9 MPa in the group without attrition and acid-etching but with loading, while the maximum mean value of microTBS was 40.0 MPa in the group without attrition and loading but with acid etching. Therefore, the value of microTBS to dentin without attrition was significantly decreased by cyclic loading but that to dentin with attrition was not affected.

  12. Combined technique of elastic magnetorheological finishing and HF etching for high-efficiency improving of the laser-induced damage threshold of fused silica optics.

    PubMed

    Shi, Feng; Tian, Ye; Peng, Xiaoqiang; Dai, Yifan

    2014-02-01

    The inadequate laser-induced damage threshold (LIDT) of optical elements limits the future development of high-power laser systems. With the aim of raising the LIDT, the elastic passivating treatment mechanism and parameter optimization of a combined magnetorheological finishing (MRF) and HF etching process are investigated. The relationships among the width/depth ratio of defects and parameters of the passivating treatment process (MRF and HF etching), relative intensity (RI), and LIDT of fused silica (FS) optics are revealed through a set of simulations and experiments. For high-efficiency improvement of LIDT, in an elastic passivating treatment process, scratches or other defects need not be wiped off entirely, but only passivated or enlarged to an acceptable profile. This combined process can be applied in polishing high-power-laser-irradiated components with high efficiency, low damage, and high LIDT. A 100  mm×100  mm×10  mm FS optic window is treated, and the width/depth ratio rises from 3 to 11, RI decreases from 4 to 1.2, and LIDT is improved from 7.8 to 17.8  J/cm2 after 385 min of MRF elastic polishing and 60 min of HF etching. Comparing this defect-carrying sample to the defect-free one, the MRF polishing time is shortened, obviously, from 1100 to 385 min, and the LIDT is merely decreased from 19.4 to 17.8  J/cm2. Due to the optimized technique, the fabricating time was shortened by a factor of 2.6, while the LIDT decreased merely 8.2%.

  13. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  14. Effect of Hydrofluoric Acid Concentration on Resin Adhesion to a Feldspathic Ceramic.

    PubMed

    Venturini, Andressa Borin; Prochnow, Catina; Rambo, Dagma; Gundel, Andre; Valandro, Luiz Felipe

    2015-08-01

    To evaluate the effect of different concentrations of hydrofluoric acid (HF) on the contact angle and the resin bond strength durability to feldspathic ceramic. To evaluate the contact angles of distilled water on etched feldspathic ceramic, 25 specimens (12×10×2.4 mm) of VitaBlocks Mark II were used, divided into 5 groups (n=5): one unconditioned control (UC) group with no ceramic surface treatment, and 4 other groups that were etched for 60 s with different concentrations of HF: 1% (HF1), 3% (HF3), 5% (HF5) and 10% (HF10). The bond testing utilized 40 ceramic blocks (12×10×4 mm) that were fabricated and subjected to the same surface treatments as previously mentioned (excluding the control). The etched surfaces were silanized and resin cement was applied. After 24 h, the blocks were sectioned to produce bar specimens that were divided into two groups, non-aged (immediate testing) and aged (storage for 230 days+12,000 thermocycles at 5°C and 55°C), and subjected to microtensile testing (μTBS). Micromorphogical analysis of the treated surfaces was also performed (atomic force and scanning electron microscopy). One-way ANOVA and Tukey's tests were applied for data analysis. UC had the highest contact angle (61.4°), whereas HF10 showed the lowest contact angle (17.5°). In non-aged conditions, different acids promoted statistically similar bond strengths (14.2 to 15.7 MPa) (p>0.05); in terms of bond durability, only the bond strength of the HF1 group presented a statistically significant decrease comparing before and after aging (14.5 to 10.2 MPa). When etched with 3%, 5%, or 10% hydrofluoric acid, the ceramic tested showed stable resin adhesion after long-term aging.

  15. Acid etching of titanium for bonding with veneering composite resins.

    PubMed

    Ban, Seiji; Taniki, Toshio; Sato, Hideo; Kono, Hiroshi; Iwaya, Yukari; Miyamoto, Motoharu

    2006-06-01

    Commercially pure titanium (cpTi) was etched using three concentrated acids: 18% HCl, 43% H3PO4, and 48% H2SO4. The bond strengths between five types of veneering composite resin and eight cpTi treatments (involving combinations of sandblasting, acid etching in 48% H2SO4, and vacuum firing) were determined before and after 10,000 and 20,000 thermal cycles. There were no significant differences in the bond strength of resin to cpTi after etching in 48% H2SO4 at 90 degrees C for 15 minutes, at 60 degrees C for 15, 30, or 60 minutes, and after sandblasting with and without vacuum firing (p > 0.05); moreover, these treatments yielded the highest values. As for vacuum firing, it had no significant effect on resin bond strength to cpTi before or after 10,000 and 20,000 thermal cycles. We therefore concluded that acid etching in concentrated H2SO4 is a simple and effective surface modification method of titanium for bonding to veneering composite resins.

  16. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.

  17. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  18. Effect of CH3COOH on Hydrometallurgical Purification of Metallurgical-Grade Silicon Using HCl-HF Leaching

    NASA Astrophysics Data System (ADS)

    Tian, Chunjin; Lu, Haifei; Wei, Kuixian; Ma, Wenhui; Xie, Keqiang; Wu, Jijun; Lei, Yun; Yang, Bin; Morita, Kazuki

    2018-04-01

    The present study investigated the effects of adding CH3COOH to HCl and HF used to purify metallurgical-grade Si (MG-Si). After 6 h of leaching MG-Si with an acid mixture consisting of 4 mol L-1 HCl, 3 mol L-1 HF, and 3 mol L-1 CH3COOH at 348 K, the total impurity removal efficiency was 88.5%, exceeding the 81.5% removal efficiency obtained without addition of CH3COOH. The microstructural evolution of Si after etching with the two lixiviants indicated better dissolution of metal impurities in MG-Si when using the HCl-HF-CH3COOH mixture. Furthermore, the leaching kinetics of Fe using the HCl-HF and HCl-HF-CH3COOH mixtures were observed to depend on the interfacial chemical reactions.

  19. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  20. Etching twin core fiber for the temperature-independent refractive index sensing

    NASA Astrophysics Data System (ADS)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  1. Metal assisted photochemical etching of 4H silicon carbide

    NASA Astrophysics Data System (ADS)

    Leitgeb, Markus; Zellner, Christopher; Schneider, Michael; Schwab, Stefan; Hutter, Herbert; Schmid, Ulrich

    2017-11-01

    Metal assisted photochemical etching (MAPCE) of 4H-silicon carbide (SiC) in Na2S2O8/HF and H2O2/HF aqueous solutions is investigated with platinum as metallic cathode. The formation process of the resulting porous layer is studied with respect to etching time, concentration and type of oxidizing agent. From the experiments it is concluded that the porous layer formation is due to electron hole pairs generated in the semiconductor, which stem from UV light irradiation. The generated holes are consumed during the oxidation of 4H-SiC and the formed oxide is dissolved by HF. To maintain charge balance, the oxidizing agent has to take up electrons at the Pt/etching solution interface. Total dissolution of the porous layers is achieved when the oxidizing agent concentration decreases during MAPCE. In combination with standard photolithography, the definition of porous regions is possible. Furthermore chemical micromachining of 4 H-SiC at room temperature is possible.

  2. Effect of hydrofluoric acid surface treatments on micro-shear bond strength of CAD/CAM ceramics

    PubMed Central

    Mokhtarpour, Faraneh; Alaghehmand, Homayoon; Khafri, Soraya

    2017-01-01

    Introduction Dental ceramics are appreciated as highly esthetic restorative materials that can simulate the appearance of natural dentition better than other materials. The aim of this study was to evaluate the effect of hydrofluoric acid concentration and etching time on micro-shear bond strength (μSBS) to IPS e.max CAD and Vita Mark II of a dual cured resin cement (Panavia F2.0). Methods This study was an experimental in vitro study, performed in the dental material research center of Babol University of Medical Sciences in 2016. Two hydrofluoric acid concentrations (5% and 10%) and three different etching times (20, 60 and 120 seconds) were used to etch the specimens respectively. A silane coupling agent (Clearfil porcelain activator) and priming and bonding agent (Clearfil SE bond) were used on the etched surfaces in accordance to the manufacturer’s instructions of use. Then resin cement was applied on the prepared ceramic surfaces and light cured. μSBS between resin cement and the porcelains were measured with a universal testing machine. Mode of failure was observed with 40× magnification by means of a Stereo microscope. Data were analyzed with ANOVA and independent-samples t-test and Chi-square tests. Results In both e.max and Vita Mark II groups, μSBS were not significantly different when different etching times (one-way ANOVA) and HF acid concentrations (Independent-samples t-test) were used (p>0.05), but the highest μSBS was shown in e.max specimens etched 60 s with 5% HF and Vita Mark II specimens etched 20 s with 10% HF. μSBS of e.max was significantly higher than Vita Mark II (p=0.00). Conclusion Best surface treatment for e.max and Vita Mark II ceramics is 20 s etch using 5 % hydrofluoric acid. PMID:29238488

  3. Effects of acid etching and adhesive treatments on host-derived cysteine cathepsin activity in dentin.

    PubMed

    Zhang, Wenhao; Yang, Weixiang; Wu, Shuyi; Zheng, Kaibin; Liao, Weili; Chen, Boli; Yao, Ke; Liang, Guobin; Li, Yan

    2014-10-01

    To analyze the effects of different processes during bonding on endogenous cysteine cathepsin activity in dentin. Dentin powder, prepared from extracted human third molars, was divided into 10 groups. Two lots of dentin powder were used to detect the effects of the procedure of protein extraction on endogenous cathepsin activity. The others were used to study effects of different acid-etching or adhesive treatments on enzyme activity. Concentrations of 37% phosphoric acid or 10% phosphoric acid, two etch-and-rinse adhesive systems, and two self-etching adhesive systems were used as dentin powder treatments. The untreated mineralized dentin powder was set as the control. After treatment, the proteins of each group were extracted. The total cathepsin activity in the extracts of each group was monitored with a fluorescence reader. In the control group, there were no significant differences in cathepsin activity between the protein extract before EDTA treatment and the protein extract after EDTA treatment (p > 0.05). The cathepsin activities of the three different extracts in the 37% phosphoric acid-treated group were different from each other (p < 0.05). The two acid-etching groups and two etch-and-rinse groups showed significant enzyme activity reduction vs the control group (p < 0.05). There were no significant differences between those four groups (p > 0.05). Treating the dentin powder with any of the two self-etching adhesives resulted in an increase in cathepsin activity (p < 0.05). The activity of cysteine cathepsins can be detected in dentin powder. Treatment with EDTA during protein extraction exerted an influence on cathepsin activity. Acid etching or etch-and-rinse adhesive systems may reduce the activity of endogenous cathepsins in dentin. Self-etching adhesive systems may increase the enzyme activity.

  4. Evaluating EDTA as a substitute for phosphoric acid-etching of enamel and dentin.

    PubMed

    Imbery, Terence A; Kennedy, Matthew; Janus, Charles; Moon, Peter C

    2012-01-01

    Matrix metalloproteinases (MMPs) are proteolytic enzymes released when dentin is acid-etched. The enzymes are capable of destroying unprotected collagen fibrils that are not encapsulated by the dentin adhesive. Chlorhexidine applied after etching inhibits the activation of released MMPs, whereas neutral ethylenediamine tetra-acetic acid (EDTA) prevents the release of MMPs. The purpose of this study was to determine if conditioning enamel and dentin with EDTA can be a substitute for treating acid-etching enamel and dentin with chlorhexidine. A column of composite resin was bonded to enamel and dentin after conditioning. Shear bond strengths were evaluated after 48 hours and after accelerated aging for three hours in 12% sodium hypochlorite. Shear bond strengths ranged from 15.6 MP a for accelerated aged EDTA enamel specimens to 26.8 MPa for dentin conditioned with EDTA and tested after 48 hours. A three-way ANOVA and a Tukey HSD test found statistically significant differences among the eight groups and the three independent variables (P < 0.05). EDTA was successfully substituted for phosphoric acid-etched enamel and dentin treated with chlorhexidine. Interactions of conditioning agent and aging were significant for dentin but not for enamel. In an effort to reduce the detrimental effects of MMPs, conditioning enamel and dentin with EDTA is an alternative to treating acid-etched dentin and enamel with chlorhexidine.

  5. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    PubMed

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  6. Improvement of enamel bond strengths for conventional and resin-modified glass ionomers: acid-etching vs. conditioning*

    PubMed Central

    Zhang, Ling; Tang, Tian; Zhang, Zhen-liang; Liang, Bing; Wang, Xiao-miao; Fu, Bai-ping

    2013-01-01

    Objective: This study deals with the effect of phosphoric acid etching and conditioning on enamel micro-tensile bond strengths (μTBSs) of conventional and resin-modified glass ionomer cements (GICs/RMGICs). Methods: Forty-eight bovine incisors were prepared into rectangular blocks. Highly-polished labial enamel surfaces were either acid-etched, conditioned with liquids of cements, or not further treated (control). Subsequently, two matching pre-treated enamel surfaces were cemented together with one of four cements [two GICs: Fuji I (GC), Ketac Cem Easymix (3M ESPE); two RMGICs: Fuji Plus (GC), RelyX Luting (3M ESPE)] in preparation for μTBS tests. Pre-treated enamel surfaces and cement-enamel interfaces were analyzed by scanning electron microscopy (SEM). Results: Phosphoric acid etching significantly increased the enamel μTBS of GICs/RMGICs. Conditioning with the liquids of the cements produced significantly weaker or equivalent enamel μTBS compared to the control. Regardless of etching, RMGICs yielded stronger enamel μTBS than GICs. A visible hybrid layer was found at certain enamel-cement interfaces of the etched enamels. Conclusions: Phosphoric acid etching significantly increased the enamel μTBSs of GICs/RMGICs. Phosphoric acid etching should be recommended to etch the enamel margins before the cementation of the prostheses such as inlays and onlays, using GICs/RMGICs to improve the bond strengths. RMGICs provided stronger enamel bond strength than GICs and conditioning did not increase enamel bond strength. PMID:24190447

  7. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    PubMed Central

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  8. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  9. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  10. Effects of texturization due to chemical etching and laser on the optical properties of multicrystalline silicon for applications in solar cells

    NASA Astrophysics Data System (ADS)

    Vera, D.; Mass, J.; Manotas, M.; Cabanzo, R.; Mejia, E.

    2016-02-01

    In this work we carried out the texturization of surfaces of multicrystalline silicon type-p in order to decrease the reflection of light on the surface, using the chemical etching method and then a treatment with laser. In the first method, it was immersed in solutions of HF:HNO3:H2O, HF:HNO3:CH3COOH, HF:HNO3:H3PO4, in the proportion 14:01:05, during 30 seconds, 1, 2 and 3 minutes. Subsequently with a laser (ND:YAG) grids were generated beginning with parallel lines separated 50μm. The samples were analyzed by means of diffuse spectroscopy (UV-VIS) and scanning electron micrograph (SEM) before and after the laser treatment. The lowest result of reflectance obtained by HF:HNO3:H2O during 30 seconds, was of 15.5%. However, after applying the treatment with laser the reflectance increased to 17.27%. On the other hand, the samples treated (30 seconds) with acetic acid and phosphoric acid as diluents gives as a result a decrease in the reflectance values after applying the laser treatment from 21.97% to 17.79% and from 27.73% to 20.03% respectively. The above indicates that in some cases it is possible to decrease the reflectance using jointly the method of chemical etching and then a laser treatment.

  11. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  12. Acid Etching and Plasma Sterilization Fail to Improve Osseointegration of Grit Blasted Titanium Implants

    PubMed Central

    Saksø, Mikkel; Jakobsen, Stig S; Saksø, Henrik; Baas, Jørgen; Jakobsen, Thomas; Søballe, Kjeld

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation was evaluated by implant osseointegration and biomechanical fixation. The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant tissue density) and mechanical push-out testing after four weeks observation time. Neither acid etching nor plasma sterilization of the grit blasted implants enhanced osseointegration or mechanical fixation in this press-fit canine implant model in a statistically significant manner. PMID:22962567

  13. Creation of superhydrophobic stainless steel surfaces by acid treatments and hydrophobic film deposition.

    PubMed

    Li, Lester; Breedveld, Victor; Hess, Dennis W

    2012-09-26

    In this work, we present a method to render stainless steel surfaces superhydrophobic while maintaining their corrosion resistance. Creation of surface roughness on 304 and 316 grade stainless steels was performed using a hydrofluoric acid bath. New insight into the etch process is developed through a detailed analysis of the chemical and physical changes that occur on the stainless steel surfaces. As a result of intergranular corrosion, along with metallic oxide and fluoride redeposition, surface roughness was generated on the nano- and microscales. Differences in alloy composition between 304 and 316 grades of stainless steel led to variations in etch rate and different levels of surface roughness for similar etch times. After fluorocarbon film deposition to lower the surface energy, etched samples of 304 and 316 stainless steel displayed maximum static water contact angles of 159.9 and 146.6°, respectively. However, etching in HF also caused both grades of stainless steel to be susceptible to corrosion. By passivating the HF-etched samples in a nitric acid bath, the corrosion resistant properties of stainless steels were recovered. When a three step process was used, consisting of etching, passivation and fluorocarbon deposition, 304 and 316 stainless steel samples exhibited maximum contact angles of 157.3 and 134.9°, respectively, while maintaining corrosion resistance.

  14. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  15. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  16. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    NASA Astrophysics Data System (ADS)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  17. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    PubMed

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  18. Effect of EDTA and Phosphoric Acid Pretreatment on the Bonding Effectiveness of Self-Etch Adhesives to Ground Enamel

    PubMed Central

    Ibrahim, Ihab M.; Elkassas, Dina W.; Yousry, Mai M.

    2010-01-01

    Objectives: This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Methods: Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9–1.0), intermediary strong AdheSE (pH=1.6–1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Results: Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Conclusions: Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel. PMID:20922162

  19. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  20. The Effects of Acid Etching on the Nanomorphological Surface Characteristics and Activation Energy of Titanium Medical Materials.

    PubMed

    Hung, Kuo-Yung; Lin, Yi-Chih; Feng, Hui-Ping

    2017-10-11

    The purpose of this study was to characterize the etching mechanism, namely, the etching rate and the activation energy, of a titanium dental implant in concentrated acid and to construct the relation between the activation energy and the nanoscale surface topographies. A commercially-pure titanium (CP Ti) and Ti-6Al-4V ELI surface were tested by shot blasting (pressure, grain size, blasting distance, blasting angle, and time) and acid etching to study its topographical, weight loss, surface roughness, and activation energy. An Arrhenius equation was applied to derive the activation energy for the dissolution of CP Ti/Ti-6Al-4V ELI in sulfuric acid (H₂SO₄) and hydrochloric acid (HCl) at different temperatures. In addition, white-light interferometry was applied to measure the surface nanomorphology of the implant to obtain 2D or 3D roughness parameters (Sa, Sq, and St). The nanopore size that formed after etching was approximately 100-500 nm. The surface roughness of CP Ti and Ti-6Al-4V ELI decreased as the activation energy decreased but weight loss increased. Ti-6Al-4V ELI has a higher level of activation energy than Ti in HCl, which results in lower surface roughness after acid etching. This study also indicates that etching using a concentrated hydrochloric acid provided superior surface modification effects in titanium compared with H₂SO₄.

  1. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    PubMed

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  2. Resin–dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding

    PubMed Central

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H.; Tay, Franklin R.; Watson, Timothy F.; Osorio, Raquel

    2013-01-01

    Objective To compare resin–dentin bond strengths and the micropermeability of hydrophobic vs. hydrophilic resins bonded to acid-etched or EDTA-treated dentin, using the ethanol wet-bonding technique. Methods Flat dentin surfaces from extracted human third molars were conditioned before bonding with: 37% H3PO4 (15 s) or 0.1 M EDTA (60 s). Five experimental resin blends of different hydrophilicities and one commercial adhesive (SBMP: Scotchbond Multi-Purpose) were applied to ethanol wet-dentin (1 min) and light-cured (20 s). The solvated resins were used as primers (50% ethanol/50% comonomers) and their respective neat resins were used as the adhesive. The resin-bonded teeth were stored in distilled water (24 h) and sectioned in beams for microtensile bond strength testing. Modes of failure were examined by stereoscopic light microscopy and SEM. Confocal tandem scanning microscopy (TSM) interfacial characterization and micropermeability were also performed after filling the pulp chamber with 1 wt% aqueous rhodamine-B. Results The most hydrophobic resin 1 gave the lowest bond strength values to acid-etched dentin and all beams failed prematurely when the resin was applied to EDTA-treated dentin. Resins 2 and 3 gave intermediate bond strengths to both conditioned substrates. Resin 4, an acidic hydrophilic resin, gave the highest bond strengths to both EDTA-treated and acid-etched dentin. Resin 5 was the only hydrophilic resin showing poor resin infiltration when applied on acid-etched dentin. Significance The ethanol wet-bonding technique may improve the infiltration of most of the adhesives used in this study into dentin, especially when applied to EDTA-treated dentin. The chemical composition of the resin blends was a determining factor influencing the ability of adhesives to bond to EDTA-treated or 37% H3PO4 acid-etched dentin, when using the ethanol wet-bonding technique in a clinically relevant time period. PMID:20074787

  3. The Effects of Acid Etching on the Nanomorphological Surface Characteristics and Activation Energy of Titanium Medical Materials

    PubMed Central

    Hung, Kuo-Yung; Lin, Yi-Chih; Feng, Hui-Ping

    2017-01-01

    The purpose of this study was to characterize the etching mechanism, namely, the etching rate and the activation energy, of a titanium dental implant in concentrated acid and to construct the relation between the activation energy and the nanoscale surface topographies. A commercially-pure titanium (CP Ti) and Ti-6Al-4V ELI surface were tested by shot blasting (pressure, grain size, blasting distance, blasting angle, and time) and acid etching to study its topographical, weight loss, surface roughness, and activation energy. An Arrhenius equation was applied to derive the activation energy for the dissolution of CP Ti/Ti-6Al-4V ELI in sulfuric acid (H2SO4) and hydrochloric acid (HCl) at different temperatures. In addition, white-light interferometry was applied to measure the surface nanomorphology of the implant to obtain 2D or 3D roughness parameters (Sa, Sq, and St). The nanopore size that formed after etching was approximately 100–500 nm. The surface roughness of CP Ti and Ti-6Al-4V ELI decreased as the activation energy decreased but weight loss increased. Ti-6Al-4V ELI has a higher level of activation energy than Ti in HCl, which results in lower surface roughness after acid etching. This study also indicates that etching using a concentrated hydrochloric acid provided superior surface modification effects in titanium compared with H2SO4. PMID:29019926

  4. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    PubMed

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  5. Sculpting Silica Colloids by Etching Particles with Nonuniform Compositions

    PubMed Central

    2017-01-01

    We present the synthesis of new shapes of colloidal silica particles by manipulating their chemical composition and subsequent etching. Segments of silica rods, prepared by the ammonia catalyzed hydrolysis and condensation of tetraethylorthosilicate (TEOS) from polyvinylpyrrolidone loaded water droplets, were grown under different conditions. Upon decreasing temperature, delaying ethanol addition, or increasing monomer concentration, the rate of dissolution of the silica segment subsequently formed decreased. A watery solution of NaOH (∼mM) selectively etched these segments. Further tuning the conditions resulted in rod–cone or cone–cone shapes. Deliberately modulating the composition along the particle’s length by delayed addition of (3-aminopropyl)-triethoxysilane (APTES) also allowed us to change the composition stepwise. The faster etching of this coupling agent in neutral conditions or HF afforded an even larger variety of particle morphologies while in addition changing the chemical functionality. A comparable step in composition was applied to silica spheres. Biamine functional groups used in a similar way as APTES caused a charge inversion during the growth, causing dumbbells and higher order aggregates to form. These particles etched more slowly at the neck, resulting in a biconcave silica ring sandwiched between two silica spheres, which could be separated by specifically etching the functionalized layer using HF. PMID:28413261

  6. Effect of Reduced Phosphoric Acid Pre-etching Times 
on Enamel Surface Characteristics and Shear Fatigue Strength Using Universal Adhesives.

    PubMed

    Tsujimoto, Akimasa; Fischer, Nicholas; Barkmeier, Wayne; Baruth, Andrew; Takamizawa, Toshiki; Latta, Mark; Miyazaki, Masashi

    2017-01-01

    To examine the effect of reduced phosphoric acid pre-etching times on enamel fatigue bond strength of universal adhesives and surface characteristics by using atomic force microscopy (AFM). Three universal adhesives were used in this study (Clearfil Universal Bond [C], G-Premio Bond [GP], Scotchbond Universal Adhesive [SU]). Four pre-etching groups were employed: enamel pre-etched with phosphoric acid and immediately rinsed with an air-water spray, and enamel pre-etched with phosphoric acid for 5, 10, or 15 s. Ground enamel was used as the control group. For the initial bond strength test, 15 specimens per etching group for each adhesive were used. For the shear fatigue test, 20 specimens per etching group for each adhesive were loaded using a sine wave at a frequency of 20 Hz for 50,000 cycles or until failure occurred. Initial shear bond strengths and fatigue shear strengths of composite adhesively bonded to ground and pre-etched enamel were determined. AFM observations of ground and pre-etched enamel were also conducted, and surface roughness as well as surface area were evaluated. The initial shear bond strengths and fatigue shear strengths of the universal adhesives in the pre-etched groups were significantly higher than those of the control group, and were not influenced by the pre-etching time. Significantly higher surface roughness and surface area of enamel surfaces in pre-etched groups were observed compared with those in the control group. While the surface area was not significantly influenced by etching time, surface roughness of the enamel surfaces in the pre-etched groups significantly increased with pre-etching time. The results of this in vitro study suggest that reduced phosphoric acid pre-etching times do not impair the fatigue bond strength of universal adhesives. Although fatigue bond strength and surface area were not influenced by phosphoric-acid etching times, surface roughness increased with increasing etching time.

  7. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  8. Comparison of microleakage on one composite etched with phosphoric acid or a combination of phosphoric and hydrofluoric acids and bonded with several different systems.

    PubMed

    Szep, Susanne; Langner, Nicole; Bayer, Silja; Börnichen, Diana; Schulz, Christoph; Gerhardt, Thomas; Schriever, Anette; Becker, Joachim; Heidemann, Detlef

    2003-02-01

    There are no data available on whether or to what extent hydrofluoric acid affects the marginal integrity of dentin-bonded composite restorations when it is used instead of phosphoric acid in the total-etch technique. This in vitro study examined the etching effects of phosphoric acid versus a combination of phosphoric and hydrofluoric acid by evaluation of microleakage in a composite restoration bonded with different dentin adhesive systems. Extracted teeth (n = 90) containing 2 class II preparations, mesial occlusal (MO) and distal occlusal (DO) standarized (cervical margins in dentin) were perfused with Ringer solution and etched in 1 of 2 ways: with phosphoric acid only or with phosphoric combined with hydrofluoric acid. Different dentin bonding agents were then applied (Etch & Prime 3.0, Optibond Solo, Prime & Bond NT, Scotchbond 1, Syntac Single Component, or Syntac Sprint; (n = 15 for each etching material)). The preparations were restored with a hybrid composite (Herculite XRV) and submitted to 5000 thermocycles (5 degrees C to 55 degrees C) to simulate the in vivo situation. Microleakage was assessed with 2% methylene blue diffusion for 24 hours. Dye penetration was calculated as a percentage of the total length of the gingival margins of the preparation with light microscopy at original magnification x 32. The results were analyzed with the Kruskal-Wallis multiple comparison z-value assay (alpha = .05). Differences in dye penetration were significant, both as a function of the dentin adhesive and the conditioning mode applied. In the specimen groups conditioned with phosphoric acid, Optibond Solo (54% +/- 44%) and Syntac Sprint (74% +/- 39%) demonstrated the lowest penetration values. Higher values were obtained for Prime & Bond NT (81% +/- 34%), Scotchbond 1 (83% +/- 31%), Etch & Prime 3.0 (85% +/- 33%), and Syntac Single Component (95% +/- 16%), with no significant differences (alpha=.05) between specimen groups. The best results were obtained for

  9. Acid-etching technique of non-decalcified bone samples for visualizing osteocyte-lacuno-canalicular network using scanning electron microscope.

    PubMed

    Lampi, Tiina; Dekker, Hannah; Ten Bruggenkate, Chris M; Schulten, Engelbert A J M; Mikkonen, Jopi J W; Koistinen, Arto; Kullaa, Arja M

    2018-01-01

    The aim of this study was to define the acid-etching technique for bone samples embedded in polymethyl metacrylate (PMMA) in order to visualize the osteocyte lacuno-canalicular network (LCN) for scanning electron microscopy (SEM). Human jaw bone tissue samples (N = 18) were collected from the study population consisting of patients having received dental implant surgery. After collection, the bone samples were fixed in 70% ethanol and non-decalcified samples embedded routinely into polymethyl metacrylate (PMMA). The PMMA embedded specimens were acid-etched in either 9 or 37% phosphoric acid (PA) and prepared for SEM for further analysis. PMMA embedded bone specimens acid-etched by 9% PA concentration accomplishes the most informative and favorable visualization of the LCN to be observed by SEM. Etching of PMMA embedded specimens is recommendable to start with 30 s or 40 s etching duration in order to find the proper etching duration for the samples examined. Visualizing osteocytes and LCN provides a tool to study bone structure that reflects changes in bone metabolism and diseases related to bone tissue. By proper etching protocol of non-decalcified and using scanning electron microscope it is possible to visualize the morphology of osteocytes and the network supporting vitality of bone tissue.

  10. Effect of Etching Methods in Metallographic Studies of Duplex Stainless Steel 2205

    NASA Astrophysics Data System (ADS)

    Kisasoz, A.; Karaaslan, A.; Bayrak, Y.

    2017-03-01

    Three different etching methods are used to uncover the ferrite-austenite structure and precipitates of secondary phases in stainless steel 22.5% Cr - 5.4% Ni - 3% Mo - 1.3% Mn. The structure is studied under a light microscope. The chemical etching is conducted in a glycerol solution of HNO3, HCl and HF; the electrochemical etching is conducted in solutions of KOH and NaOH.

  11. Fabrication of luminescent porous silicon with stain etches and evidence that luminescence originates in amorphous layers

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Ksendzov, A.; Lin, T. L.; Pike, W. T.; Vasquez, R. P.; Wu, Z.-C.

    1992-01-01

    Simple immersion of Si in stain etches of HF:HNO3:H2O or NaNO2 in aqueous HF was used to produce films exhibiting luminescence in the visible similar to that of anodically-etched porous Si. All of the luminescent samples consist of amorphous porous Si in at least the near surface region. No evidence was found for small crystalline regions within these amorphous layers.

  12. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    NASA Astrophysics Data System (ADS)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  13. Distinguishing shocked from tectonically deformed quartz by the use of the SEM and chemical etching

    USGS Publications Warehouse

    Gratz, A.J.; Fisler, D.K.; Bohor, B.F.

    1996-01-01

    Multiple sets of crystallographically-oriented planar deformation features (PDFs) are generated by high-strain-rate shock waves at pressures of > 12 GPa in naturally shocked quartz samples. On surfaces, PDFs appear as narrow (50-500 nm) lamellae filled with amorphosed quartz (diaplectic glass) which can be etched with hydrofluoric acid or with hydrothermal alkaline solutions. In contrast, slow-strain-rate tectonic deformation pressure produces wider, semi-linear and widely spaced arrays of dislocation loops that are not glass filled. Etching samples with HF before examination in a scanning electron microscope (SEM) allows for unambiguous visual distinction between glass-filled PDFs and glass-free tectonic deformation arrays in quartz. This etching also reveals the internal 'pillaring' often characteristic of shock-induced PDFs. This technique is useful for easily distinguishing between shock and tectonic deformation in quartz, but does not replace optical techniques for characterizing the shock features.

  14. Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon

    DTIC Science & Technology

    2015-11-01

    hydrogen peroxide (H2O2), and ethanol etch solution. The H2O2 reacts with hydrogen ions from the HF at the catalytic metal surface to become water...order to measure the combustion rates of the PSi, bridge wires were photolithographically deposited onto the wafers, prior to PSi etching, using a...

  15. Effect of reducing acid etching time on bond strength to noncarious and caries-affected primary and permanent dentin.

    PubMed

    Scheffel, Débora Lopes Salles; Ricci, Hérica Adad; de Souza Costa, Carlos Alberto; Pashley, David Henry; Hebling, Josimeri

    2013-01-01

    The purpose was to evaluate the effect of acid etching time on the bond strength of a simplified etch-and-rinse adhesive system to noncarious and caries-affected dentin of primary and permanent teeth. Twenty-four extracted primary and permanent teeth were divided into three groups, according to the acid etching time. Four teeth from each group were exposed to a microbiological caries-inducing protocol. After caries removal, noncarious and caries-affected dentin surfaces were etched with 37 percent phosphoric acid for five, 10, or 15 seconds prior to the application of Prime & Bond NT adhesive. Crowns were restored with resin composite and prepared for microtensile testing. Data were submitted to Kruskal-Wallis and Mann-Whitney tests (α=0.05). Higher bond strengths were obtained for noncarious dentin vs. caries-affected dentin for both primary and permanent teeth. Reducing the acid etching time from 15 to five seconds did not affect the bond strength to caries-affected or noncarious dentin in primary teeth. For permanent teeth, lower bond strength values were observed when the noncarious dentin was etched for five seconds, while no difference was seen between 10 and 15 seconds. For Prime & Bond NT, the etching of dentin for five seconds could be recommended for primary teeth, while 10 seconds would be the minimum time for permanent teeth.

  16. Feature Modeling of HfO2 Atomic Layer Deposition Using HfCl4/H2O

    NASA Astrophysics Data System (ADS)

    Stout, Phillip J.; Adams, Vance; Ventzek, Peter L. G.

    2003-03-01

    A Monte Carlo based feature scale model (Papaya) has been applied to atomic layer deposition (ALD) of HfO2 using HfCl_4/H_20. The model includes physical effects of transport to surface, specular and diffusive reflection within feature, adsorption, surface diffusion, deposition and etching. Discussed will be the 3D feature modeling of HfO2 deposition in assorted features (vias and trenches). The effect of feature aspect ratios, pulse times, cycle number, and temperature on film thickness, feature coverage, and film Cl fraction (surface/bulk) will be discussed. Differences between HfO2 ALD on blanket wafers and in features will be highlighted. For instance, the minimum pulse times sufficient for surface reaction saturation on blanket wafers needs to be increased when depositing on features. Also, HCl products created during the HfCl4 and H_20 pulses are more likely to react within a feature than at the field, reducing OH coverage within the feature (vs blanket wafer) thus limiting the maximum coverage attainable for a pulse over a feature.

  17. HF/H2O2 treated graphite felt as the positive electrode for vanadium redox flow battery

    NASA Astrophysics Data System (ADS)

    He, Zhangxing; Jiang, Yingqiao; Meng, Wei; Jiang, Fengyun; Zhou, Huizhu; Li, Yuehua; Zhu, Jing; Wang, Ling; Dai, Lei

    2017-11-01

    In order to improve the electrochemical performance of the positive graphite felt electrode in vanadium flow redox battery, a novel method is developed to effectively modify the graphite felt by combination of etching of HF and oxidation of H2O2. After the etching of HF for the graphite felt at ambient temperature, abundant oxygen-containing functional groups were further introduced on the surface of graphite felt by hydrothermal treatment using H2O2 as oxidant. Benefiting from the surface etching and introduction of functional groups, mass transfer and electrode process can be improved significantly on the surface of graphite felt. VO2+/VO2+ redox reaction on the graphite felt modified by HF and H2O2 jointly (denote: GF-HF/H2O2) exhibits superior electrochemical kinetics in comparison with the graphite felt modified by single HF or H2O2 treatment. The cell using GF-HF/H2O2 as the positive electrode was assembled and its electrochemical properties were evaluated. The increase of energy efficiency of 4.1% for GF-HF/H2O2 at a current density of 50 mA cm-2 was obtained compared with the pristine graphite felt. The cell using GF-HF/H2O2 also demonstrated higher discharge capacity. Our study revealed that HF/H2O2 treatment is an efficient method to enhance the electrochemical performance of graphite felt, further improving the comprehensive energy storage performance of the vanadium flow redox battery.

  18. Effect of sulfuric acid etching of polyetheretherketone on the shear bond strength to resin cements.

    PubMed

    Sproesser, Oliver; Schmidlin, Patrick R; Uhrenbacher, Julia; Roos, Malgorzata; Gernet, Wolfgang; Stawarczyk, Bogna

    2014-10-01

    To examine the influence of etching duration on the bond strength of PEEK substrate in combination with different resin composite cements. In total, 448 PEEK specimens were fabricated, etched with sulfuric acid for 5, 15, 30, 60, 90, 120, and 300 s and then luted with two conventional resin cements (RelyX ARC and Variolink II) and one self-adhesive resin cement (Clearfil SA Cement) (n = 18/subgroup). Non-etched specimens served as the control group. Specimens were stored in distilled water for 28 days at 37°C and shear bond strengths were measured. Data were analyzed nonparametrically using Kruskal-Wallis-H (p < 0.05). Non-etched PEEK demonstrated no bond strength to resin composite cements. The optimal etching duration varied with the type of resin composite: 60 s for RelyX ARC (15.3 ± 7.2 MPa), 90 s for Variolink II (15.2 ± 7.2 MPa), and 120 s for Clearfil SA Cement (6.4 ± 5.9 MPa). Regardless of etching duration, however, the self-etching resin composite cement showed significantly lower shear bond strength values when compared to groups luted with the conventional resin composites. Although sulfuric acid seems to be suitable and effective for PEEK surface pre-treatment, further investigations are required to examine the effect of other adhesive systems and cements.

  19. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  20. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    PubMed

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  1. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  2. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si.

    PubMed

    Chen, Wei; Liu, Yaoping; Yang, Lixia; Wu, Juntao; Chen, Quansheng; Zhao, Yan; Wang, Yan; Du, Xiaolong

    2018-02-21

    The so called inverted pyramid arrays, outperforming conventional upright pyramid textures, have been successfully achieved by one-step Cu assisted chemical etching (CACE) for light reflection minimization in silicon solar cells. Due to the lower reduction potential of Cu 2+ /Cu and different electronic properties of different Si planes, the etching of Si substrate shows orientation-dependent. Different from the upright pyramid obtained by alkaline solutions, the formation of inverted pyramid results from the coexistence of anisotropic etching and localized etching process. The obtained structure is bounded by Si {111} planes which have the lowest etching rate, no matter what orientation of Si substrate is. The Si etching rate and (100)/(111) etching ratio are quantitatively analyzed. The different behaviors of anisotropic etching of Si by alkaline and Cu based acid etchant have been systematically investigated.

  3. Shear Bond Strength of Self-etching Adhesives to Cavities Prepared by Diamond Bur or Er,Cr:YSGG Laser and Effect of Prior Acid Etching.

    PubMed

    Jhingan, Pulkit; Sachdev, Vinod; Sandhu, Meera; Sharma, Karan

    2015-12-01

    To compare and evaluate shear bond strength of self-etching adhesives bonded to cavities prepared by diamond bur or Er,Cr:YSGG laser and the effect of prior acid etching on shear bond strength. Ninety-six caries-free human premolars were selected and divided into 2 groups depending on mode of cavity preparation (48 teeth each). Cavities were prepared with Er,Cr:YSGG laser in group 1 and diamond burs in an air-turbine handpiece in group 2. Groups 1 and 2 were further subdivided into three subgroups of 8 teeth each, which were bonded with sixth- or seventh-generation adhesives with or without prior acid etching, followed by restoration of all samples with APX Flow. These samples were subjected to shear bond strength testing. In addition, the surface morphology of 24 samples each from groups 1 and 2 was evaluated using SEM. Data were analyzed using the Shapiro-Wilk test, one- and two-way ANOVA, the t-test, and the least significant difference test, which showed that the data were normally distributed (p > 0.05). The shear bond strength of adhesives in cavities prepared by Er,Cr:YSGG laser was significantly higher than in diamond bur-prepared cavities (p < 0.05). SEM analysis showed a smear-layer-free anfractuous surface on laser-ablated teeth, in contrast to conventional bur-prepared teeth. The Er,Cr:YSGG laser-ablated surface proved to be more receptive for adhesion than those prepared by diamond bur irrespective of the bonding agent used. Seventh-generation adhesives yielded higher shear bond strength than did sixth-generation adhesives. Prior acid etching decreased the shear bond strength of self-etching adhesives.

  4. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    PubMed

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  5. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{submore » x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.« less

  6. Effect of etching time and resin bond on the flexural strength of IPS e.max Press glass ceramic.

    PubMed

    Xiaoping, Luo; Dongfeng, Ren; Silikas, Nick

    2014-12-01

    To evaluate the effect of hydrofluoric acid (HFA) etching time and resin cement bond on the flexural strength of IPS e.max(®) Press glass ceramic. Two hundred and ten bars, 25mm×3mm×2mm, were made from IPS e.max(®) Press ingots through lost-wax, hot-pressed ceramic fabrication technology and randomly divided into five groups with forty-two per group after polishing. The ceramic surfaces of different groups were etched by 9.5% hydrofluoric acid gel for 0, 20, 40, 60 and 120s respectively. Two specimens of each group were selected randomly to examine the surface roughness and 3-dimensional topography with atomic force microscope (AFM), and microstructure was analyzed by the field emission scanning electron microscope (FE-SEM). Then each group were subdivided into two subgroups (n=20). One subgroup of this material was selected to receive a thin (approximately 0.1mm) layer of resin luting agent (Variolink N) whereas the other subgroup remained unaltered. Half of subgroup's specimens were thermocycled 10,000 times before a 3-point bending test in order to determine the flexural strength. Interface between resin cement and ceramic was examined with field emission scanning electronic microscope. Roughness values increased with increasing etching time. The mean flexural strength values of group 0s, 20s, 40s, 60s and 120s were 384±33, 347±43, 330±53, 327±67 and 317±41MPa respectively. Increasing HF etching times reduced the mean flexural strength (p<0.05). However, the mean flexural strength of each group, except group 0s, increased significantly to 420±31, 435±50, 400±39 and 412±58MPa after the application of dual-curing resin cement. In the present investigation, no significant differences after thermocycling on the flexural strengths were evident. Overtime HF etching could have a wakening effect on IPS e.max(®) Press glass ceramic, but resin cement bonding to appropriately etched surface would strengthen the dental ceramic. Copyright © 2014 Academy of

  7. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  8. Effect of phosphate treatment of Acid-etched implants on mineral apposition rates near implants in a dog model.

    PubMed

    Foley, Christine Hyon; Kerns, David G; Hallmon, William W; Rivera-Hidalgo, Francisco; Nelson, Carl J; Spears, Robert; Dechow, Paul C; Opperman, Lynne A

    2010-01-01

    This study evaluated the effects of phosphate coating of acid-etched titanium on the mineral apposition rate (MAR) and new bone-to-implant contact (BIC) in a canine model. Titanium implants (2.2 3 4 mm) with acid-etched surfaces that were electrolytically phosphated or not were placed in 48 mandibular sites in six foxhounds. Tetracycline and calcein dyes were administered 1 week after implant placement and 1 week before sacrifice. At 12 weeks after implant placement, the animals were sacrificed. MAR and BIC were evaluated using fluorescence microscopy. Light microscopic and histologic evaluations were performed on undecalcified sections. Microscopic evaluation showed the presence of healthy osteoblasts lining bone surfaces near implants. Similar BIC was observed in phosphated and nonphosphated titanium implant sites. MAR was significantly higher around the nonphosphated titanium implant surfaces than around the phosphated titanium samples. No significant differences were found between dogs or implant sites. Acid-etched implants showed significantly higher MARs compared to acid-etched, phosphate-coated implants. Int J Maxillofac Implants 2010;25:278-286.

  9. Controlled core removal from a D-shaped optical fiber.

    PubMed

    Markos, Douglas J; Ipson, Benjamin L; Smith, Kevin H; Schultz, Stephen M; Selfridge, Richard H; Monte, Thomas D; Dyott, Richard B; Miller, Gregory

    2003-12-20

    The partial removal of a section of the core from a continuous D-shaped optical fiber is presented. In the core removal process, selective chemical etching is used with hydrofluoric (HF) acid. A 25% HF acid solution removes the cladding material above the core, and a 5% HF acid solution removes the core. A red laser with a wavelength of 670 nm is transmitted through the optical fiber during the etching. The power transmitted through the optical fiber is correlated to the etch depth by scanning electron microscope imaging. The developed process provides a repeatable method to produce an optical fiber with a specific etch depth.

  10. Fabrication and etching processes of silicon-based PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhao, Hongjin; Liu, Yanxiang; Liu, Jianshe; Ren, Tian-Ling; Liu, Li-Tian; Li, Zhijian

    2001-09-01

    Lead-zirconate-titanate (PZT) thin films on silicon were prepared by a sol-gel method. Phase characterization and crystal orientation of the films were investigated by x-ray diffraction analysis (XRD). It was shown that the PZT thin films had a perfect perovskite structure after annealed at a low temperature of 600 degrees C. PZT thin films were chemically etched using HCl/HF solution through typical semiconductor lithographic process, and the etching condition was optimized. The scanning electron microscopy results indicated that the PZT thin film etching problem was well solved for the applications of PZT thin film devices.

  11. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  12. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  13. Effect of acid etching on bond strength of nanoionomer as an orthodontic bonding adhesive

    PubMed Central

    Khan, Saba; Verma, Sanjeev K.; Maheshwari, Sandhya

    2015-01-01

    Aims: A new Resin Modified Glass Ionomer Cement known as nanoionomer containing nanofillers of fluoroaluminosilicate glass and nanofiller 'clusters' has been introduced. An in-vitro study aimed at evaluating shear bond strength (SBS) and adhesive remnant index (ARI) of nanoionomer under etching/unetched condition for use as an orthodontic bonding agent. Material and Methods: A total of 75 extracted premolars were used, which were divided into three equal groups of 25 each: 1-Conventional adhesive (Enlight Light Cure, SDS, Ormco, CA, USA) was used after and etching with 37% phosphoric acid for 30 s, followed by Ortho Solo application 2-nanoionomer (Ketac™ N100, 3M, ESPE, St. Paul, MN, USA) was used after etching with 37% phosphoric acid for 30 s 3-nanoionomer was used without etching. The SBS testing was performed using a digital universal testing machine (UTM-G-410B, Shanta Engineering). Evaluation of ARI was done using scanning electron microscopy. The SBS were compared using ANOVA with post-hoc Tukey test for intergroup comparisons and ARI scores were compared with Chi-square test. Results: ANOVA (SBS, F = 104.75) and Chi-square (ARI, Chi-square = 30.71) tests revealed significant differences between groups (P < 0.01). The mean (SD) SBS achieved with conventional light cure adhesive was significantly higher (P < 0.05) (10.59 ± 2.03 Mpa, 95% CI, 9.74-11.41) than the nanoionomer groups (unetched 4.13 ± 0.88 Mpa, 95% CI, 3.79-4.47 and etched 9.32 ± 1.87 Mpa, 95% CI, 8.58-10.06). However, nanoionomer with etching, registered SBS in the clinically acceptable range of 5.9–7.8 MPa, as suggested by Reynolds (1975). The nanoionomer groups gave significantly lower ARI values than the conventional adhesive group. Conclusion: Based on this in-vitro study, nanoionomer with etching can be successfully used as an orthodontic bonding agent leaving less adhesive remnant on enamel surface, making cleaning easier. However, in-vivo studies are needed to confirm the validity

  14. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  15. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  16. Selective etchant for oxide sacrificial material in semiconductor device fabrication

    DOEpatents

    Clews, Peggy J.; Mani, Seethambal S.

    2005-05-17

    An etching composition and method is disclosed for removing an oxide sacrificial material during manufacture of semiconductor devices including micromechanical, microelectromechanical or microfluidic devices. The etching composition and method are based on the combination of hydrofluoric acid (HF) and sulfuric acid (H.sub.2 SO.sub.4). These acids can be used in the ratio of 1:3 to 3:1 HF:H.sub.2 SO.sub.4 to remove all or part of the oxide sacrificial material while providing a high etch selectivity for non-oxide materials including polysilicon, silicon nitride and metals comprising aluminum. Both the HF and H.sub.2 SO.sub.4 can be provided as "semiconductor grade" acids in concentrations of generally 40-50% by weight HF, and at least 90% by weight H.sub.2 SO.sub.4.

  17. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  18. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    NASA Astrophysics Data System (ADS)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  19. [Influence of different porcelain surface treatment method on the bonding of metal brackets to porcelain].

    PubMed

    Fan, Cun-Hui; Chen, Jie; Liu, Xin-Qiang; Ma, Xin

    2005-08-01

    To investigate the influence of different porcelain surface treatment methods on the shear bond strength of metal brackets bonded to porcelain. 80 porcelain facets were divided randomly into two groups according to different adhesive material that was used to bond metal brackets. Adhesive material were Jing-Jin enamel adhesive and light-cured composite resin. Each group was further divided into 4 subgroups according to different surface treatment methods, which were acid etching with 37% phosphoric acid (H3PO4), acid etching with 9.6% hydrofluoric acid (HF), deglazing by grinding and silanating the porcelain surface. All specimens were stored in 37 degrees C water for 24 hours and then the shear bond strength and the porcelain fracture after debonding was determined. The porcelain surfaces after HF etching, H3PO4 etching and deglazing by grinding were examined by scanning electron microscopy respectively. The shear bond strengths in the HF etching groups, the deglazing groups and the silanating groups were much greater than that in the phosphoric etching groups (P < 0.01). Adequate orthodontic bonding strength was achieved both when bonded with light-cured composite resin after deglazing by grinding and when bonded with either of these adhesives after HF etching or surface silanating. There were no differences in the rates of porcelain fractures among groups (P > 0.05). HF etching, deglazing by grinding and silanating can all increase the shear bond strength between metal bracket and porcelain. Surface silanating of porcelain is a better surface treatment when metal brackets bonded to porcelain.

  20. BOND STRENGTH AND MORPHOLOGY OF ENAMEL USING SELF-ETCHING ADHESIVE SYSTEMS WITH DIFFERENT ACIDITIES

    PubMed Central

    Moura, Sandra Kiss; Reis, Alessandra; Pelizzaro, Arlete; Dal-Bianco, Karen; Loguercio, Alessandro Dourado; Arana-Chavez, Victor Elias; Grande, Rosa Helena Miranda

    2009-01-01

    Objectives: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. Material and methods: Composite resin (Filtek Z250) buildups were bonded to untreated (prophylaxis) and treated (burcut or SiC-paper) enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition): Clearfil SE Bond (CSE); OptiBond Solo Plus Self-Etch (OP); AdheSe (AD); Tyrian Self Priming Etching (TY), Adper Scotchbond Multi-Purpose Plus (SBMP) and Adper Single Bond (SB). After storage in water (24 h/37°C), the bonded specimens were sectioned into sticks with 0.8 mm2 cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa) were subjected to two-way ANOVA and Tukey's test (α=0.05). The etching patterns of the adhesive systems were also observed with a scanning electron microscope. Results: The main factor adhesive system was statistically significant (p<0.05). The mean bond strength values (MPa) and standard deviations were: CSE (20.5±3.5), OP (11.3±2.3), AD (11.2±2.8), TY (11.1±3.0), SBMP (21.9±4.0) and SB (24.9±3.0). Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. Conclusion: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed. PMID:19668991

  1. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    PubMed Central

    Amigó, Angélica

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in Ti6Al4V ELI and Ti35Nb10Ta1.5Fe. Samples were etched in a two-step acid treatment. Surface roughness parameters were quantified under a confocal microscope, topography was studied by scanning electron microscopy, and surface composition was analyzed with energy dispersive X-ray spectroscopy. The results revealed that the two-step acid treatment changes the topography of the β alloy, increases the surface area, and changes the chemical composition of the surface. Two differentiated regions were identified in the Ti35Nb10Ta1.5Fe alloy after the acid-etching process: The α + β region with higher values of mean roughness due to the lower chemical resistance of this region; and the β region with lower values of roughness parameters. PMID:29587427

  2. Partially etched Ti3AlC2 as a promising high capacity Lithium-ion battery anode.

    PubMed

    Chen, Xifan; Zhu, Yuanzhi; Zhu, Xiaoquan; Peng, Wenchao; Li, Yang; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2018-06-25

    MXenes, a family of two-dimensional transition-metal carbide and nitride materials, are supposed to be the promising materials in energy storage because of the high electronic conductivity, hydrophilic surfaces and low diffusion barriers. MXenes are generally prepared by removing the "A" elements (A = Al, Si, Sn, etc.) from their corresponding MAX phases by using hydrofluoric acid (HF) and the other etching agents, despite the fact that these "A" elements usually have great volumetric and gravimetric capacities. Herein, we studied the etching progress of Ti3AlC2 and evaluated their anode performance in Lithium-ion batteries. We found that a partially etched sample (0.5h-peTi3C2Tx) showed much higher capacity (160 mA h g-1, 331.6 mA h cm-3 at 1C) when compared with the fully etched Ti3C2Tx (110 mA h g-1, 190.3 mA h cm-3 at 1C). Besides, a 99% capacity retention was observed even after 1000 cycles in the 0.5h-peTi3C2Tx anode. This interesting result can be explained, at least in part, by the alloying of the residue Al element during lithiation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Encapsulants for protecting MEMS devices during post-packaging release etch

    DOEpatents

    Peterson, Kenneth A.

    2005-10-18

    The present invention relates to methods to protect a MEMS or microsensor device through one or more release or activation steps in a "package first, release later" manufacturing scheme: This method of fabrication permits wirebonds, other interconnects, packaging materials, lines, bond pads, and other structures on the die to be protected from physical, chemical, or electrical damage during the release etch(es) or other packaging steps. Metallic structures (e.g., gold, aluminum, copper) on the device are also protected from galvanic attack because they are protected from contact with HF or HCL-bearing solutions.

  4. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  5. Detection of Hydrofluoric Acid by a SiO2 Sol-Gel Coating Fiber-Optic Probe Based on Reflection-Based Localized Surface Plasmon Resonance

    PubMed Central

    Chen, I-Cherng; Lin, Shiu-Shiung; Lin, Tsao-Jen; Du, Je-Kang

    2011-01-01

    A novel fiber-optic probe based on reflection-based localized surface plasmon resonance (LSPR) was developed to quantify the concentration of hydrofluoric acid (HF) in aqueous solutions. The LSPR sensor was constructed with a gold nanoparticle-modified PMMA fiber, integrated with a SiO2 sol-gel coating. This fiber-sensor was utilized to assess the relationship between HF concentration and SiO2 sol-gel layer etching reduction. The results demonstrated the LSPR sensor was capable of detecting HF-related erosion of hydrofluoric acid solutions of concentrations ranging from 1% to 5% using Relative RI Change Rates. The development of the LSPR sensor constitutes the basis of a detector with significant sensitivity for practical use in monitoring HF solution concentrations. PMID:22319388

  6. [Effect of hydrofluoric acid etching time on the resin bond durability of glass ceramic].

    PubMed

    Meng, Xiang-feng; Zhou, Xiao-lu; Luo, Xiao-ping

    2010-05-01

    To analyze the effect of hydrofluoric acid (HFA) etching time on the resin bond durability of glass ceramic. Three groups of samples of machinable glass ceramic (ProCAD) were etched by 4.8% HFA for 0, 30 and 60 s respectively. The roughness parameters (Ra, Sm, S) and surface area of the samples, were measured with a 3D-laser scanning microscope. Then the ceramic surfaces were bonded with four resin cements (silane coupler/resin cement), which were Monobond S/Variolink II, Clearfil Ceramic Primer/Clearfil Esthetic Cement, GC Ceramic Primer/Linkmax HV, and Porcelain Liner M/SuperBond. The micro-bond strengths between the ceramic and the resin were tested at baseline and after the samples had been treated in 30000 thermal cycles. The Ra [(3.89+/-1.94), (12.53+/-0.80), (13.58+/-1.10) microm] and surface area [(7.81+/-2.96), (30.18+/-2.05), (34.16+/-1.97) mm2] of ceramic increased with the increase of HFA etching time. The thermal cycling test reduced the bond strength of all test groups. The bond strength of Monobond S/Variolink II group [(3.59+/-3.51), (16.18+/-2.62), (20.33+/-2.45) MPa] and Clearfil Ceramic Primer/Clearfil Esthetic Cement group [(4.74+/-2.08), (7.77+/-1.55), (13.45+/-3.75) MPa] increased with the increase of HFA etching time; 30 s HFA etching group of Porcelain Liner M/SuperBond had higher bond strength [(22.00+/-1.64) MPa] than its 0 s HFA etching group [(12.96+/-4.17) MPa], and no significant difference was found between the 30 s and 60 s HFA etching groups of Porcelain Liner M/SuperBond [(20.42+/-3.01) MPa]. HFA etching time had no effect on the bond strength of GC Ceramic Primer/Linkmax HV. HFA etching can improve the resin bond durability of glass ceramic, and the etching time is not only related to the change of ceramic surface roughness and area, but also to the characteristics of resins.

  7. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  8. Metal-assisted chemical etching using sputtered gold: a simple route to black silicon

    NASA Astrophysics Data System (ADS)

    Kurek, Agnieszka; Barry, Seán T.

    2011-08-01

    We report an accessible and simple method of producing 'black silicon' with aspect ratios as high as 8 using common laboratory equipment. Gold was sputtered to a thickness of 8 nm using a low-vacuum sputter coater. The structures were etched into silicon substrates using an aqueous H2O2/HF solution, and the gold was then removed using aqua regia. Ultrasonication was necessary to produce columnar structures, and an etch time of 24 min gave a velvety, non-reflective surface. The surface features after 24 min etching were uniformly microstructured over an area of square centimetres.

  9. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  10. In situ chemical functionalization of gallium nitride with phosphonic acid derivatives during etching.

    PubMed

    Wilkins, Stewart J; Greenough, Michelle; Arellano, Consuelo; Paskova, Tania; Ivanisevic, Albena

    2014-03-04

    In situ functionalization of polar (c plane) and nonpolar (a plane) gallium nitride (GaN) was performed by adding (3-bromopropyl) phosphonic acid or propyl phosphonic acid to a phosphoric acid etch. The target was to modulate the emission properties and oxide formation of GaN, which was explored through surface characterization with atomic force microscopy, X-ray photoelectron spectroscopy, photoluminescence (PL), inductively coupled plasma-mass spectrometry, and water contact angle. The use of (3-bromopropyl) phosphonic acid and propyl phosphonic acid in phosphoric acid demonstrated lower amounts of gallium oxide formation and greater hydrophobicity for both sample sets, while also improving PL emission of polar GaN samples. In addition to crystal orientation, growth-related factors such as defect density in bulk GaN versus thin GaN films residing on sapphire substrates were investigated as well as their responses to in situ functionalization. Thin nonpolar GaN layers were the most sensitive to etching treatments due in part to higher defect densities (stacking faults and threading dislocations), which accounts for large surface depressions. High-quality GaN (both free-standing bulk polar and bulk nonpolar) demonstrated increased sensitivity to oxide formation. Room-temperature PL stands out as an excellent technique to identify nonradiative recombination as observed in the spectra of heteroepitaxially grown GaN samples. The chemical methods applied to tune optical and physical properties of GaN provide a quantitative framework for future novel chemical and biochemical sensor development.

  11. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    PubMed

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  12. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study

    PubMed Central

    Mirzakouchaki, Behnam; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-01-01

    Background Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. Material and Methods 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. Results The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. Conclusions The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Key words:Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch. PMID:26855704

  13. An optimized one-step wet etching process of Pb(Zr0.52Ti0.48)O3 thin films for microelectromechanical system applications

    NASA Astrophysics Data System (ADS)

    Che, L.; Halvorsen, E.; Chen, X.

    2011-10-01

    The existence of insoluble residues as intermediate products produced during the wet etching process is the main quality-reducing and structure-patterning issue for lead zirconate titanate (PZT) thin films. A one-step wet etching process using the solutions of buffered HF (BHF) and HNO3 acid was developed for patterning PZT thin films for microelectomechanical system (MEMS) applications. PZT thin films with 1 µm thickness were prepared on the Pt/Ti/SiO2/Si substrate by the sol-gel process for compatibility with Si micromachining. Various compositions of the etchant were investigated and the patterns were examined to optimize the etching process. The optimal result is demonstrated by a high etch rate (3.3 µm min-1) and low undercutting (1.1: 1). The patterned PZT thin film exhibits a remnant polarization of 24 µC cm-2, a coercive field of 53 kV cm-1, a leakage current density of 4.7 × 10-8 A cm-2 at 320 kV cm-1 and a dielectric constant of 1100 at 1 KHz.

  14. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  15. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  16. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    PubMed Central

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  17. Enamel Deproteinization using Papacarie and 10% Papain Gel on Shear Bond Strength of Orthodontic Brackets Before and After Acid Etching.

    PubMed

    Agarwal, R M; Yeluri, R; Singh, C; Munshi, A K

    2015-01-01

    To suggest Papacarie(®) as a new deproteinizing agent in comparison with indigenously prepared 10% papain gel before and after acid etching that may enhance the quality of the bond between enamel surface and composite resin complex. One hundred and twenty five extracted human premolars were utilized and divided into five groups: In the group 1, enamel surface was etched and primer was applied. In group 2, treatment with papacarie(®) for 60 seconds followed by etching and primer application. In group 3, etching followed by treatment with papacarie(®) for 60 seconds and primer application. In group 4, treatment with 10% papain gel for 60 seconds followed by etching and primer application. In group 5, etching followed by treatment with 10% papain gel for 60 seconds and primer application . After bonding the brackets, the mechanical testing was performed using a Universal testing machine. The failure mode was analyzed using an adhesive remnant index. The etching patterns before and after application of papacarie(®) and 10% papain gel was also evaluated using SEM. The values obtained for shear bond strength were submitted to analysis of variance and Tukey test (p < 0.05). It was observed that group 2 and group 4 had the highest shear bond strength and was statistically significant from other groups (p=0.001). Regarding Adhesive remnant index no statistical difference was seen between the groups (p=0.538). Papacarie(®) or 10% papain gel can be used to deproteinize the enamel surface before acid etching to enhance the bond strength of orthodontic brackets.

  18. In vitro remineralization of acid-etched human enamel with Ca 3SiO 5

    NASA Astrophysics Data System (ADS)

    Dong, Zhihong; Chang, Jiang; Deng, Yan; Joiner, Andrew

    2010-02-01

    Bioactive and inductive silicate-based bioceramics play an important role in hard tissue prosthetics such as bone and teeth. In the present study, a model was established to study the acid-etched enamel remineralization with tricalcium silicate (Ca 3SiO 5, C 3S) paste in vitro. After soaking in simulated oral fluid (SOF), Ca-P precipitation layer was formed on the enamel surface, with the prolonged soaking time, apatite layer turned into density and uniformity and thickness increasingly from 250 to 350 nm for 1 day to 1.7-1.9 μm for 7 days. Structure of apatite crystals was similar to that of hydroxyapatite (HAp). At the same time, surface smoothness of the remineralized layer is favorable for the oral hygiene. These results suggested that C 3S treated the acid-etched enamel can induce apatite formation, indicating the biomimic mineralization ability, and C 3S could be used as an agent of inductive biomineralization for the enamel prosthesis and protection.

  19. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Inorganic acid emission factors of semiconductor manufacturing processes.

    PubMed

    Chein, HungMin; Chen, Tzu Ming; Aggarwal, Shankar Gopala; Tsai, Chuen-Jinn; Huang, Chun-Chao

    2004-02-01

    A huge amount of inorganic acids can be produced and emitted with waste gases from integrated circuit manufacturing processes such as cleaning and etching. Emission of inorganic acids from selected semiconductor factories was measured in this study. The sampling of the inorganic acids was based on the porous metal denuders, and samples were then analyzed by ion chromatography. The amount of chemical usage was adopted from the data that were reported to the Environmental Protection Bureau in Hsin-chu County according to the Taiwan Environmental Protection Agency regulation. The emission factor is defined as the emission rate (kg/month) divided by the amount of chemical usage (L/month). Emission factors of three inorganic acids (i.e., hydrofluoric acid [HF], hydrochloric acid [HCl], and sulfuric acid [H2SO4]) were estimated by the same method. The emission factors of HF and HCl were determined to be 0.0075 kg/L (coefficient of variation [CV] = 60.7%, n = 80) and 0.0096 kg/L (CV = 68.2%, n = 91), respectively. Linear regression equations are proposed to fit the data with correlation coefficient square (R2) = 0.82 and 0.9, respectively. The emission factor of H2SO4, which is in the droplet form, was determined to be 0.0016 kg/L (CV = 99.2%, n = 107), and its R2 was 0.84. The emission profiles of gaseous inorganic acids show that HF is the dominant chemical in most of the fabricators.

  1. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    PubMed

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  2. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  3. Effects of wet etch processing on laser-induced damage of fused silica surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Battersby, C.L.; Kozlowski, M.R.; Sheehan, L.M.

    1998-12-22

    Laser-induced damage of transparent fused silica optical components by 355 nm illumination occurs primarily at surface defects produced during the grinding and polishing processes. These defects can either be surface defects or sub-surface damage.Wet etch processing in a buffered hydrogen fluoride (HF) solution has been examined as a tool for characterizing such defects. A study was conducted to understand the effects of etch depth on the damage threshold of fused silica substrates. The study used a 355 nm, 7.5 ns, 10 Hz Nd:YAG laser to damage test fused silica optics through various wet etch processing steps. Inspection of the surfacemore » quality was performed with Nomarski microscopy and Total Internal Reflection Microscopy. The damage test data and inspection results were correlated with polishing process specifics. The results show that a wet etch exposes subsurface damage while maintaining or improving the laser damage performance. The benefits of a wet etch must be evaluated for each polishing process.« less

  4. A comparative study of shear bond strength of orthodontic bracket after acid-etched and Er:YAG treatment on enamel surface

    NASA Astrophysics Data System (ADS)

    Leão, Juliana C.; Mota, Cláudia C. B. O.; Cassimiro-silva, Patricia F.; Gomes, Anderson S. L.

    2016-02-01

    This study aimed to evaluate the shear bond strength (SBS) of teeth prepared for orthodontic bracket bonding with 37% phosphoric acid and Er:YAG laser. Forty bovine incisors were divided into two groups. In Group I, the teeth were conditioned with 37% phosphoric acid and brackets were bonded with Transbond XT; in Group II, the teeth were irradiated with Er:YAG and bonding with Transbond XT. After SBS test, the adhesive remnant index was determined. Adhesion to dental hard tissues after Er:YAG laser etching was inferior to that obtained after acid etching but exceeded what is believed to be clinically sufficient strength, and therefore can be used in patients.

  5. Micro/nanofabrication of poly({sub L}-lactic acid) using focused ion beam direct etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa; Nagasawa, Naotsugu; Taguchi, Mitsumasa

    2013-10-14

    Micro/nanofabrication of biocompatible and biodegradable poly({sub L}-lactic acid) (PLLA) using focused Ga ion beam direct etching was evaluated for future bio-device applications. The fabrication performance was determined with different ion fluences and fluxes (beam currents), and it was found that the etching speed and fabrication accuracy were affected by irradiation-induced heat. Focused ion beam (FIB)-irradiated surfaces were analyzed using micro-area X-ray photoelectron spectroscopy. Owing to reactions such as the physical sputtering of atoms and radiation-induced decomposition, PLLA was gradually carbonized with increasing C=C bonds. Controlled micro/nanostructures of PLLA were fabricated with C=C bond-rich surfaces expected to have good cell attachmentmore » properties.« less

  6. Influence of HF acid catalyst concentration on properties of aerogel low-k thin films

    NASA Astrophysics Data System (ADS)

    Gaikwad, A. S.; Gupta, S. A.; Mahajan, A. M.

    2016-08-01

    The effect of hydrofluoric acid (HF) catalyst concentration in coating solution on chemical, physical and structural properties of silica aerogel thin films was investigated. The aerogel films were synthesized by using a sol-gel spin coating method followed by aging in ethanol and CO2 supercritical drying. The refractive index (RI) is observed to be reduced from 1.32 to 1.13 and porosity percentage increased from 30.21% to 71.64% in accordance with increasing HF concentration. Deposition of silica aerogel was confirmed from Fourier transform infrared spectroscopy measurement. The nanoporous nature of deposited films was confirmed from field effect scanning electron microscopy and observed pore diameter is in the range of 3.33 to 6.69 nm. The nanoporous nature of the film was also validated from atomic force microscopy and root mean square roughness was observed to be increased from 2.31 nm to 3.2 nm with increasing acid catalyst concentration in the coating solution. The calculated dielectric constant from CV measurement of fabricated metal-insulator-semiconductor structure for the silica aerogel formed at 0.8 ml HF concentration is observed to be 1.73. These deposited nanoporous silica aerogel low-k films with lower k value and smaller pore size have application as interlayer dielectric materials to minimize the disadvantages of porous materials.

  7. Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

    NASA Astrophysics Data System (ADS)

    Kuo, Tai-Chen; Shih, Tzu-Lang; Su, Yin-Hsien; Lee, Wen-Hsi; Current, Michael Ira; Samukawa, Seiji

    2018-04-01

    In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a "damage-free" condition or to approximate "reactive-ion-etching-like" conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the electrical performance of the gate structure.

  8. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  9. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel.

    PubMed

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups.

  10. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  11. Influence of previous acid etching on bond strength of universal adhesives to enamel and dentin.

    PubMed

    Torres, Carlos Rocha Gomes; Zanatta, Rayssa Ferreira; Silva, Tatiane Josefa; Huhtala, Maria Filomena Rocha Lima; Borges, Alessandra Bühler

    2017-01-01

    The objective of this study was to evaluate the effect of acid pretreatment on the bond strength of composite resin bonded to enamel and dentin with 2 different universal self-etching adhesives. The null hypothesis was that the acid treatment performed prior to adhesive application would not significantly change the bond strength to enamel or dentin for either universal adhesive tested. A sample of 112 bovine incisors were selected and embedded in acrylic resin. Half were ground until a flat enamel surface was obtained, and the other half were polished until a 6 × 6-mm area of dentin was exposed, resulting into 2 groups (n = 56). The enamel and dentin groups were divided into 2 subgroups according to the adhesive system applied: Futurabond U or Scotchbond Universal. Each of these subgroups was divided into 2 additional subgroups (n = 14); 1 subgroup received phosphoric acid pretreatment, and 1 subgroup did not. The bond strength was assessed with a microtensile test. Data from enamel and dentin specimens were analyzed separately using 1-way analysis of variance. The acid pretreatment did not significantly change the bond strength of the adhesives tested, either to enamel (P = 0.4161) or to dentin (P = 0.4857). The acid etching pretreatment did not affect the bond strength to dentin and enamel when the tested universal multipurpose adhesive systems were used.

  12. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    NASA Astrophysics Data System (ADS)

    Zamuruyev, Konstantin O.; Zrodnikov, Yuriy; Davis, Cristina E.

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µm minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µm. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µm in borosilicate glass), feature under etch ratio in isotropic etch (~1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility.

  13. Characterization of selectively etched halloysite nanotubes by acid treatment

    NASA Astrophysics Data System (ADS)

    Garcia-Garcia, Daniel; Ferri, Jose M.; Ripoll, Laura; Hidalgo, Montserrat; Lopez-Martinez, Juan; Balart, Rafael

    2017-11-01

    Halloysite nanotubes (HNTs) are a type of naturally occurring inorganic nanotubes that are characterized by a different composition between their external and internal walls. The internal walls are mainly composed of alumina whilst external walls are composed of silica. This particular structure offers a dual surface chemistry that allows different selective surface treatments which can be focused on increasing the lumen, increasing porosity, etc. In this work, HNTs were chemically treated with different acids (sulphuric, acetic and acrylic acid), for 72 h at a constant temperature of 50 °C. As per the obtained results, the treatment with sulphuric acid is highly aggressive and the particular shape of HNTs is almost lost, with a remarkable increase in porosity. The BET surface area increases from 52.9 (untreated HNTs) up to 132.4 m2 g-1 with sulphuric acid treatment, thus showing an interesting potential in the field of catalysis. On the other hand, the treatment with acetic acid led to milder effects with a noticeable increase in the lumen diameter that changed from 13.8 nm (untreated HNTs) up to 18.4 nm which the subsequent increase in the loading capacity by 77.8%. The aluminium content was measured by X-ray fluorescence (XRF) and laser induced breakdown spectroscopy (LIBS). The final results using two systems, suggest a good correlation between the acid strength and the aluminium reduction. Consequently, is possible to conclude that new applications for HNTs can be derived from selective etching with acids. Sulphuric acid widens the potential of HNTs in the field of catalysis while weak acids such as acetic and acrylic acids give a controlled and homogeneous lumen increase with the corresponding increase in the loading capacity.

  14. Ceramic Surface Treatment with a Single-component Primer: Resin Adhesion to Glass Ceramics.

    PubMed

    Prado, Mayara; Prochnow, Catina; Marchionatti, Ana Maria Estivalete; Baldissara, Paolo; Valandro, Luiz Felipe; Wandscher, Vinicius Felipe

    2018-04-19

    To evaluate the microshear bond strength (μSBS) of composite cement bonded to two machined glass ceramics and its durability, comparing conventional surface conditioning (hydrofluoric acid + silane) to a one-step primer (Monobond Etch & Prime). Machined slices of lithium disilicate ceramic (LDC) (IPS e.max CAD) and feldspathic ceramic (FC) (VITA Mark II) glass ceramics were divided into two groups (n = 10) according to two factors: 1. surface treatment: HF+S (ca 5% hydrofluoric acid [IPS Ceramic Etching GEL] + silane coupling agent [SIL; Monobond Plus]) or MEP (single-component ceramic conditioner; Monobond Etch & Prime); 2. storage condition: baseline (without aging; tested 24 h after cementing) or aged (70 days of water storage + 12,000 thermal cycles). Composite cement (Multilink Automix, Ivoclar Vivadent) was applied to starch matrices on the treated ceramic surfaces and photoactivated. A μSBS test was performed (0.5 mm/min) and the failure pattern was determined. Contact angle and micromorphological analyses were also performed. Data were analyzed with Student's t-test (α = 5%). For both ceramic materials, HF+S resulted in higher mean μSBS (MPa) at baseline (LDC: HF+S 21.2 ± 2.2 > MEP 10.4 ± 2.4; FC: HF+S 19.6 ± 4.3 > MEP 13.5 ± 5.4) and after aging (LDC: HF+S 14.64 ± 2.31 > MEP 9 ± 3.4; FC HF+S: 14.73 ± 3.33 > MEP 11.1 ± 3.3). HF+S resulted in a statistically significant decrease in mean μSBS after aging (p = 0.0001), while MEP yielded no significant reduction. The main failure type was adhesive between composite cement and ceramic. HF+S resuted in the lowest contact angle. Hydrofluoric acid + silane resulted in higher mean μSBS than Monobond Etch & Prime for both ceramics; however, Monobond Etch & Prime had stable bonding after aging.

  15. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  16. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    NASA Astrophysics Data System (ADS)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  17. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel

    PubMed Central

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    ABSTRACT Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. Objective The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Material and Methods Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). Results The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. Conclusion There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups. PMID:27008254

  18. Flower-like ZnO nanorod arrays grown on HF-etched Si (111): constraining relation between ZnO seed layer and Si (111)

    NASA Astrophysics Data System (ADS)

    Brahma, Sanjaya; Liu, C.-W.; Huang, R.-J.; Chang, S.-J.; Lo, K.-Y.

    2015-11-01

    We demonstrate the formation of self-assembled homogenous flower-like ZnO nanorods over a ZnO seed layer deposited on a HF-etched Si (111) substrate. The typical flower-like morphology of ZnO nanorod arrays is ascribed to the formation of the island-like seed layer which is deposited by the drop method followed by annealing at 300 °C. The island-like ZnO seed layer consists of larger ZnO grains, and is built by constraining of the Si (111) surface due to pattern matching. Pattern matching of Si with ZnO determines the shape and size of the seed layer and this controls the final morphology of ZnO nanorods to be either flower like or vertically aligned. The high quality of the island-like ZnO seed layer enhances the diameter and length of ZnO nanorods. Besides, while the amorphous layer formed during the annealing process would influence the strained ZnO grain, that subsequent amorphous layer will not block the constraining between the ZnO grain and the substrate.

  19. Cell Adhesion and in Vivo Osseointegration of Sandblasted/Acid Etched/Anodized Dental Implants

    PubMed Central

    Kim, Mu-Hyon; Park, Kyeongsoon; Choi, Kyung-Hee; Kim, Soo-Hong; Kim, Se Eun; Jeong, Chang-Mo; Huh, Jung-Bo

    2015-01-01

    The authors describe a new type of titanium (Ti) implant as a Modi-anodized (ANO) Ti implant, the surface of which was treated by sandblasting, acid etching (SLA), and anodized techniques. The aim of the present study was to evaluate the adhesion of MG-63 cells to Modi-ANO surface treated Ti in vitro and to investigate its osseointegration characteristics in vivo. Four different types of Ti implants were examined, that is, machined Ti (control), SLA, anodized, and Modi-ANO Ti. In the cell adhesion study, Modi-ANO Ti showed higher initial MG-63 cell adhesion and induced greater filopodia growth than other groups. In vivo study in a beagle model revealed the bone-to-implant contact (BIC) of Modi-ANO Ti (74.20% ± 10.89%) was much greater than those of machined (33.58% ± 8.63%), SLA (58.47% ± 12.89), or ANO Ti (59.62% ± 18.30%). In conclusion, this study demonstrates that Modi-ANO Ti implants produced by sandblasting, acid etching, and anodizing improve cell adhesion and bone ongrowth as compared with machined, SLA, or ANO Ti implants. These findings suggest that the application of Modi-ANO surface treatment could improve the osseointegration of dental implant. PMID:25955650

  20. Integration of Electrodeposited Ni-Fe in MEMS with Low-Temperature Deposition and Etch Processes

    PubMed Central

    Schiavone, Giuseppe; Murray, Jeremy; Perry, Richard; Mount, Andrew R.; Desmulliez, Marc P. Y.; Walton, Anthony J.

    2017-01-01

    This article presents a set of low-temperature deposition and etching processes for the integration of electrochemically deposited Ni-Fe alloys in complex magnetic microelectromechanical systems, as Ni-Fe is known to suffer from detrimental stress development when subjected to excessive thermal loads. A selective etch process is reported which enables the copper seed layer used for electrodeposition to be removed while preserving the integrity of Ni-Fe. In addition, a low temperature deposition and surface micromachining process is presented in which silicon dioxide and silicon nitride are used, respectively, as sacrificial material and structural dielectric. The sacrificial layer can be patterned and removed by wet buffered oxide etch or vapour HF etching. The reported methods limit the thermal budget and minimise the stress development in Ni-Fe. This combination of techniques represents an advance towards the reliable integration of Ni-Fe components in complex surface micromachined magnetic MEMS. PMID:28772683

  1. Shear bond strength of metal brackets to feldspathic porcelain treated by Nd:YAG laser and hydrofluoric acid.

    PubMed

    Hosseini, Mohammad Hashem; Sobouti, Farhad; Etemadi, Ardavan; Chiniforush, Nasim; Shariati, Mahsa

    2015-02-01

    Adult orthodontic treatment requires bonding orthodontic attachment to dental restorations. Ceramics are commonly used as esthetic restorative materials for the crowns and bridges. The present study evaluated the shear bond strength of metal orthodontic brackets to the feldspathic porcelain surfaces following conditioning by different powers of neodymium-doped yttrium aluminum garnet (Nd:YAG) laser and hydrofluoric acid as a conventional method. Seventy-two glazed porcelain samples were prepared and randomly attributed to six equal groups of 12. In the conventional hydrofluoric (HF) group, the specimens were etched by 9.6% hydrofluoric acid for 4 min. In laser groups, samples were conditioned by 0.75-, 1-, 1.25-, 1.5-, and 2-W Nd:YAG laser for 10 s. Metal brackets were bonded to porcelain samples and after being stored in distilled water for 24 h, they were subjected to thermocycling for 500 cycles. The debonding was carried out by a Zwick testing machine. The data were statistically analyzed by ANOVA and Tamhane multiple comparisons tests. The mean ± SD of the shear bond strength in the laser group 0.75, 1, 1.25, 1.5, and 2 W and HF group was 2.2 ± 0.9, 4.2 ± 1.1, 4.9 ± 2.4, 7 ± 1.7, 9.6 ± 2.7, and 9.4 ± 2.5, respectively. Together with the increased power of laser, the mean shear bond strength was increased continuously and no significant differences were found between the HF group and the laser groups with power of 1.5 or 2 W. Also, there was no significant difference between all test groups in ARI scores. There was no significant difference between bond strength of laser groups with power of 1.5 and 2 W and HF-etched group. So, Nd:YAG laser with appropriate parameters can be used as an alternative method for porcelain etching.

  2. Comparison of Shear Bond Strength of Orthodontic Brackets Bonded to Enamel Prepared By Er:YAG Laser and Conventional Acid-Etching

    PubMed Central

    Hosseini, M.H.; Namvar, F.; Chalipa, J.; Saber, K.; Chiniforush, N.; Sarmadi, S.; Mirhashemi, A.H.

    2012-01-01

    Introduction: The purpose of this study was to compare shear bond strength (SBS) of orthodontic brackets bonded to enamel prepared by Er:YAG laser with two different powers and conventional acid-etching. Materials and Methods: Forty-five human premolars extracted for orthodontic purposes were randomly assigned to three groups based on conditioning method: Group 1- conventional etching with 37% phosphoric acid; Group 2- irradiation with Er:YAG laser at 1 W; and Group 3- irradiation with Er:YAG laser at 1.5 W. Metal brackets were bonded on prepared enamel using a light-cured composite. All groups were subjected to thermocycling process. Then, the specimens mounted in auto-cure acryle and shear bond strength were measured using a universal testing machine with a crosshead speed of 0.5 mm per second. After debonding, the amount of resin remaining on the teeth was determined using the adhesive remnant index (ARI) scored 1 to 5. One-way analysis of variance was used to compare shear bond strengths and the Kruskal-Wallis test was performed to evaluate differences in the ARI for different etching types. Results: The mean and standard deviation of conventional acid-etch group, 1W laser group and 1.5W laser group was 3.82 ± 1.16, 6.97 ± 3.64 and 6.93 ± 4.87, respectively. Conclusion: The mean SBS obtained with an Er:YAG laser operated at 1W or 1.5W is approximately similar to that of conventional etching. However, the high variability of values in bond strength of irradiated enamel should be considered to find the appropriate parameters for applying Er:YAG laser as a favorable alternative for surface conditioning. PMID:22924098

  3. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  4. Ion beam enhanced etching of LiNbO 3

    NASA Astrophysics Data System (ADS)

    Schrempel, F.; Gischkat, Th.; Hartung, H.; Kley, E.-B.; Wesch, W.

    2006-09-01

    Single crystals of z- and x-cut LiNbO 3 were irradiated at room temperature and 15 K using He +- and Ar +-ions with energies of 40 and 350 keV and ion fluences between 5 × 10 12 and 5 × 10 16 cm -2. The damage formation investigated with Rutherford backscattering spectrometry (RBS) channeling analysis depends on the irradiation temperature as well as the ion species. For instance, He +-irradiation of z-cut material at 300 K provokes complete amorphization at 2.0 dpa (displacements per target atom). In contrast, 0.4 dpa is sufficient to amorphize the LiNbO 3 in the case of Ar +-irradiation. Irradiation at 15 K reduces the number of displacements per atom necessary for amorphization. To study the etching behavior, 400 nm thick amorphous layers were generated via multiple irradiation with He +- and Ar +-ions of different energies and fluences. Etching was performed in a 3.6% hydrofluoric (HF) solution at 40 °C. Although the etching rate of the perfect crystal is negligible, that of the amorphized regions amounts to 80 nm min -1. The influence of the ion species, the fluence, the irradiation temperature and subsequent thermal treatment on damage and etching of LiNbO 3 are discussed.

  5. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  6. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    PubMed

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  7. The (001) 3C SiC surface termination and band structure after common wet chemical etching procedures, stated by XPS, LEED, and HREELS

    NASA Astrophysics Data System (ADS)

    Tengeler, Sven; Kaiser, Bernhard; Ferro, Gabriel; Chaussende, Didier; Jaegermann, Wolfram

    2018-01-01

    The (001) surface of cubic silicon carbide (3C SiC) after cleaning, Ar sputtering and three different wet chemical etching procedures was thoroughly investigated via (angle resolved) XPS, HREELS, and LEED. While Ar sputtering was found to be unsuitable for surface preparation, all three employed wet chemical etching procedures (piranha/NH4F, piranha/HF, and RCA) provide a clean surface. HF as oxide removal agent tends to result in fluorine traces on the sample surface, despite thorough rinsing. All procedures yield a 1 × 1 Si-OH/C-H terminated surface. However, the XPS spectra reveal some differences in the resulting surface states. NH4F for oxide removal produces a flat band situation, whereas the other two procedures result in a slight downward (HF) or upward (RCA) band bending. Because the band bending is small, it can be concluded that the number of unsaturated surface defects is low.

  8. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  9. Light Enhanced Hydrofluoric Acid Passivation: A Sensitive Technique for Detecting Bulk Silicon Defects

    PubMed Central

    Grant, Nicholas E.

    2016-01-01

    A procedure to measure the bulk lifetime (>100 µsec) of silicon wafers by temporarily attaining a very high level of surface passivation when immersing the wafers in hydrofluoric acid (HF) is presented. By this procedure three critical steps are required to attain the bulk lifetime. Firstly, prior to immersing silicon wafers into HF, they are chemically cleaned and subsequently etched in 25% tetramethylammonium hydroxide. Secondly, the chemically treated wafers are then placed into a large plastic container filled with a mixture of HF and hydrochloric acid, and then centered over an inductive coil for photoconductance (PC) measurements. Thirdly, to inhibit surface recombination and measure the bulk lifetime, the wafers are illuminated at 0.2 suns for 1 min using a halogen lamp, the illumination is switched off, and a PC measurement is immediately taken. By this procedure, the characteristics of bulk silicon defects can be accurately determined. Furthermore, it is anticipated that a sensitive RT surface passivation technique will be imperative for examining bulk silicon defects when their concentration is low (<1012 cm-3). PMID:26779939

  10. Influence of EDTA in poly(acrylic acid) binder for enhancing electrochemical performance and thermal stability of silicon anode

    NASA Astrophysics Data System (ADS)

    Lee, Sun-Young; Choi, Yunju; Hong, Kyong-Soo; Lee, Jung Kyoo; Kim, Ju-Young; Bae, Jong-Seong; Jeong, Euh Duck

    2018-07-01

    The crucial roles of ethylenediaminetetraacetic acid (EDTA) in the poly(acrylic acid) (PAA)-binder system were investigated for the high electrochemical performance silicon anode in lithium-ion batteries. The EDTA supports the construction of a mechanically robust network through the formation of sbndCOOH linkage with the SiO2 layer of the Si nanoparticles. The mixture of the PAA/EDTA binder and the conductive agent exhibited an improved elastic modulus and peeling strength. The creation of hydrogen fluoride (HF) was effectively suppressed through the elimination of the H2O. An H2O-phosphorous pentafluoride (PF5) reaction, which is known for its use in the etching of metal oxides including its creation of the solid electrolyte interphase (SEI) layer, generates the HF. A remarkably sound cyclability with a discharge capacity of 2540 mA h g-1 was achieved as a result of the synergistic effect between robust mechanical properties and suppression of the HF creation for the stability of the SEI layer.

  11. Photocatalytic Active Bismuth Fluoride/Oxyfluoride Surface Crystallized 2Bi2O3-B2O3 Glass-Ceramics

    NASA Astrophysics Data System (ADS)

    Sharma, Sumeet Kumar; Singh, V. P.; Chauhan, Vishal S.; Kushwaha, H. S.; Vaish, Rahul

    2018-03-01

    The present article deals with 2Bi2O3-B2O3 (BBO) glass whose photocatalytic activity has been enhanced by the method of wet etching using an aqueous solution of hydrofluoric acid (HF). X-ray diffraction of the samples reveals that etching with an aqueous solution of HF leads to the formation of BiF3 and BiO0.1F2.8 phases. Surface morphology obtained from scanning electron microscopy show granular and plate-like morphology on the etched glass samples. Rhodamine 6G (Rh 6G) has been used to investigate the photocatalytic activity of the as-quenched and etched glasses. Enhanced visible light-driven photocatalytic activity was observed in HF etched glass-ceramics compared to the as-quenched BBO glass. Contact angle of the as-quenched glass was 90.2°, which decreases up to 20.02° with an increase in concentration of HF in the etching solution. Enhanced photocatalytic activity and increase in the hydrophilic nature suggests the efficient treatment of water pollutants by using the prepared surface crystallized glass-ceramics.

  12. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    PubMed

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  13. Fabrication mechanism of friction-induced selective etching on Si(100) surface

    PubMed Central

    2012-01-01

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems. PMID:22356699

  14. Comparison of bond strength and surface morphology of dental enamel for acid and Nd-YAG laser etching

    NASA Astrophysics Data System (ADS)

    Parmeswearan, Diagaradjane; Ganesan, Singaravelu; Ratna, P.; Koteeswaran, D.

    1999-05-01

    Recently, laser pretreatment of dental enamel has emerged as a new technique in the field of orthodontics. However, the changes in the morphology of the enamel surface is very much dependent on the wavelength of laser, emission mode of the laser, energy density, exposure time and the nature of the substance absorbing the energy. Based on these, we made a comparative in vitro study on laser etching with acid etching with reference to their bond strength. Studies were conducted on 90 freshly extracted, non carious, human maxillary or mandibular anteriors and premolars. Out of 90, 60 were randomly selected for laser irradiation. The other 30 were used for conventional acid pretreatment. The group of 60 were subjected to Nd-YAG laser exposure (1060 nm, 10 Hz) at differetn fluences. The remaining 30 were acid pretreated with 30% orthophosphoric acid. Suitable Begg's brackets were selected and bound to the pretreated surface and the bond strength were tested using Instron testing machine. The bond strength achieved through acid pretreatment is found to be appreciably greater than the laser pretreated tooth. Though the bond strength achieved through the acid pretreated tooth is found to be significantly greater than the laser pretreated specimens, the laser pretreatement is found to be successful enough to produce a clinically acceptable bond strength of > 0.60 Kb/mm. Examination of the laser pre-treated tooth under SEM showed globule formation which may produce the mechanical interface required for the retention of the resin material.

  15. A plasmaless, photochemical etch process for porous organosilicate glass films

    NASA Astrophysics Data System (ADS)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  16. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac){sub 2} reactions and enhancement by H{sub 2} and Ar plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish

    2016-09-15

    Thermal atomic layer etching (ALE) of crystalline aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac){sub 2}] as the reactants. Film thicknesses were monitored versus number of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlO{sub x}N{sub y} layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE experiments established the HF and Sn(acac){submore » 2} exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF{sub 3} layer on the surface. The metal precursor, Sn(acac){sub 2}, then accepts fluorine from the AlF{sub 3} layer and transfers an acac ligand to the AlF{sub 3} layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac){sub 3} or AlF(acac){sub 2}. Adding a H{sub 2} plasma exposure after each Sn(acac){sub 2} exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H{sub 2} plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H{sub 2} plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac){sub 2} exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species.« less

  17. Mechanisms of LiCoO2 Cathode Degradation by Reaction with HF and Protection by Thin Oxide Coatings.

    PubMed

    Tebbe, Jonathon L; Holder, Aaron M; Musgrave, Charles B

    2015-11-04

    Reactions of HF with uncoated and Al and Zn oxide-coated surfaces of LiCoO2 cathodes were studied using density functional theory. Cathode degradation caused by reaction of HF with the hydroxylated (101̅4) LiCoO2 surface is dominated by formation of H2O and a LiF precipitate via a barrierless reaction that is exothermic by 1.53 eV. We present a detailed mechanism where HF reacts at the alumina coating to create a partially fluorinated alumina surface rather than forming AlF3 and H2O and thus alumina films reduce cathode degradation by scavenging HF and avoiding H2O formation. In contrast, we find that HF etches monolayer zinc oxide coatings, which thus fail to prevent capacity fading. However, thicker zinc oxide films mitigate capacity loss by reacting with HF to form a partially fluorinated zinc oxide surface. Metal oxide coatings that react with HF to form hydroxyl groups over H2O, like the alumina monolayer, will significantly reduce cathode degradation.

  18. The dual role of silver during silicon etching in HF solution.

    PubMed

    Abouda-Lachiheb, Manel; Nafie, Nesma; Bouaicha, Mongi

    2012-08-13

    It was reported that during silicon etching, silver was subjected to have a controversial role. Some researchers debate that silver protects silicon, and, at the same time, other ones confirm that silver catalyzes silicon underneath. In this paper, we give experimental results arguing the dual role that silver has during the formation of silicon nanostructures. We give a proof that the role of silver depends on the experimental details and the intrinsic properties of silver during its deposition on the silicon wafer. Through our investigations, we tracked the silver particles that indicated which mechanism is involved. Characterizations of the prepared samples were made using a scanning electron microscope.

  19. The dual role of silver during silicon etching in HF solution

    PubMed Central

    2012-01-01

    It was reported that during silicon etching, silver was subjected to have a controversial role. Some researchers debate that silver protects silicon, and, at the same time, other ones confirm that silver catalyzes silicon underneath. In this paper, we give experimental results arguing the dual role that silver has during the formation of silicon nanostructures. We give a proof that the role of silver depends on the experimental details and the intrinsic properties of silver during its deposition on the silicon wafer. Through our investigations, we tracked the silver particles that indicated which mechanism is involved. Characterizations of the prepared samples were made using a scanning electron microscope. PMID:22888998

  20. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  1. [Influence of different surface treatments on porcelain surface topography].

    PubMed

    Tai, Yinxia; Zhu, Xianchun; Sen, Yan; Liu, Chang; Zhang, Xian; Shi, Xueming

    2013-02-01

    To evaluate the influence of different surface treatments on porcelain surface topography. Metal ceramic prostheses in 6 groups were treated according to the different surface treatment methods, and the surface topography was observed through scanning electron microscope (SEM). Group A was the control one (untreated), group B was etched by 9.6% hydrofluoric acid(HF), group C was deglazed by grinding and then etched by 9.6% HF, group D was treated with Nd: YAG laser irradiation(0.75 W) and HF etching, group E was treated with Nd: YAG laser irradiation (1.05 W) and HF etching, and group F was treated with laser irradiation (1.45 W) and HF etching. Surface topography was different in different groups. A lot of inerratic cracks with the shapes of rhombuses and grid, and crater with a shape of circle were observed on the ceramic surface after treatment with energy parameters of 1.05 W Nd: YAG laser irradiation and 9.6% HF etching (group E). Surface topography showed a lot of concaves on the inner wall of the cracks, and the concaves with diameter of 1-5 microm could be observed on the inner wall of the holes, which had a diameter of 20 microm under SEM. The use of Nd: YAG laser irradiation with the energy parameters of 1.05 W and the HF with a concentration of 9.6% can evenly coarsen the porcelain surface, that is an effective surface treatment method.

  2. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    PubMed Central

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  3. Statistical Modeling of Zr/Hf Extraction using TBP-D2EHPA Mixtures

    NASA Astrophysics Data System (ADS)

    Rezaeinejhad Jirandehi, Vahid; Haghshenas Fatmehsari, Davoud; Firoozi, Sadegh; Taghizadeh, Mohammad; Keshavarz Alamdari, Eskandar

    2012-12-01

    In the present work, response surface methodology was employed for the study and prediction of Zr/Hf extraction curves in a solvent extraction system using D2EHPA-TBP mixtures. The effect of change in the levels of temperature, nitric acid concentration, and TBP/D2EHPA ratio (T/D) on the Zr/Hf extraction/separation was studied by the use of central composite design. The results showed a statistically significant effect of T/D, nitric acid concentration, and temperature on the extraction percentage of Zr and Hf. In the case of Zr, a statistically significant interaction was found between T/D and nitric acid, whereas for Hf, both interactive terms between temperature and T/D and nitric acid were significant. Additionally, the extraction curves were profitably predicted applying the developed statistical regression equations; this approach is faster and more economical compared with experimentally obtained curves.

  4. Wet etching technique for fabrication of a high-quality plastic optical fiber sensor.

    PubMed

    Zhao, Mingfu; Dai, Lang; Zhong, Nianbing; Wang, Zhengkun; Chen, Ming; Li, Bingxin; Luo, Binbin; Tang, Bin; Shi, Shenghui; Song, Tao; Zou, Xue

    2017-11-01

    In this study, a simple wet etching technique is developed by employing aqueous solutions of acetic acid and ultrasonic irradiation for the fabrication of a high-quality plastic optical fiber (POF) sensor. The effects of acetic acid concentration and temperature and ultrasonic power on the etching rate and surface morphology of the etched POFs are investigated. The transmission spectrum and sensitivity of the etched POF sensors are evaluated using glucose solutions. We discovered that the POF sensors, which are fabricated using an aqueous solution of acetic acid with a concentration of 80 vol. % under an ultrasonic power of 130 W and temperature of 25°C, exhibit good light transmission and a high sensitivity of 9.10  [(RIU)(g/L)] -1 in the glucose solutions.

  5. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  6. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  7. Effect of the calcium silicate-based sealer removal protocols and time-point of acid etching on the dentin adhesive interface.

    PubMed

    Morais, Jéssika Mayhara Pereira; Victorino, Keli Regina; Escalante-Otárola, Wilfredo Gustavo; Jordão-Basso, Keren Cristina Fagundes; Palma-Dibb, Regina Guenka; Kuga, Milton Carlos

    2018-06-15

    The aim of the study was to evaluate the effects when acid etching on the dentin surface was immediately performed (I) or 7 days (D) after calcium silicate-based sealer (MTA Fillapex) removal, using 95% ethanol (E) or xylol (X). First study, 60 bovine incisor dentin specimens were impregnated with sealer and divided into six groups (n = 10): (EI), E + I; (XI), X + I; (ED), E + D; (XD), X + D, (UN), untreated and (MR), mechanical removal of sealer. Scanning electron microscopy (SEM) images (500×) were obtained from each specimen and scores assessed the sealer residues persistence. Second study, 60 specimens were similarly treated; however, the specimens were restored with composite resin after the removal protocols. Hybrid layer formation was evaluated using confocal laser microscopy (1,024×). Third study, 60 specimens were similarly obtained and subjected to micro-shear test to evaluate the effects of removal protocols on the bond strength of etch-and- rinse adhesive system to dentin. XI showed the highest persistence of sealer residues (p < .05), similar to MR (p > .05). EI showed the greatest hybrid layer extension, except in relation to UN (p < .05). XI and MR presented the lowest bond strength adhesive system to dentin (p < .05). Acid etching immediately after calcium silicate-based endodontic sealer removal using xylol presented the highest residues persistence and negatively affected the adhesive interface between dentin and etch-and-rinse adhesive system. © 2018 Wiley Periodicals, Inc.

  8. Acid Solutions for Etching Corrosion-Resistant Metals

    NASA Technical Reports Server (NTRS)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  9. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  10. Redox buffered hydrofluoric acid etchant for the reduction of galvanic attack during release etching of MEMS devices having noble material films

    DOEpatents

    Hankins, Matthew G [Albuquerque, NM

    2009-10-06

    Etchant solutions comprising a redox buffer can be used during the release etch step to reduce damage to the structural layers of a MEMS device that has noble material films. A preferred redox buffer comprises a soluble thiophosphoric acid, ester, or salt that maintains the electrochemical potential of the etchant solution at a level that prevents oxidation of the structural material. Therefore, the redox buffer preferentially oxidizes in place of the structural material. The sacrificial redox buffer thereby protects the exposed structural layers while permitting the dissolution of sacrificial oxide layers during the release etch.

  11. Microdroplet-etched highly birefringent low-loss fiber tapers.

    PubMed

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  12. The chemistry screening for ultra low-k dielectrics plasma etching

    NASA Astrophysics Data System (ADS)

    Zotovich, A.; Krishtab, M.; Lazzarino, F.; Baklanov, M. R.

    2014-12-01

    Nowadays, some of the important problems in microelectronics technological node scaling down are related to interconnect delay, dynamic power consumption and crosstalk. This compels introduction and integration of new materials with low dielectric permittivity (low-k materials) as insulator in interconnects. One of such materials under consideration for sub 10 nm technology node is a spin-coated organosilicate glass layer with ordered porosity (37-40%) and a k-value of 2.2 (OSG 2.2). High porosity leads to significant challenges during the integration and one of them is a material degradation during the plasma etching. The low-k samples have been etched in a CCP double frequency plasma chamber from TEL. Standard recipes developed for microporous materials with k<2.5 and based on mixture of C4F8 and CF4 with N2, O2 and Ar were found significantly damaging for high-porous ULK materials. The standard etch recipe was compared with oxygen free etch chemistries based on mixture CF4 with CH2F2 and Ar assuming that the presence of oxygen in the first recipe will have significant negative impact in high porous ULK materials. The film damage has been analyzed using FTIR spectroscopy and the k-value has been extracted by capacitance CV-measurements. There was indirectly shown that vacuum ultraviolet photons cause the main damage of low-k, whereas radicals and ions are not so harmful. Trench structures have been etched in low-k film and cross-SEM analysis with and without HF dipping has been performed to reveal patterning capability and visualize the sidewall damage and. The bottom roughness was analyzed by AFM.

  13. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model.

    PubMed

    Saksø, H; Jakobsen, T; Saksø, M; Baas, J; Jakobsen, Ss; Soballe, K

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants. In a randomized, paired animal study, four porous coated Ti implants were inserted into the femurs of each of ten dogs. PC (Porous Coating; control)PC+PSHA (Plasma Sprayed Hydroxyapatite; positive control)PC+ET (Acid Etch)PC+ET+PLCN (Plasma Cleaning) After four weeks mechanical fixation was evaluated by push-out test and osseointegration by histomorphometry. The PSHA-coated implants were better osseointegrated than the three other groups on outer surface implant porosity (p<0.05) while there was no statistical difference in deep surface implant porosity when compared with nontreated implant. Within the deep surface implant porosity, there was more newly formed bone in the control group compared to the ET and ET+PCLN groups (p<0.05). In all compared groups, there was no statistical difference in any biomechanical parameter. In terms of osseointegration on outer surface implant porosity PC+PSHA was superior to the other three groups. Neither the acid etching nor the plasma cleaning offered any advantage in terms of implant osseointegration. There was no statistical difference in any of the biomechanical parameters among all groups in the press-fit model at 4 weeks of evaluation time.

  14. Refractive index and temperature-sensing characteristics of a cladding-etched thin core fiber interferometer

    NASA Astrophysics Data System (ADS)

    Wang, Weiying; Dong, Xinran; Chu, Dongkai; Hu, Youwang; Sun, Xiaoyan; Duan, Ji-An

    2018-05-01

    A high refractive index (RI) sensor based on an in-line Mach-Zehnder mode interferometer (MZI) is proposed. The sensor was realized by splicing a 2-cm length of cladding-etched thin core fiber (TCF) between two single mode fibers (SMFs). The TCF-structured MZI exhibited good fringe visibility as high as 15 dB in air and the high RI sensitivity attained a value of 1143.89 nm/RIU at a RI of 1.447. The experimental data revealed that the MZI has high RI sensitivity after HF etching realizing 2599.66 nm/RIU. Studies were performed on the temperature characteristics of the device. It is anticipated that this high RI sensor will be deployed in new and diverse applications in the chemical and biological fields.

  15. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  16. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  17. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  18. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    PubMed

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  19. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  20. Assessment of microleakage of class V restored by resin composite and resin-modified glass ionomer and pit and fissure resin-based sealants following Er:YAG laser conditioning and acid etching: in vitro study

    PubMed Central

    Luong, Emilie; Shayegan, Amir

    2018-01-01

    Aim The aim of this study was to make a comparison between microleakage of conventionally restored class V cavities using acid etchant and the ones conditioned by erbium-doped yttrium aluminum garnet (Er:YAG) laser, and also to assess and compare the effectiveness of enamel surface treatments of occlusal pits and fissures by acid etching and conditioned by Er:YAG laser-etch. Materials and methods Seventy-two extracted third molars were used in this study. The samples were divided into two major groups: class V cavities and pit and fissure sealants. Each subgroup was divided into conventional acid etching, Er:YAG laser conditioning and conventional acid etching, and combination with Er:YAG laser conditioning (n=12). The teeth were placed in 2% methylene blue dye solution, were sectioned, and were evaluated according to the dye penetration criteria. Two samples per subgroup were chosen for scanning electron microscopic (SEM) analysis. Results There was a significant difference between occlusal and cervical margin groups. Laser conventional composite cementum group showed more microleakage values compared to other groups. There was no significant difference between occlusal margin groups. However, there was a significant difference between cervical margin groups in terms of microleakage. In sealant groups, there was a significant difference between laser and conventional with/without laser treatment groups in terms of microleakage. Conclusion Based on the results reported in this study, it can be concluded that the application of the Er:YAG laser beneath the resin composite, the resin-modified glass ionomers (GIs), and the fissure sealant placement may be an alternative enamel and dentin etching method to acid etching. PMID:29881311

  1. Comparison of removal torques between laser-etched and modified sandblasted acid-etched Ti implant surfaces in rabbit tibias

    PubMed Central

    Al Awamleh, Abdel Ghani Ibrahim

    2018-01-01

    PURPOSE The purpose of this study was to analyze the effects of two different implant surface treatments on initial bone connection by comparing the Removal Torque Values (RTQs) at 7 and 10 days after chemically modified, sandblasted, large-grit and acid-etched (modSLA), and Laser-etched (LE) Ti implant placements. MATERIALS AND METHODS Twenty modSLA and 20 LE implants were installed on the left and right tibias of 20 adult rabbits. RTQs were measured after 7 and 10 days in 10 rabbits each. Scanning electron microscope (SEM) photographs of the two implants were observed by using Quanta FEG 650 from the FEI company (Hillsboro, OR, USA). Analyses of surface elements and components were conducted using energy dispersive spectroscopy (EDS, Horiba, Kyoto, Japan). RESULTS The mean RTQs were 12.29 ± 0.830 and 12.19 ± 0.713 Ncm after 7 days (P=.928) and 16.47 ± 1.324 and 16.17 ± 1.165 Ncm after 10 days (P=.867) for LE and modSLA, respectively, indicating no significant inter-group differences. Pore sizes in the LE were 40 µm and consisted of numerous small pores, whereas pore sizes in the modSLA were 5 µm. In the EDS analysis, Ti, O, and C were the only three elements found in the LE surfaces. Na, Ca, Cl, and K were also observed in modSLA, in addition to Ti, O, and C. CONCLUSION The implants showed no significant difference in biomechanical bond strength to bone in early-stage osseointegration. LE implant can be considered an excellent surface treatment method in addition to the modSLA implant and can be applied to the early loading of the prosthesis clinically. PMID:29503717

  2. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    PubMed

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; p<0.05). Regardless of the adhesive, the treatment with potassium oxalate reduced the adhesives' KHN (p<0.05), with the OS system exhibiting the lowest KHN compared with the MP and SB systems.

  3. Morphological and chemical characterization of the dentin/resin cement interface produced with a self-etching primer.

    PubMed

    Walker, Mary P; Wang, Yong; Spencer, Paulette

    2002-01-01

    The purpose of this study was to analyze a resin cement/dentin interface by comparing the diffusion of a resin cement into dentin surfaces pretreated with a self-etching primer with or without pretreatment by conventional acid etching. Dentin surfaces of 8 unerupted human third molars were treated with a self-etch primer (Panavia 21) with or without conventional phosphoric acid pretreatment. Panavia 21 resin cement was applied according to manufacturer's instructions. Dentin/resin cement interface sections from each tooth were examined with scanning electron microscopy and micro-Raman spectroscopy. When the self-etch primer was used following conventional acid pretreatment, the resin cement did not penetrate to the depth of the zone of demineralized dentin, leaving a substantial area of exposed dentin matrix at the dentin/cement interface. In contrast, there was substantial resin cement diffusion throughout the demineralized dentin when the self-etch primer was used without acid etching pretreatment. The in vitro evaluation of resin cement penetration throughout the zone of demineralized dentin is an important step in identifying sites of exposed dentin matrix that may promote postoperative sensitivity and may leave the dentin/resin cement interface vulnerable to premature degradation under clinical conditions. In this study, the self-etch primer used alone produced substantial resin cement penetration and left no exposed dentin matrix at the dentin/resin cement interface.

  4. Femtosecond laser etching of dental enamel for bracket bonding.

    PubMed

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  5. Finite element analysis of hollow out-of-plane HfO2 microneedles for transdermal drug delivery applications.

    PubMed

    Zhang, Yong-Hua; A Campbell, Stephen; Karthikeyan, Sreejith

    2018-02-17

    Transdermal drug delivery (TDD) based on microneedles is an excellent approach due to its advantages of both traditional transdermal patch and hypodermic syringes. In this paper, the fabrication method of hollow out-of-layer hafnium oxide (HfO 2 ) microneedles mainly based on deep reactive ion etching of silicon and atomic layer deposition of HfO 2  is described, and the finite element analysis of the microneedles based on ANSYS software is also presented. The fabrication process is simplified by using a single mask. The finite element analysis of a single microneedle shows that the flexibility of the microneedles can be easily adjusted for various applications. The finite element analysis of a 3 × 3 HfO 2 microneedle array applied on the skin well explains the "bed of nail" effect, i.e., the skin is not liable to be pierced when the density of microneedles in array increases. The presented research work here provides useful information for design optimization of HfO 2 microneedles used for TDD applications.

  6. AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic

    NASA Astrophysics Data System (ADS)

    Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.

    2001-10-01

    The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.

  7. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    NASA Astrophysics Data System (ADS)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in <1 0 0> direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  8. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  9. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  10. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    PubMed

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  11. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Solokhin, Alexandr Yu.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2017-01-01

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed. PMID:28336849

  12. Electronic structure of stoichiometric and oxygen-deficient ferroelectric Hf0.5Zr0.5O2.

    PubMed

    Perevalov, T V; Islamov, D R; Gritsenko, V A; Prosvirin, I P

    2018-05-11

    The electronic structure of oxygen-deficient Hf 0.5 Zr 0.5 O 2 in the non-centrosymmetric orthorhombic (ferroelectric) phase was investigated by means of x-ray photoelectron spectroscopy and first-principle density functional theory calculations. It was established that a peak in the photoelectron spectra observed at an energy above the valence band top of ferroelectric Hf 0.5 Zr 0.5 O 2 in ion-etched samples was due to oxygen vacancies. A method for evaluating the oxygen vacancies concentration in the material from the comparison of experimental and theoretical photoelectron spectra of the valence band is proposed. It is found that oxygen polyvacancies are not formed in ferroelectric Hf 0.5 Zr 0.5 O 2 : an energy-favorable spatial arrangement of several oxygen vacancies in the crystal corresponds to the configuration formed by noninteracting vacancies distant from each other. The oxygen vacancies in five charged states were simulated. The electron levels in the bandgap caused by charged oxygen vacancies indicate that any type of oxygen vacancies in ferroelectric Hf 0.5 Zr 0.5 O 2 can capture both electrons and holes, i.e. can act as an amphoteric localization center for charge carriers.

  13. Electronic structure of stoichiometric and oxygen-deficient ferroelectric Hf0.5Zr0.5O2

    NASA Astrophysics Data System (ADS)

    Perevalov, T. V.; Islamov, D. R.; Gritsenko, V. A.; Prosvirin, I. P.

    2018-05-01

    The electronic structure of oxygen-deficient Hf0.5Zr0.5O2 in the non-centrosymmetric orthorhombic (ferroelectric) phase was investigated by means of x-ray photoelectron spectroscopy and first-principle density functional theory calculations. It was established that a peak in the photoelectron spectra observed at an energy above the valence band top of ferroelectric Hf0.5Zr0.5O2 in ion-etched samples was due to oxygen vacancies. A method for evaluating the oxygen vacancies concentration in the material from the comparison of experimental and theoretical photoelectron spectra of the valence band is proposed. It is found that oxygen polyvacancies are not formed in ferroelectric Hf0.5Zr0.5O2: an energy-favorable spatial arrangement of several oxygen vacancies in the crystal corresponds to the configuration formed by noninteracting vacancies distant from each other. The oxygen vacancies in five charged states were simulated. The electron levels in the bandgap caused by charged oxygen vacancies indicate that any type of oxygen vacancies in ferroelectric Hf0.5Zr0.5O2 can capture both electrons and holes, i.e. can act as an amphoteric localization center for charge carriers.

  14. The behaviour of REE and Zr-Hf fractionation in the volcanic waters of Nevado del Ruiz system (Colombia)

    NASA Astrophysics Data System (ADS)

    Inguaggiato, Claudio; Censi, Paolo; Zuddas, Pierpaolo; Makario Londoño, John; Chacón, Zoraida; Alzate, Diego; Brusca, Lorenzo; D'Alessandro, Walter

    2015-04-01

    The geochemical behaviour of Rare Earth Element (REE), Zr and Hf have been investigated in the thermal waters of Nevado del Ruiz volcanic system. These fluids are characterised by a wide range of pH ranging between 1.0 and 8.8. The acidic waters are sulphate dominated with different Cl/SO4 ratios. The Nevado del Ruiz waters allowed to investigate the behaviour of investigated elements in a wide spectrum of pH and chemical composition of water. The important role of the pH and the ionic complexes have been evidenced in the distribution of REE, Zr and Hf in the aqueous phase. The pH rules the precipitation of authigenic oxyhydroxides of Fe, Al producing changes in REE, Zr, Hf amount and strong anomalies of Cerium and Europium. Y-Ho and Zr-Hf (twin pairs) have different behaviour in strong acidic waters with respect to the water with higher pH. Yttrium and Ho have the same behaviour of Zr and Hf in waters with pH near neutral-to-neutral, showing super-chondritic ratios. The twin pairs showed to be sensitive to the co-precipitation and/or adsorption onto the surface of authigenic particulate suggesting an enhanced scavenging of Ho and Hf respect to Y and Zr, leading to super-chondritic ratios. In acidic waters a different behaviour of twin pairs occurs with chondritic Y/Ho ratios (reflecting the Y/Ho ratio of average local rock) and sub-chondritic Zr/Hf ratios. For the first time, Zr and Hf have been investigated in natural acidic fluids to understand the behaviour of these elements in extreme acidic conditions and different major anions chemistry. Zr/Hf molar ratio changes from 4.75 to 49.29 in water with pH<3.6. In strong acidic waters, a different fractionation of Zr and Hf have been recognised as function of major anion contents (Cl and SO4), suggesting the formation of complexes leading to sub-chondritic Zr/Hf molar ratios.

  15. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  16. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    PubMed

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p < 0.05). The highest SBS was found after additional phosphoric acid treatment in dentin groups (p < 0.05). There were no statistically significant differences between the laser-etched and non-etched groups in enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  17. Ultrasonic recovery of copper and iron through the simultaneous utilization of Printed Circuit Boards (PCB) spent acid etching solution and PCB waste sludge.

    PubMed

    Huang, Zhiyuan; Xie, Fengchun; Ma, Yang

    2011-01-15

    A method was developed to recover the copper and iron from Printed Circuit Boards (PCB) manufacturing generated spent acid etching solution and waste sludge with ultrasonic energy at laboratory scale. It demonstrated that copper-containing PCB spent etching solution could be utilized as a leaching solution to leach copper from copper contained PCB waste sludge. It also indicated that lime could be used as an alkaline precipitating agent in this method to precipitate iron from the mixture of acidic PCB spent etching solution and waste sludge. This method provided an effective technique for the recovery of copper and iron through simultaneous use of PCB spent acid solution and waste sludge. The leaching rates of copper and iron enhanced with ultrasound energy were reached at 93.76% and 2.07% respectively and effectively separated copper from iron. Followed by applying lime to precipitate copper from the mixture of leachate and rinsing water produced by the copper and iron separation, about 99.99% and 1.29% of soluble copper and calcium were settled as the solids respectively. Furthermore the settled copper could be made as commercial rate copper. The process performance parameters studied were pH, ultrasonic power, and temperature. This method provided a simple and reliable technique to recover copper and iron from waste streams generated by PCB manufacturing, and would significantly reduce the cost of chemicals used in the recovery. Copyright © 2010 Elsevier B.V. All rights reserved.

  18. ZrB 2-HfB 2 solid solutions as electrode materials for hydrogen reaction in acidic and basic solutions

    DOE PAGES

    Sitler, Steven J.; Raja, Krishnan S.; Charit, Indrajit

    2016-11-09

    Spark plasma sintered transition metal diborides such as HfB 2, ZrB 2 and their solid solutions were investigated as electrode materials for electrochemical hydrogen evolutions reactions (HER) in 1 M H 2SO 4 and 1 M NaOH electrolytes. HfB 2 and ZrB 2 formed complete solid solutions when mixed in 1:1, 1:4, and 4:1 ratios and they were stable in both electrolytes. The HER kinetics of the diborides were slower in the basic solution than in the acidic solutions. The Tafel slopes in 1 M H 2SO 4 were in the range of 0.15 - 0.18 V/decade except for puremore » HfB 2 which showed a Tafel slope of 0.38 V/decade. In 1 M NaOH the Tafel slopes were in the range of 0.12 - 0.27 V/decade. The composition of Hf xZr 1-xB 2 solid solutions with x = 0.2 - 0.8, influenced the exchange current densities, overpotentials and Tafel slopes of the HER. As a result, the EIS data were fitted with a porous film equivalent circuit model in order to better understand the HER behavior. In addition, modeling calculations, using density functional theory approach, were carried out to estimate the density of states and band structure of the boride solid solutions.« less

  19. Wide angle near-field optical probes by reverse tube etching.

    PubMed

    Patanè, S; Cefalì, E; Arena, A; Gucciardi, P G; Allegrini, M

    2006-04-01

    We present a simple modification of the tube etching process for the fabrication of fiber probes for near-field optical microscopy. It increases the taper angle of the probe by a factor of two. The novelty is that the fiber is immersed in hydrofluoric acid and chemically etched in an upside-down geometry. The tip formation occurs inside the micrometer tube cavity formed by the polymeric jacket. By applying this approach, called reverse tube etching, to multimode fibers with 200/250 microm core/cladding diameter, we have fabricated tapered regions featuring high surface smoothness and average cone angles of approximately 30 degrees . A simple model based on the crucial role of the gravity in removing the etching products, explains the tip formation process.

  20. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  1. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  2. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  3. Method for etching thin films of niboium and niobium-containing compounds for preparing superconductive circuits

    DOEpatents

    Kampwirth, R.T.; Schuller, I.K.; Falco, C.M.

    1979-11-23

    An improved method of preparing thin film superconducting electrical circuits of niobium or niobium compounds is provided in which a thin film of the niobium or niobium compound is applied to a nonconductive substrate and covered with a layer of photosensitive material. The sensitive material is in turn covered with a circuit pattern exposed and developed to form a mask of the circuit in photoresistive material on the surface of the film. The unmasked excess niobium film is removed by contacting the substrate with an aqueous etching solution of nitric acid, sulfuric acid, and hydrogen fluoride, which will rapidly etch the niobium compound without undercutting the photoresist. A modification of the etching solution will permit thin films to be lifted from the substrate without further etching.

  4. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  5. Monomeric and dimeric structures, electronic properties and vibrational spectra of azelaic acid by HF and B3LYP methods

    NASA Astrophysics Data System (ADS)

    Kumar, Amarendra; Narayan, Vijay; Prasad, Onkar; Sinha, Leena

    2012-08-01

    Quantum chemical calculations of energies, dipole moment, polarizability, hyperpolarizability and vibrational wavenumbers of Azelaic acid (AZA) were carried out by using ab initio HF and B3LYP methods with 6-311++G(d,p) basis set. Hydrogen-bonded dimer of AZA, optimized by counterpoise correction, has also been studied by HF and B3LYP at the 6-311++G(d,p) level and the effects of molecular association through Osbnd H⋯O hydrogen bonding have been discussed. A complete vibrational analysis of AZA has been performed and assignments are made on the basis of potential energy distribution. The comparisons and assignments of the vibrational frequencies indicate that the experimental spectra also correspond acceptably with those of theoretically simulated spectra except the hydrogen-bonded coupled infrared vibrations.

  6. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  7. Fabrication and analysis of single-crystal KTiOPO₄ films with thicknesses in the micrometer range.

    PubMed

    Ma, Changdong; Lu, Fei; Xu, Bo; Fan, Ranran

    2016-02-01

    Single-crystal potassium titanyl phosphate (KTiOPO4, KTP) films with thicknesses less than 5 μm are obtained by using helium (He) implantation combined with ion-beam-enhanced etching. A heavily damaged layer created by a 4×10(16)  cm(-2) fluence of 2 MeV He implantation is removed by means of wet chemical etching in hydrofluoric acid (HF). Thus, free-standing films of KTP with thicknesses in the range of 3-5 μm are obtained. The etching rate can be adjusted over a wide range by choosing temperature and HF concentration, as well as annealing conditions. Sharp etching edges and the smooth surface of the film indicate that a high selective-etching rate is achieved in the damaged layer, and the remaining part of the crystal is undamaged. X-ray and Raman-scattering results prove that KTP films have good single-crystal properties.

  8. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Kun; Wang, Dan; Yang, Ping

    Graphical abstract: Anatase TiO{sub 2} nanocaps prepared by HF-assisted chemical etching method exhibit enhanced photocatalytic activity compared with commercial P25 because of HF served as an etching agent to remove doped impurities. - Highlights: • Anatase TiO{sub 2} nanocaps were synthesized by HF etching process. • The optimal conditions of experiment are 700 °C calcination and 0.2 mL HF solution. • The photocatalytic properties was studied upon UV and Visible irradiation. • The unique TiO{sub 2} nanocaps structure shows excellent photocatalytic activity. - Abstract: Anatase titanium dioxide (TiO{sub 2}) nanocaps were created via a four-step process including the preparation ofmore » SiO{sub 2} spheres, the deposition of a TiO{sub 2} layer to fabricate SiO{sub 2}@TiO{sub 2} composite spheres, the calcination for obtaining the crystal structure of anatase phase, and hydrofluoric acid (HF) etching to dissolve SiO{sub 2} cores. The SiO{sub 2}@TiO{sub 2} spheres calcined at 700 °C revealed fine photocatalytic activity. Interestingly, most of samples transformed into TiO{sub 2} nanocaps via HF etching, and TiO{sub 2} nanocaps prepared using optimal conditions exhibited quick degradation (k is 0.052 min{sup −1}) compared with commercial P25 (k is 0.030 min{sup −1}) and the TiO{sub 2} nanostructures etched by a NaOH solution. The excellent photocatalytic performance is attributed to its unique hollow hemispherical nanocaps structure, which is in favor of making full use of incident light. The photocatalysis phenomenon in visible light was also observed after depositing Au nanoparticles on anantase TiO{sub 2} nanocaps.« less

  9. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    NASA Astrophysics Data System (ADS)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  10. Enamel and dentin bond strengths of a new self-etch adhesive system.

    PubMed

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  11. Comparison of shear bond strength and surface structure between conventional acid etching and air-abrasion of human enamel.

    PubMed

    Olsen, M E; Bishara, S E; Damon, P; Jakobsen, J R

    1997-11-01

    Recently, air-abrasion technology has been examined for potential applications within dentistry, including the field of orthodontics. The purpose of this study was to compare the traditional acid-etch technique with an air-abrasion surface preparation technique, with two different sizes of abrading particles. The following parameters were evaluated: (a) shear bond strength, (b) bond failure location, and (c) enamel surface preparation, as viewed through a scanning electron microscope. Sixty extracted human third molars were pumiced and divided into three groups of 20. The first group was etched with a 37% phosphoric acid gel for 30 seconds, rinsed for 30 seconds, and dried for 20 seconds. The second and third groups were air-abraded with (a) a 50 microm particle and (b) a 90 microm particle of aluminum oxide, with the Micro-etcher microabrasion machine (Danville Engineering Inc.). All three groups had molar stainless steel orthodontic brackets bonded to the buccal surface of each tooth with Transbond XT bonding system (3M Unitek). A Zwick Universal Testing Machine (Calitek Corp.) was used to determine shear bond strengths. The analysis of variance was used to compare the three groups. The Adhesive Remnant Index (ARI) was used to evaluate the residual adhesive on the enamel after bracket removal. The chi square test was used to evaluate differences in the ARI scores among the groups. The significance for all tests was predetermined at p < or = 0.05. The results indicated that there was a significant difference in shear bond strength among the three groups (p = 0.0001). The Duncan Multiple Range test showed a significant decrease in shear bond strength in the air-abraded groups. The chi square test revealed significant differences among the ARI scores of the acid-etched group and the air-abraded groups (chi(2) = 0.0001), indicating no adhesive remained on the enamel surface after debonding when air-abrasion was used. In conclusion, the current findings indicate that

  12. Platinum-catalyzed hydrolysis etching of SiC in water: A density functional theory study

    NASA Astrophysics Data System (ADS)

    Van Bui, Pho; Toh, Daisetsu; Isohashi, Ai; Matsuyama, Satoshi; Inagaki, Kouji; Sano, Yasuhisa; Yamauchi, Kazuto; Morikawa, Yoshitada

    2018-05-01

    A comprehensive study of the physicochemical interactions and the reaction mechanism of SiC etching with water by Pt catalysts can reveal key details about the surface treatment and catalytic phenomena at interfaces. Therefore, density functional theory simulations were performed to study the kinetics of Pt-assisted water dissociation and breaking of a Si–C bond compared to the HF-assisted mechanism. These calculations carefully considered the elastic and chemical interaction energies at the Pt–SiC interface, activation barriers of Si–C bond dissociation, and the catalytic role of Pt. It was found that the Pt-catalyzed etching of SiC in water is initiated via hydrolysis reactions that break the topmost Si–C bonds. The activation barrier strongly depends on the elastic and chemical interactions. However, chemical interactions are a dominant factor and mainly contribute to the lowering of the activation barrier, resulting in an increased rate of reaction.

  13. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  14. Effect of helium ion beam treatment on wet etching of silicon dioxide

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Grigoryev, E. A.; Sharov, T. V.; Baraban, A. P.

    2018-03-01

    We investigated the effect of helium ion beam treatment on the etching rate of silicon dioxide in a water based solution of hydrofluoric acid. A 460-nm-thick silicon dioxide film on silicon was irradiated with helium ions having energies of 20 keV and 30 keV with ion fluences ranging from 1014 cm-2 to 1017 cm-2. The dependence of the etching rate on depth was obtained and compared with the depth distribution of ion-induced defects, which was obtained from numerical simulation. Irradiation with helium ions results in an increase of the etching rate of silicon dioxide. The dependence of the etching rate on the calculated concentration of ion-induced defects is described.

  15. Hf layer thickness dependence of resistive switching characteristics of Ti/Hf/HfO2/Au resistive random access memory device

    NASA Astrophysics Data System (ADS)

    Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso

    2018-06-01

    Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.

  16. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  17. Comparison of fabrication methods for microstructured deep UV multimode waveguides based on fused silica

    NASA Astrophysics Data System (ADS)

    Elmlinger, Philipp; Schreivogel, Martin; Schmid, Marc; Kaiser, Myriam; Priester, Roman; Sonström, Patrick; Kneissl, Michael

    2016-04-01

    The suitability of materials for deep ultraviolet (DUV) waveguides concerning transmittance, fabrication, and coupling properties is investigated and a fused silica core/ambient air cladding waveguide system is presented. This high refractive index contrast system has far better coupling efficiency especially for divergent light sources like LEDs and also a significantly smaller critical bending radius compared to conventional waveguide systems, as simulated by ray-tracing simulations. For the fabrication of 300-ffm-thick multimode waveguides a hydrouoric (HF) acid based wet etch process is compared to selective laser etching (SLE). In order to fabricate thick waveguides out of 300-ffm-thick silica wafers by HF etching, two masking materials, LPCVD silicon nitride and LPCVD poly silicon, are investigated. Due to thermal stress, the silicon nitride deposited wafers show cracks and even break. Using poly silicon as a masking material, no cracks are observed and deep etching in 50 wt% HF acid up to 180 min is performed. While the masked and unmasked silica surface is almost unchanged in terms of roughness, notching defects occur at the remaining polysilicon edge leading to jagged sidewalls. Using SLE, waveguides with high contour accuracy are fabricated and the DUV guiding properties are successfully demonstrated with propagation losses between 0.6 and 0:8 dB=mm. These values are currently limited by sidewall scattering losses.

  18. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    PubMed

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  19. Effect of enamel etching time on roughness and bond strength.

    PubMed

    Barkmeier, Wayne W; Erickson, Robert L; Kimmes, Nicole S; Latta, Mark A; Wilwerding, Terry M

    2009-01-01

    The current study examined the effect of different enamel conditioning times on surface roughness and bond strength using an etch-and-rinse system and four self-etch adhesives. Surface roughness (Ra) and composite to enamel shear bond strengths (SBS) were determined following the treatment of flat ground human enamel (4000 grit) with five adhesive systems: (1) Adper Single Bond Plus (SBP), (2) Adper Prompt L-Pop (PLP), (3) Clearfil SE Bond (CSE), (4) Clearfil S3 Bond (CS3) and (5) Xeno IV (X4), using recommended treatment times and an extended treatment time of 60 seconds (n = 10/group). Control groups were also included for Ra (4000 grit surface) and SBS (no enamel treatment and Adper Scotchbond Multi-Purpose Adhesive). For surface roughness measurements, the phosphoric acid conditioner of the SBP etch-and-rinse system was rinsed from the surface with an air-water spray, and the other four self-etch adhesive agents were removed with alternating rinses of water and acetone. A Proscan 2000 non-contact profilometer was used to determine Ra values. Composite (Z100) to enamel bond strengths (24 hours) were determined using Ultradent fixtures and they were debonded with a crosshead speed of 1 mm/minute. The data were analyzed with ANOVA and Fisher's LSD post-hoc test. The etch-and- rinse system (SBP) produced the highest Ra (microm) and SBS (MPa) using both the recommended treatment time (0.352 +/- 0.028 microm and 40.5 +/- 6.1 MPa) and the extended treatment time (0.733 +/- 0.122 microm and 44.2 +/- 8.2 MPa). The Ra and SBS of the etch-and-rinse system were significantly greater (p < 0.05) than all the self-etch systems and controls. Increasing the treatment time with phosphoric acid (SBP) and PLP produced greater surface roughness (p < 0.05) but did not result in significantly higher bond strengths (p > 0.05).

  20. Is laser conditioning a valid alternative to conventional etching for aesthetic brackets?

    PubMed

    Sfondrini, M F; Calderoni, G; Vitale, M C; Gandini, P; Scribante, A

    2018-03-01

    ER:Yag lasers have been described as a more conservative alternative to conventional acid-etching enamel conditioning technique, when bonding conventional metallic orthodontic brackets. Since the use of aesthetic orthodontic brackets is constantly increasing, the purpose of the present report has been to test laser conditioning with different aesthetic brackets. Study Design: Five different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were tested for shear bond strength and Adhesive Remnant Index scores using two different enamel conditioning techniques (acid etching and ER:Yag laser application). Two hundred bovine incisors were extracted, cleaned and embedded in resin. Specimens were then divided into 10 groups with random tables. Half of the specimens were conditioned with conventional orthophosphoric acid gel, the other half with ER:Yag laser. Different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were then bonded to the teeth. Subsequently all groups were tested in shear mode with a Universal Testing Machine. Shear bond strength values and adhesive remnant index scores were recorded. Statistical analysis was performed. When considering conventional acid etching technique, sapphire, polyoxymethylene and sintered ceramic brackets exhibited the highest SBS values. Lowest values were reported for microfilled copolymer and glass fiber appliances. A significant decrease in SBS values after laser conditioning was reported for sapphire, polyoxymethylene and sintered ceramic brackets, whereas no significant difference was reported for microfilled copolymer and glass fiber brackets. Significant differences in ARI scores were also reported. Laser etching can significantly reduce bonding efficacy of sapphire, polyoxymethylene and sintered ceramic brackets.

  1. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  2. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  3. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  4. Enzyme-enhanced fluorescence detection of DNA on etched optical fibers.

    PubMed

    Niu, Shu-yan; Li, Quan-yi; Ren, Rui; Zhang, Shu-sheng

    2009-05-15

    A novel DNA biosensor based on enzyme-enhanced fluorescence detection on etched optical fibers was developed. The hybridization complex of DNA probe and biotinylated target was formed on the etched optical fiber, and was then bound with streptavidin labeled horseradish peroxidase (streptavidin-HRP). The target DNA was quantified through the fluorescent detection of bi-p,p'-4-hydroxyphenylacetic acid (DBDA) generated from the substrate 4-hydroxyphenylacetic acid (p-HPA) under the catalysis of HRP, with a detection limit of 1 pM and a linear range from 1.69 pM to 169 pM. It is facile to regenerate this sensor through surface treatment with concentrated urea solution. It was discovered that the sensor can retain 70% of its original activity after three detection-regeneration cycles.

  5. Facile fabrication of superhydrophobic surfaces from austenitic stainless steel (AISI 304) by chemical etching

    NASA Astrophysics Data System (ADS)

    Kim, Jae-Hun; Mirzaei, Ali; Kim, Hyoun Woo; Kim, Sang Sub

    2018-05-01

    Stainless steels are among the most common engineering materials and are used extensively in humid areas. Therefore, it is important that these materials must be robust to humidity and corrosion. This paper reports the fabrication of superhydrophobic surfaces from austenitic stainless steel (type AISI 304) using a facile two-step chemical etching method. In the first step, the stainless steel plates were etched in a HF solution, followed by a fluorination process, where they showed a water contact angle (WCA) of 166° and a sliding angle of 5° under the optimal conditions. To further enhance the superhydrophobicity, in the second step, they were dipped in a 0.1 wt.% NaCl solution at 100 °C, where the WCA was increased to 168° and the sliding angle was decreased to ∼2°. The long-term durability of the fabricated superhydrophobic samples for 1 month storage in air and water was investigated. The potential applicability of the fabricated samples was demonstrated by the excellent superhydrophobicity after 1 month. In addition, the self-cleaning properties of the fabricated superhydrophobic surface were also demonstrated. This paper outlines a facile, low-cost and scalable chemical etching method that can be adopted easily for large-scale purposes.

  6. Improved bonding of adhesive resin to sintered porcelain with the combination of acid etching and a two-liquid silane conditioner.

    PubMed

    Kato, H; Matsumura, H; Ide, T; Atsuta, M

    2001-01-01

    This study determined the bond strengths of adhesive resins joined to a feldspathic porcelain (VMK 68) for the purpose of developing the most durable surface preparation for the porcelain. Three porcelain surfaces-ground, air-abraded with alumina, and etched with hydrofluoric acid-were prepared. A two-liquid porcelain conditioner that contained both 4-methacryloyloxyethyl trimellitate anhydride (4-META) and a silane coupler (Porcelain Liner M) was used as the priming agent. Each of the two liquid components of the conditioner was also used individually in order to examine the effects of the respective chemical ingredients on adhesive bonding. Two methyl methacrylate (MMA)-based resins initiated with tri-n-butylborane (TBB) either with or without 4-META (MMA-TBB and 4-META/MMA-TBB resins) were used as the luting agents. Shear bond strengths were determined both before and after thermocycling. Shear testing results indicated that thermocycling was effective for disclosing poor bonding systems, and that both mechanical and chemical retention were indispensable for bonding the porcelain. Of the combinations assessed, etching with hydrofluoric acid followed by two-liquid priming with the Porcelain Liner M material generated the most durable bond strength (33.3 MPa) for the porcelain bonded with the 4-META/MMA-TBB resin (Super-Bond C&B).

  7. Advanced Mitigation Process (AMP) for Improving Laser Damage Threshold of Fused Silica Optics

    NASA Astrophysics Data System (ADS)

    Ye, Xin; Huang, Jin; Liu, Hongjie; Geng, Feng; Sun, Laixi; Jiang, Xiaodong; Wu, Weidong; Qiao, Liang; Zu, Xiaotao; Zheng, Wanguo

    2016-08-01

    The laser damage precursors in subsurface of fused silica (e.g. photosensitive impurities, scratches and redeposited silica compounds) were mitigated by mineral acid leaching and HF etching with multi-frequency ultrasonic agitation, respectively. The comparison of scratches morphology after static etching and high-frequency ultrasonic agitation etching was devoted in our case. And comparison of laser induce damage resistance of scratched and non-scratched fused silica surfaces after HF etching with high-frequency ultrasonic agitation were also investigated in this study. The global laser induce damage resistance was increased significantly after the laser damage precursors were mitigated in this case. The redeposition of reaction produce was avoided by involving multi-frequency ultrasonic and chemical leaching process. These methods made the increase of laser damage threshold more stable. In addition, there is no scratch related damage initiations found on the samples which were treated by Advanced Mitigation Process.

  8. Advanced Mitigation Process (AMP) for Improving Laser Damage Threshold of Fused Silica Optics

    PubMed Central

    Ye, Xin; Huang, Jin; Liu, Hongjie; Geng, Feng; Sun, Laixi; Jiang, Xiaodong; Wu, Weidong; Qiao, Liang; Zu, Xiaotao; Zheng, Wanguo

    2016-01-01

    The laser damage precursors in subsurface of fused silica (e.g. photosensitive impurities, scratches and redeposited silica compounds) were mitigated by mineral acid leaching and HF etching with multi-frequency ultrasonic agitation, respectively. The comparison of scratches morphology after static etching and high-frequency ultrasonic agitation etching was devoted in our case. And comparison of laser induce damage resistance of scratched and non-scratched fused silica surfaces after HF etching with high-frequency ultrasonic agitation were also investigated in this study. The global laser induce damage resistance was increased significantly after the laser damage precursors were mitigated in this case. The redeposition of reaction produce was avoided by involving multi-frequency ultrasonic and chemical leaching process. These methods made the increase of laser damage threshold more stable. In addition, there is no scratch related damage initiations found on the samples which were treated by Advanced Mitigation Process. PMID:27484188

  9. Effects of Dextrose and Lipopolysaccharide on the Corrosion Behavior of a Ti-6Al-4V Alloy with a Smooth Surface or Treated with Double-Acid-Etching

    PubMed Central

    Faverani, Leonardo P.; Assunção, Wirley G.; de Carvalho, Paulo Sérgio P.; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T.; Barao, Valentim A.

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (p<0.05) for the Ti-6Al-4V alloy with surface treatment by double-acid-etching. The combination of dextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (p<0.05). The acid-treated groups showed a significant increase in Cdl values and reduced Rp values (p<0.05, t-test). According to the topography, there was an increase in surface roughness (R2 = 0.726, p<0.0001 for the smooth surface; R2 = 0.405, p = 0.036 for the double-acid-etching-treated surface). The microhardness of the smooth Ti-6Al-4V alloy decreased (p<0.05) and that of the treated Ti-6Al-4V alloy increased (p<0.0001). Atomic force microscopy showed changes in the microstructure of the Ti-6Al-4V alloy by increasing the surface thickness mainly in the group associated with dextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no

  10. Effects of dextrose and lipopolysaccharide on the corrosion behavior of a Ti-6Al-4V alloy with a smooth surface or treated with double-acid-etching.

    PubMed

    Faverani, Leonardo P; Assunção, Wirley G; de Carvalho, Paulo Sérgio P; Yuan, Judy Chia-Chun; Sukotjo, Cortino; Mathew, Mathew T; Barao, Valentim A

    2014-01-01

    Diabetes and infections are associated with a high risk of implant failure. However, the effects of such conditions on the electrochemical stability of titanium materials remain unclear. This study evaluated the corrosion behavior of a Ti-6Al-4V alloy, with a smooth surface or conditioned by double-acid-etching, in simulated body fluid with different concentrations of dextrose and lipopolysaccharide. For the electrochemical assay, the open-circuit-potential, electrochemical impedance spectroscopy, and potentiodynamic test were used. The disc surfaces were characterized by scanning electron microscopy and atomic force microscopy. Their surface roughness and Vickers microhardness were also tested. The quantitative data were analyzed by Pearson's correlation and independent t-tests (α = 0.05). In the corrosion parameters, there was a strong lipopolysaccharide correlation with the Ipass (passivation current density), Cdl (double-layer capacitance), and Rp (polarization resistance) values (p<0.05) for the Ti-6Al-4V alloy with surface treatment by double-acid-etching. The combination of dextrose and lipopolysaccharide was correlated with the Icorr (corrosion current density) and Ipass (p<0.05). The acid-treated groups showed a significant increase in Cdl values and reduced Rp values (p<0.05, t-test). According to the topography, there was an increase in surface roughness (R2 = 0.726, p<0.0001 for the smooth surface; R2 = 0.405, p = 0.036 for the double-acid-etching-treated surface). The microhardness of the smooth Ti-6Al-4V alloy decreased (p<0.05) and that of the treated Ti-6Al-4V alloy increased (p<0.0001). Atomic force microscopy showed changes in the microstructure of the Ti-6Al-4V alloy by increasing the surface thickness mainly in the group associated with dextrose and lipopolysaccharide. The combination of dextrose and lipopolysaccharide affected the corrosion behavior of the Ti-6Al-4V alloy surface treated with double-acid-etching. However, no

  11. Resin-dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding. Part II: Effects of mechanical cycling load on microtensile bond strengths.

    PubMed

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H; Tay, Franklin R; Watson, Timothy F; Osorio, Raquel

    2011-06-01

    To compare microtensile bond strengths (MTBS) subsequent to load cycling of resin bonded acid-etched or EDTA-treated dentin using a modified ethanol wet-bonding technique. Flat dentin surfaces were obtained from extracted human molars and conditioned using 37% H(3)PO(4) (PA) (15s) or 0.1M EDTA (60s). Five experimental adhesives and one commercial bonding agent were applied to the dentin and light-cured. Solvated experimental resins (50% ethanol/50% comonomers) were used as primers and their respective neat resins were used as the adhesives. The resin-bonded teeth were stored in distilled water (24h) or submitted to 5000 loading cycles of 90N. The bonded teeth were then sectioned in beams for MTBS. Modes of failure were examined by scanning electron microscopy. The most hydrophobic resin 1 gave the lowest bond strength values to both acid and EDTA-treated dentin. The hydrophobic resin 2 applied to EDTA-treated dentin showed lower bond strengths after cycling load but this did not occur when it was bonded to PA-etched dentin. Resins 3 and 4, which contained hydrophilic monomers, gave higher bond strengths to both EDTA-treated or acid-etched dentin and showed no significant difference after load cycling. The most hydrophilic resin 5 showed no significant difference in bond strengths after cycling loading when bonded to EDTA or phosphoric acid treated dentin but exhibited low bond strengths. The presence of different functional monomers influences the MTBS of the adhesive systems when submitted to cyclic loads. Adhesives containing hydrophilic comonomers are not affected by cycling load challenge especially when applied on EDTA-treated dentin followed by ethanol wet bonding. Copyright © 2011 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  12. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  13. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    PubMed

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  14. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  15. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  16. Self-etching adhesives: review of adhesion to tooth structure part II.

    PubMed

    Strydom, C

    2005-02-01

    Self-etching adhesives are steadily increasing in popularity among dental practitioners with their easy handling technique and their promise of no post-op sensitivity. As with any new bonding material, in vitro and in vivo investigations are required to assess the clinical efficacy of these systems. The current literature was reviewed to provide information on these systems, including the influence of their acidity and permeability on the quality of the bond, the role of water in long-term degradation of the bond in in vivo and in vitro studies, and the clinical efficacy of the self-etching adhesives in clinical research studies. Published abstracts, reviews, laboratory reports and clinical research papers in the dental literature. Very little information is available on self-etching systems pertaining to the long-term in vitro and in vivo durability of their bond and their medium- to long-term clinical outcome. Although post-op sensitivity seems to be something of the past, short-term clinical studies show that some self-etching adhesives do not perform as well as total-etch systems.

  17. Multi-spot porous silicon chip prepared from asymmetric electrochemical etching for human immunoglobin G sensor.

    PubMed

    Um, Sungyong; Cho, Bomin; Woo, Hee-Gweon; Sohn, Honglae

    2011-08-01

    Multi-spot porous silicon (MSPS)-based optical biosensor was developed to specify the biomolecules. MSPS chip was generated by an electrochemical etching of silicon wafer using an asymmetric electrode configuration in aqueous ethanolic HF solution and constituted with nine arrayed porous silicon. MSPS prepared from anisotropic etching conditions displayed the Fabry-Pérot fringe patterns which varied spatially across the porous silicon (PS). Each spot displayed different reflection resonances and different pore characteristics as a function of the lateral distance from the Pt counter electrode. The sensor system consists of the 3 x 3 spot array of porous silicon modified with Protein A. The system was probed with various fragments of an aqueous Human Immunoglobin G (Ig G) analyte. The sensor operated by measurement of the reflection patterns in the white light reflection spectrum of MSPS. Molecular binding and specificity was detected as a shift in wavelength of these Fabry-Pérot fringe patterns.

  18. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Geyer, Nadine; Wollschläger, Nicole; Fuhrmann, Bodo; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Jungmann, Marco; Krause-Rehberg, Reinhard; Leipner, Hartmut S.

    2015-06-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H2O2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology.

  19. Fundamental Studies on Ambient Temperature Creep Deformation Behavior of Alpha and Alpha-Beta Titanium Alloys

    DTIC Science & Technology

    1994-02-15

    Solutions [49] A-Etch 25 (mL) Hydrofluoric Acid (HF 50%) 25 Nitric Acid Cone (HN03) 50 Glycerine R-Etch 18.5 gm (17 mL) Benzalkonium Chloride 35 (mL... Reduction Project (0704-0188) Washington, DC 20503. 1. AGENCY USE ONLY (Leave Blank) 2. REPORT DATE 1994 3. REPORT TYPE AND DATES COVERED Final 4...K and a 60% reduction in area was given for all of the alloys. This work was found to be sufficient to recrystallize all of the alloys within 12

  20. Effect of acid and laser etching on shear bond strength of conventional and resin-modified glass-ionomer cements to composite resin.

    PubMed

    Navimipour, Elmira Jafari; Oskoee, Siavash Savadi; Oskoee, Parnian Alizadeh; Bahari, Mahmoud; Rikhtegaran, Sahand; Ghojazadeh, Morteza

    2012-03-01

    Success in sandwich technique procedures can be achieved through an acceptable bond between the materials. The aim of this study was to compare the effect of 35% phosphoric acid and Er,Cr:YSGG laser on shear bond strength of conventional glass-ionomer cement (GIC) and resin-modified glass-ionomer cement (RMGIC) to composite resin in sandwich technique. Sixty-six specimens were prepared from each type of glass-ionomer cements and divided into three treatment groups as follows: without pretreatment, acid etching by 35% phosphoric acid for 15 s, and 1-W Er,Cr:YSGG laser treatment for 15 s with a 600-μm-diameter tip aligned perpendicular to the target area at a distance of 1 mm from the surface. Energy density of laser irradiation was 17.7 J/cm(2). Two specimens in each group were prepared for evaluation under a scanning electron microscope (SEM) after surface treatment and the remainder underwent bonding procedure with a bonding agent and composite resin. Then the shear bond strength was measured at a crosshead speed of 0.5 mm/min. Two-factor analysis of variance and post-hoc Tukey test showed that the cement type, surface treatment method, and the interaction of these two factors significantly affect the shear bond strength between glass-ionomer cements and composite resin (p < 0.05). Surface treatment with phosphoric acid or Er,Cr:YSGG laser increased the shear bond strength of GIC to composite resin; however, in RMGIC only laser etching resulted in significantly higher bond strength. These findings were supported by SEM results. The fracture mode was evaluated under a stereomicroscope at ×20.

  1. Formation mechanism of rectangular-ambulatory-plane TiO2 plates: an insight into the role of hydrofluoric acid.

    PubMed

    Zou, Yajun; Gao, Ge; Wang, Zhenyu; Shi, Jian-Wen; Wang, Hongkang; Ma, Dandan; Fan, Zhaoyang; Chen, Xin; Wang, Zeyan; Niu, Chunming

    2018-06-13

    A novel rectangular-ambulatory-plane TiO2 plate with exposed {001} facets was developed for the first time via a facile microwave-assisted hydrothermal approach in the presence of HF solution. Solid evidence demonstrated that HF plays dual roles in the hydrothermal process, both as a stabilizer for the {001} facet growth and as an etching reagent selectively destroying the {001} facets.

  2. Effect of clearfil protect bond and transbond plus self-etch primer on shear bond strength of orthodontic brackets

    PubMed Central

    Raji, S. Hamid; Ghorbanipour, Reza; Majdzade, Fateme

    2011-01-01

    Background: The aim of this study was to evaluate the shear bond strength of an antimicrobial and fluoride-releasing self-etch primer (clearfil protect bond) and compare it with transbond plus self-etch primer and conventional acid etching and priming system. Materials and Methods: Forty-eight extracted human premolars were divided randomly to three groups. In group 1, the teeth were bonded with conventional acid etching and priming method. In group 2, the teeth were bonded with clearfil protect bond self-etch primer, and transbond plus self-etch primer was used to bond the teeth in group 3. The samples were stored in 37°C distilled water and thermocycled. Then, the SBS of the sample was evaluated with Zwick testing machine. Descriptive statistics and the analysis of variances (ANOVA) and Tukey's test and Kruskal-Wallis were used to analyze the data. Results: The results of the ANOVA showed that the mean of group 3 was significantly lower than that of other groups. Most of the sample showed a pattern of failure within the adhesive resin. Conclusion: The shear bond strength of clearfil protect bond and transbond plus self-etch primer was enough for bonding the orthodontic brackets. The mode of failure of bonded brackets with these two self-etch primers is safe for enamel. PMID:23372605

  3. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    PubMed

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  4. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  5. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  6. Influence of subsurface defects on damage performance of fused silica in ultraviolet laser

    NASA Astrophysics Data System (ADS)

    Huang, Jin; Zhou, Xinda; Liu, Hongjie; Wang, Fengrui; Jiang, Xiaodong; Wu, Weidong; Tang, Yongjian; Zheng, Wanguo

    2013-02-01

    In ultraviolet pulse laser, damage performance of fused silica optics is directly dependent on the absorptive impurities and scratches in subsurface, which are induced by mechanical polishing. In the research about influence of subsurface defects on damage performance, a series of fused silica surfaces with various impurity concentrations and scratch structures were created by hydrofluoric (HF) acid solution etching. Time of Flight secondary ion mass spectrometry and scanning probe microprobe revealed that with increasing etching depth, impurity concentrations in subsurface layers are decreased, the scratch structures become smoother and the diameter:depth ratio is increased. Damage performance test with 355-nm pulse laser showed that when 600 nm subsurface thickness is removed by HF acid etching, laser-induced damage threshold of fused silica is raised by 40 percent and damage density is decreased by over one order of magnitude. Laser weak absorption was tested to explain the cause of impurity elements impacting damage performance, field enhancement caused by change of scratch structures was calculated by finite difference time domain simulation, and the calculated results are in accord with the damage test results.

  7. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  8. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  9. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  10. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  11. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness,more » etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side

  12. Caries-preventive effect of fissure sealant containing surface reaction-type pre-reacted glass ionomer filler and bonded by self-etching primer.

    PubMed

    Shimazu, Kisaki; Ogata, Kiyokazu; Karibe, Hiroyuki

    2012-01-01

    We aimed to evaluate the caries-preventive effect of a fissure sealant containing surface reaction-type pre-reacted glass ionomer (S-PRG) filler and bonded by self-etching primer versus those of 2 conventional resin-based sealants bonded by acid etching in terms of its impact on enamel demineralization and remineralization, enamel bond strength, and integrity of debonded enamel surfaces. Demineralization, remineralization, and bond strength on untreated enamel and enamel subsurface lesions of bovine incisors were assessed among the sealants by polarizing microscopy and microradiography; debonded enamel surfaces were examined by scanning electron microscopy. The conventional resin-based sealants bonded by acid etching caused surface defects on the enamel subsurface lesions and significantly increased the lesion depth (p = 0.014), indicative of enamel demineralization. However the S-PRG filler-containing sealant bonded by self-etching primer maintained the enamel surface integrity and inhibited enamel demineralization. No difference in bond strength on both untreated enamel and enamel subsurface lesions was noted among the sealants. An S-PRG filler-containing fissure sealant bonded by self-etching primer can prevent enamel demineralization, microleakage, and gaps without the tags created by acid etching regardless of the enamel condition. Such sealants are suitable for protecting the pits and fissures of immature permanent teeth.

  13. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  14. Bonding efficacy of new self-etching, self-adhesive dual-curing resin cements to dental enamel.

    PubMed

    Benetti, Paula; Fernandes, Virgílio Vilas; Torres, Carlos Rocha; Pagani, Clovis

    2011-06-01

    This study evaluated the efficacy of the union between two new self-etching self-adhesive resin cements and enamel using the microtensile bond strength test. Buccal enamel of 80 bovine teeth was submitted to finishing and polishing with metallographic paper to a refinement of #600, in order to obtain a 5-mm2 flat area. Blocks (2 x 4 x 4 mm) of laboratory composite resin were cemented to enamel according to different protocols: (1) untreated enamel + RelyX Unicem cement (RX group); (2) untreated enamel + Bifix SE cement (BF group); (3) enamel acid etching and application of resin adhesive Single Bond + RelyX Unicem (RXA group); (4) enamel acid etching and application of resin adhesive Solobond M + Bifix SE (BFA group). After 7 days of storage in distillated water at 37°C, the blocks were sectioned for obtaining microbar specimens with an adhesive area of 1 mm2 (n = 120). Specimens were submitted to the microtensile bond strength test at a crosshead speed of 0.5 mm/min. The results (in MPa) were analyzed statistically by ANOVA and Tukey's test. Enamel pre-treatment with phosphoric acid and resin adhesive (27.9 and 30.3 for RXA and BFA groups) significantly improved (p ≤ 0.05) the adhesion of both cements to enamel compared to the union achieved with as-polished enamel (9.9 and 6.0 for RX and BF). Enamel pre-treatment with acid etching and the application of resin adhesive significantly improved the bond efficacy of both luting agents compared to the union achieved with as-polished enamel.

  15. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  16. Bond strength with various etching times on young permanent teeth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, W.N.; Lu, T.C.

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results ofmore » tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.« less

  17. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    PubMed

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (p<0.001). A deeper enamel-etching pattern was observed for all universal adhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Principles and applications of laser-induced liquid-phase jet-chemical etching

    NASA Astrophysics Data System (ADS)

    Stephen, Andreas; Metev, Simeon; Vollertsen, Frank

    2003-11-01

    In this treatment method laser radiation, which is guided from a coaxially expanding liquid jet-stream, locally initiates a thermochemical etching reaction on a metal surface, which leads to selective material removal at high resolution and quality of the treated surface as well as low thermal influence on the workpiece. Electrochemical investigations were performed under focused laser irradiation using a cw-Nd:YAG laser with a maximum power of 15 W and a simultaneous impact of the liquid jet-stream consisting of phosphoric acid with a maximum flow rate of 20 m/s. The time resolved measurements of the electrical potential difference against an electrochemical reference electrode were correlated with the specific processing parameters and corresponding etch rates to identify processing conditions for temporally stable and enhanced chemical etching reactions. Applications of laser-induced liquid-phase jet-chemical etching in the field of sensor technology, micromechanics and micrmoulding technology are presented. This includes the microstructuring of thin film systems, cutting of foils of shape memory alloys or the generation of structures with defined shape in bulk material.

  19. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  20. Surface engineering on CeO2 nanorods by chemical redox etching and their enhanced catalytic activity for CO oxidation

    NASA Astrophysics Data System (ADS)

    Gao, Wei; Zhang, Zhiyun; Li, Jing; Ma, Yuanyuan; Qu, Yongquan

    2015-07-01

    Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications.Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications. Electronic supplementary information (ESI) available: Diameter distributions of as-prepared and etched samples, optical images, specific catalytic data of CO oxidation and comparison of CO oxidation. See DOI: 10.1039/c5nr01846c

  1. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  2. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  3. ZERODUR: bending strength data for etched surfaces

    NASA Astrophysics Data System (ADS)

    Hartmann, Peter; Leys, Antoine; Carré, Antoine; Kerz, Franca; Westerhoff, Thomas

    2014-07-01

    In a continuous effort since 2007 a considerable amount of new data and information has been gathered on the bending strength of the extremely low thermal expansion glass ceramic ZERODUR®. By fitting a three parameter Weibull distribution to the data it could be shown that for homogenously ground surfaces minimum breakage stresses exist lying much higher than the previously applied design limits. In order to achieve even higher allowable stress values diamond grain ground surfaces have been acid etched, a procedure widely accepted as strength increasing measure. If surfaces are etched taking off layers with thickness which are comparable to the maximum micro crack depth of the preceding grinding process they also show statistical distributions compatible with a three parameter Weibull distribution. SCHOTT has performed additional measurement series with etch solutions with variable composition testing the applicability of this distribution and the possibility to achieve further increase of the minimum breakage stress. For long term loading applications strength change with time and environmental media are important. The parameter needed for prediction calculations which is combining these influences is the stress corrosion constant. Results from the past differ significantly from each other. On the basis of new investigations better information will be provided for choosing the best value for the given application conditions.

  4. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  5. On the influence of etch pits in the overall dissolution rate of apatite basal sections

    NASA Astrophysics Data System (ADS)

    Alencar, Igor; Guedes, Sandro; Palissari, Rosane; Hadler, Julio C.

    2015-09-01

    Determination of efficiencies for particle detection plays a central role for proper estimation of reaction rates. If chemical etching is employed in the revelation of latent particle tracks in solid-state detectors, dissolution rates and etchable lengths are important factors governing the revelation and observation. In this work, the mask method, where a reference part of the sample is protected during dissolution, was employed to measure step heights in basal sections of apatite etched with a nitric acid, HNO, solution at a concentration of 1.1 M and a temperature of 20 °C. We show a drastic increase in the etching velocity as the number of etch pits in the surface augments, in accordance with the dissolution stepwave model, where the outcrop of each etch pit generates a continuous sequence of stepwaves. The number of etch pits was varied by irradiation with neutrons and perpendicularly incident heavy ions. The size dependence of the etch-pit opening with etching duration for ion (200-300 MeV 152Sm and 238U) tracks was also investigated. There is no distinction for the etch pits between the different ions, and the dissolution seems to be governed by the opening velocity when a high number of etch pits are present in the surface. Measurements of the etchable lengths of these ion tracks show an increase in these lengths when samples are not pre-annealed before irradiation. We discuss the implications of these findings for fission-track modelling.

  6. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  7. Decontamination of metals using chemical etching

    DOEpatents

    Lerch, Ronald E.; Partridge, Jerry A.

    1980-01-01

    The invention relates to chemical etching process for reclaiming contaminated equipment wherein a reduction-oxidation system is included in a solution of nitric acid to contact the metal to be decontaminated and effect reduction of the reduction-oxidation system, and includes disposing a pair of electrodes in the reduced solution to permit passage of an electrical current between said electrodes and effect oxidation of the reduction-oxidation system to thereby regenerate the solution and provide decontaminated equipment that is essentially radioactive contamination-free.

  8. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  9. Prognostic impact of elevated serum uric acid levels on long-term outcomes in patients with chronic heart failure: A post-hoc analysis of the GISSI-HF (Gruppo Italiano per lo Studio della Sopravvivenza nella Insufficienza Cardiaca-Heart Failure) trial.

    PubMed

    Mantovani, Alessandro; Targher, Giovanni; Temporelli, Pier Luigi; Lucci, Donata; Gonzini, Lucio; Nicolosi, Gian Luigi; Marchioli, Roberto; Tognoni, Gianni; Latini, Roberto; Cosmi, Franco; Tavazzi, Luigi; Maggioni, Aldo Pietro

    2018-06-01

    The prognostic impact of hyperuricemia on long-term clinical outcomes in patients with chronic heart failure (HF) has been investigated in observational registries and clinical trials, but the results have been often inconclusive. We examined the prognostic impact of elevated serum uric acid levels on long-term clinical outcomes in the GISSI-HF (Gruppo Italiano per lo Studio della Sopravvivenza nella Insufficienza Cardiaca-Heart Failure) trial. CLINICALTRIALS. NCT00336336. We assessed the rates of all-cause death, cardiovascular death, cardiovascular hospitalization and the composite of all-cause death or cardiovascular hospitalization over a median follow-up of 3.9 years among 6683 ambulatory patients with chronic HF. Patients in the 3rd serum uric acid tertile (>7.2 mg/dl) had a nearly 1.8-fold increased risk of both all-cause death and cardiovascular death, and a nearly 1.5-fold increased risk of cardiovascular hospitalization and of the composite endpoint compared to those in the 1st uric acid tertile (<5.7 mg/dl). Beyond serum uric acid ≥ 7 mg/dl the risk of outcomes increased sharply and linearly. The significant association between elevated serum uric acid levels and adverse outcomes persisted after adjustment for multiple established cardiovascular risk factors, HF etiology, left ventricular ejection fraction, medication use and other potential confounders, with an adjusted hazard ratio of 1.37 (95% CI 1.22-1.55) for all-cause death, 1.48 (1.29-1.69) for cardiovascular death, 1.19 (1.09-1.30) for cardiovascular hospitalization and 1.21 (1.11-1.31) for the composite endpoint, respectively. Elevated serum uric acid levels are independently associated with poor long-term survival and increased risk of cardiovascular hospitalization in patients with chronic HF. Copyright © 2018 Elsevier Inc. All rights reserved.

  10. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  11. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  12. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  13. The tailored inner space of TiO2 electrodes via a 30 second wet etching process: high efficiency solid-state perovskite solar cells.

    PubMed

    Kwon, Jeong; Kim, Sung June; Park, Jong Hyoek

    2015-06-28

    We fabricated a perovskite solar cell with enhanced device efficiency based on the tailored inner space of the TiO2 electrode by utilizing a very short chemical etching process. It was found that the mesoporous TiO2 photoanode treated with a HF solution exhibited remarkably enhanced power conversion efficiencies under simulated AM 1.5G one sun illumination. The controlled inner space and morphology of the etched TiO2 electrode provide an optimized space for perovskite sensitizers and infiltration of a hole transport layer without sacrificing its original electron transport ability, which resulted in higher JSC, FF and VOC values. This simple platform provides new opportunities for tailoring the microstructure of the TiO2 electrode and has great potential in various optoelectronic devices utilizing metal oxide nanostructures.

  14. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  15. Influence of the doping type and level on the morphology of porous Si formed by galvanic etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pyatilova, O. V., E-mail: 5ilova87@gmail.com; Gavrilov, S. A.; Shilyaeva, Yu. I.

    The formation of porous silicon (por-Si) layers by the galvanic etching of single-crystal Si samples (doped with boron or phosphorus) in an HF/C{sub 2}H{sub 5}OH/H{sub 2}O{sub 2} solution is investigated. The por-Si layers are analyzed by the capillary condensation of nitrogen and scanning electron microscopy (SEM). The dependences of the morphological characteristics of por-Si (pore diameter, specific surface area, pore volume, and thickness of the pore walls), which determine the por-Si combustion kinetics, on the dopant type and initial wafer resistivity are established.

  16. Hydrogen fluoride (HF) substance flow analysis for safe and sustainable chemical industry.

    PubMed

    Kim, Junbeum; Hwang, Yongwoo; Yoo, Mijin; Chen, Sha; Lee, Ik-Mo

    2017-11-01

    In this study, the chemical substance flow of hydrogen fluoride (hydrofluoric acid, HF) in domestic chemical industries in 2014 was analyzed in order to provide a basic material and information for the establishment of organized management system to ensure safety during HF applications. A total of 44,751 tons of HF was made by four domestic companies (in 2014); import amount was 95,984 tons in 2014 while 21,579 tons of HF was imported in 2005. The export amount of HF was 2180 tons, of which 2074 ton (China, 1422 tons, U.S. 524 tons, and Malaysia, 128 tons) was exported for the manufacturing of semiconductors. Based on the export and import amounts, it can be inferred that HF was used for manufacturing semiconductors. The industries applications of 161,123 tons of HF were as follows: manufacturing of basic inorganic chemical substance (27,937 tons), manufacturing of other chemical products such as detergents (28,208 tons), manufacturing of flat display (24,896 tons), and manufacturing of glass container package (22,002 tons). In this study, an analysis of the chemical substance flow showed that HF was mainly used in the semiconductor industry as well as glass container manufacturing. Combined with other risk management tools and approaches in the chemical industry, the chemical substance flow analysis (CSFA) can be a useful tool and method for assessment and management. The current CSFA results provide useful information for policy making in the chemical industry and national systems. Graphical abstract Hydrogen fluoride chemical substance flows in 2014 in South Korea.

  17. Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3

    NASA Astrophysics Data System (ADS)

    Dogan, Ö.; Buschhausen, A.; Walk, C.; Mokwa, W.; Vogt, H.

    2018-05-01

    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes.

  18. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  19. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  20. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  1. Enhanced Activity and Acid pH Stability of Prussian Blue-type Oxygen Evolution Electrocatalysts Processed by Chemical Etching.

    PubMed

    Han, Lijuan; Tang, Pengyi; Reyes-Carmona, Álvaro; Rodríguez-García, Bárbara; Torréns, Mabel; Morante, Joan Ramon; Arbiol, Jordi; Galan-Mascaros, Jose Ramon

    2016-12-14

    The development of upscalable oxygen evolving electrocatalysts from earth-abundant metals able to operate in neutral or acidic environments and low overpotentials remains a fundamental challenge for the realization of artificial photosynthesis. In this study, we report a highly active phase of heterobimetallic cyanide-bridged electrocatalysts able to promote water oxidation under neutral, basic (pH < 13), and acidic conditions (pH > 1). Cobalt-iron Prussian blue-type thin films, formed by chemical etching of Co(OH) 1.0 (CO 3 ) 0.5 ·nH 2 O nanocrystals, yield a dramatic enhancement of the catalytic performance toward oxygen production, when compared with previous reports for analogous materials. Electrochemical, spectroscopic, and structural studies confirm the excellent performance, stability, and corrosion resistance, even when compared with state-of-the-art metal oxide catalysts under moderate overpotentials and in a remarkably large pH range, including acid media where most cost-effective water oxidation catalysts are not useful. The origin of the superior electrocatalytic activity toward water oxidation appears to be in the optimized interfacial matching between catalyst and electrode surface obtained through this fabrication method.

  2. Bonding Effectiveness of Luting Composites to Different CAD/CAM Materials.

    PubMed

    Peumans, Marleen; Valjakova, Emilija Bajraktarova; De Munck, Jan; Mishevska, Cece Bajraktarova; Van Meerbeek, Bart

    To evaluate the influence of different surface treatments of six novel CAD/CAM materials on the bonding effectiveness of two luting composites. Six different CAD/CAM materials were tested: four ceramics - Vita Mark II; IPS Empress CAD and IPS e.max CAD; Celtra Duo - one hybrid ceramic, Vita Enamic, and one composite CAD/CAM block, Lava Ultimate. A total of 60 blocks (10 per material) received various mechanical surface treatments: 1. 600-grit SiC paper; 2. sandblasting with 30-μm Al2O3; 3. tribochemical silica coating (CoJet). Subsequent chemical surface treatments involved either no further treatment (control), HF acid etching (HF), silanization (S, or HF acid etching followed by silanization (HF+S). Two specimens with the same surface treatment were bonded together using two dual-curing luting composites: Clearfil Esthetic Cement (self-etching) or Panavia SA Cement (self-adhesive). After 1 week of water storage, the microtensile bond strength of the sectioned microspecimens was measured and the failure mode was evaluated. The bonding performance of the six CAD/CAM materials was significantly influenced by surface treatment (linear mixed models, p < 0.05). The luting cement had a significant influence on bond strength for Celtra Duo and Lava Ultimate (linear mixed models, p < 0.05). Mechanical surface treatment significantly influenced the bond strength for Celtra Duo (p = 0.0117), IPS e.max CAD (p = 0.0115), and Lava Ultimate (p < 0.0001). Different chemical surface treatments resulted in the highest bond strengths for the six CAD/CAM materials: Vita Mark II and IPS Empress CAD: S, HF+S; Celtra Duo: HF, HF+S; IPS e.max CAD: HF+S; Vita Enamic: HF+S, S. For Lava Ultimate, the highest bond strengths were obtained with HF, S, HF+S. Failure analysis showed a relation between bond strength and failure type: more mixed failures were observed with higher bond strengths. Mainly adhesive failures were noticed if no further surface treatment was done. The percentage of

  3. Reinforcement of dentin in self-etch adhesive technology: a new concept.

    PubMed

    Waidyasekera, Kanchana; Nikaido, Toru; Weerasinghe, Dinesh S; Ichinose, Shizuko; Tagami, Junji

    2009-08-01

    Characterize the ultramorphology and secondary caries inhibition potential of different dentin adhesive systems in order to find a satisfactory explanation resist to recurrent caries. Human premolar dentin was treated with one of the two self-etching adhesive systems, Clearfil SE Bond, Clearfil Protect Bond or an acid-etching adhesive system, Single Bond. The bonded interface was exposed to an artificial demineralizing solution (pH 4.5) for 90 min and then 5% sodium hypochlorite for 20 min. Transmission electron microscopic observation was performed at the adhesive-dentin interface. The width of the reinforced zone was measured and data were analyzed with univariate analysis of variance under general linear model. In order to identify type of crystallites in the reinforced zone selected area electron diffraction was performed. An acid-base resistant zone (ABRZ) was found adjacent to the hybrid layer in the outer lesion front with only Clearfil SE Bond and Clearfil Protect Bond, while Single Bond was devoid of this protective zone. Crystallite arrangement and the ultramorphology were almost similar in the corresponding regions of Clearfil SE Bond and Clearfil Protect Bond. However, thickness of the ABRZ at the mid portion was 1159(+/-41.91)nm in Clearfil protect Bond, which was significantly thicker than that of Clearfil SE Bond (F=514.84, p<0.001). Selected area electron diffraction confirmed the crystallites in the zone as apatite. The self-etching adhesive systems created a new reinforced acid resistant dentin under the hybrid layer. Difference in the thickness of the zone expressed a different potential for demineralization inhibition.

  4. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    PubMed

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  5. Effect of etching and airborne particle abrasion on the microstructure of different dental ceramics.

    PubMed

    Borges, Gilberto Antonio; Sophr, Ana Maria; de Goes, Mario Fernando; Sobrinho, Lourenço Correr; Chan, Daniel C N

    2003-05-01

    The ceramic composition and microstructure surface of all-ceramic restorations are important components of an effective bonding substrate. Both hydrofluoric acid etching and airborne aluminum oxide particle abrasion produce irregular surfaces necessary for micromechanical bonding. Although surface treatments of feldspathic and leucite porcelains have been studied previously, the high alumina-containing and lithium disilicate ceramics have not been fully investigated. The purpose of this study was to assess the surface topography of 6 different ceramics after treatment with either hydrofluoric acid etching or airborne aluminum oxide particle abrasion. Five copings each of IPS Empress, IPS Empress 2 (0.8 mm thick), Cergogold (0.7 mm thick), In-Ceram Alumina, In-Ceram Zirconia, and Procera (0.8 mm thick) were fabricated following the manufacturer's instructions. Each coping was longitudinally sectioned into 4 equal parts by a diamond disk. The resulting sections were then randomly divided into 3 groups depending on subsequent surface treatments: Group 1, specimens without additional surface treatments, as received from the laboratory (control); Group 2, specimens treated by use of airborne particle abrasion with 50-microm aluminum oxide; and Group 3, specimens treated with 10% hydrofluoric acid etching (20 seconds for IPS Empress 2; 60 seconds for IPS Empress and Cergogold; and 2 minutes for In-Ceram Alumina, In-Ceram Zirconia, and Procera). Airborne particle abrasion changed the morphologic surface of IPS Empress, IPS Empress 2, and Cergogold ceramics. The surface topography of these ceramics exhibited shallow irregularities not evident in the control group. For Procera, the 50-microm aluminum oxide airborne particle abrasion produced a flattened surface. Airborne particle abrasion of In-Ceram Alumina and In-Ceram Zirconia did not change the morphologic characteristics and the same shallows pits found in the control group remained. For IPS Empress 2, 10% hydrofluoric

  6. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  7. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  8. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  9. Solution Structure of Enterocin HF, an Antilisterial Bacteriocin Produced by Enterococcus faecium M3K31.

    PubMed

    Arbulu, Sara; Lohans, Christopher T; van Belkum, Marco J; Cintas, Luis M; Herranz, Carmen; Vederas, John C; Hernández, Pablo E

    2015-12-16

    The solution structure of enterocin HF (EntHF), a class IIa bacteriocin of 43 amino acids produced by Enterococcus faecium M3K31, was evaluated by CD and NMR spectroscopy. Purified EntHF was unstructured in water, but CD analysis supports that EntHF adopts an α-helical conformation when exposed to increasing concentrations of trifluoroethanol. Furthermore, NMR spectroscopy indicates that this bacteriocin adopts an antiparallel β-sheet structure in the N-terminal region (residues 1-17), followed by a well-defined central α-helix (residues 19-30) and a more disordered C-terminal end (residues 31-43). EntHF could be structurally organized into three flexible regions that might act in a coordinated manner. This is in agreement with the absence of long-range nuclear Overhauser effect signals between the β-sheet domain and the C-terminal end of the bacteriocin. The 3D structure recorded for EntHF fits emerging facts regarding target recognition and mode of action of class IIa bacteriocins.

  10. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  11. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  12. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  13. Experimental and theoretical spectroscopic studies of anticancer drug rosmarinic acid using HF and density functional theory.

    PubMed

    Mariappan, G; Sundaraganesan, N; Manoharan, S

    2012-11-01

    In this work, we reported a combined experimental and theoretical study on molecular structure, vibrational spectra and NBO analysis of anticancer drug of rosmarinic acid. The optimized molecular structure, atomic charges, vibrational frequencies, natural bond orbital analysis and ultraviolet-visible spectral interpretation of rosmarinic acid have been studied by performing HF and DFT/B3LYP/6-31G(d,p) level of theory. The FT-IR (solid and solution phase), FT-Raman (solid phase) spectra were recorded in the region 4000-400 and 3500-50 cm(-1), respectively. The UV-Visible absorption spectra of the compound that dissolved in ethanol were recorded in the range of 200-800 nm. The scaled wavenumbers are compared with the experimental values. The difference between the observed and scaled wavenumber values of most of the fundamentals is very small. The formation of hydrogen bond was investigated in terms of the charge density by the NBO calculations. Based on the UV spectra and TD-DFT calculations, the electronic structure and the assignments of the absorption bands were carried out. Besides, molecular electrostatic potential (MEP), frontier molecular orbitals (FMO) analysis were investigated using theoretical calculations. Copyright © 2012 Elsevier B.V. All rights reserved.

  14. Comparison of separation performance of laser-ablated and wet-etched microfluidic devices

    PubMed Central

    Baker, Christopher A.; Bulloch, Rayford; Roper, Michael G.

    2010-01-01

    Laser ablation of glass allows for production of microfluidic devices without the need of hydrofluoric acid and photolithography. The goal of this study was to compare the separation performance of microfluidic devices produced using a low-cost laser ablation system and conventional wet etching. During laser ablation, cracking of the glass substrate was prevented by heating the glass to 300°C. A range of laser energy densities was found to produce channel depths ranging from 4 – 35 μm and channel widths from 118 – 162 μm. The electroosmotic flow velocity was lower in laser-ablated devices, 0.110 ± 0.005 cm s−1, as compared to wet-etched microfluidic chips, 0.126 ± 0.003 cm s−1. Separations of both small and large molecules performed on both wet- and laser-ablated devices were compared by examining limits of detection, theoretical plate count, and peak asymmetry. Laser-induced fluorescence detection limits were 10 pM fluorescein for both types of devices. Laser-ablated and wet-etched microfluidic chips had reproducible migration times with ≤ 2.8% RSD and peak asymmetries ranging from 1.0 – 1.8. Numbers of theoretical plates were between 2.8- and 6.2-fold higher on the wet-etched devices compared to laser-ablated devices. Nevertheless, resolution between small and large analytes was accomplished, which indicates that laser ablation may find an application in pedagogical studies of electrophoresis or microfluidic devices, or in settings where hydrofluoric acid cannot be used. PMID:20827468

  15. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  16. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    NASA Astrophysics Data System (ADS)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  17. Hf thickness dependence of spin-orbit torques in Hf/CoFeB/MgO heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramaswamy, Rajagopalan; Qiu, Xuepeng; Dutta, Tanmay

    We have studied the spin-orbit torques in perpendicularly magnetized Hf/CoFeB/MgO system, by systematically varying the thickness of Hf underlayer. We have observed a sign change of effective fields between Hf thicknesses of 1.75 and 2 nm, indicating that competing mechanisms, such as the Rashba and spin Hall effects, contribute to spin-orbit torques in our system. For larger Hf thicknesses (>2 nm), both the components of spin-orbit torques arise predominantly from the bulk spin Hall effect. We have also confirmed these results using spin-orbit torque induced magnetization switching measurements. Our results could be helpful in designing Hf based SOT devices.

  18. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  19. Dicalcium phosphate (CaHPO4·2H2O) precipitation through ortho- or meta-phosphoric acid-etching: effects on the durability and nanoleakage/ultra-morphology of resin-dentine interfaces.

    PubMed

    Feitosa, Victor Pinheiro; Bazzocchi, Maria Giulia; Putignano, Angelo; Orsini, Giovanna; Luzi, Arlinda Luzi; Sinhoreti, Mário Alexandre Coelho; Watson, Timothy F; Sauro, Salvatore

    2013-11-01

    To compare the effects of two etching procedures using meta-phosphoric (MPA) or ortho-phosphoric acid (OPA) on dentine demineralisation, resin-dentine bonds durability and interface nanoleakage/ultra-morphology. Middle-dentine specimens were etched using 37% OPA (15s) or 40% MPA (60s) and submitted to infrared spectroscopy (FTIR) or ultra-morphology dye-assisted (calcium-staining) confocal microscopy (Ca-CLSM). A three-step etch-and-rinse adhesive was formulated, applied onto dentine and light-cured for 30s before composite build-up. After 24h, the dentine-bonded specimens were cut into 1mm(2) beams; half were immediately submitted to microtensile bond strength (μTBS) and half stored in DW for six months. The μTBS results were analysed with repeated-measures ANOVA and Tukey's test (p<0.05). Further teeth were bonded and prepared for interface nanoleakage/ultra-morphology confocal evaluation. FTIR and Ca-CLSM analyses showed dicalcium phosphate dihydrate (Brushite) precipitation in MPA-etched dentine and on the bottom (front of demineralisation) of the OPA-etched dentine. Statistical analysis showed similar μTBS for both etching procedures after 24h. The μTBS of specimens in OPA-group dropped significantly (p<0.05) after six month; the specimens in the MPA group showed no statistically difference (p>0.05). CLSM depicted no evident sign of nanoleakage within the resin-dentine interface of the MPA-treated specimens, while the specimens in OPA-group presented intense nanoleakage and interface degradation. The use of MPA (60s) as an alternative dentine conditioning agent in etch-and-rinse bonding procedures may be a suitable strategy to create more durable resin-dentine bonds. Copyright © 2013 Elsevier Ltd. All rights reserved.

  20. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  1. Electrolytic Polishing and Etching Techniques for Preparing Specimens of Bismuth and Antimony and Their Alloys: Materials and Structures.

    DTIC Science & Technology

    Electrolytic polishing was performed in a solution of methyl alcohol, sulphuric acid , hydrocloric acid and ethylene glycol. Etching was done...electrolytically in a 5 percent chromic acid solution. Use of these techniques has permitted detailed studies of the microstructures of bismuth-antimony single

  2. Spatially Resolved Acid Dissolution of IDPs: The State of Carbon and the Abundance of Diamonds in the Dust

    NASA Technical Reports Server (NTRS)

    Brownlee, D. E.; Joswiak, D. J.; Bradley, J. P.; Gezo, J. C.; Hill, H. G. M.

    2000-01-01

    Ultramicrotome sections of IDPs have been successfully etched with HF to isolate and reveal the microdistribution of carbonaceous material. The sections are evaluated for nanodiamonds, 3.4 micron feature, GEMS and the origin of low albedo in small interplanetary particles.

  3. Effect of Minocycline on the Durability of Dentin Bonding Produced with Etch-and-Rinse Adhesives.

    PubMed

    Loguercio, A D; Stanislawczuk, R; Malaquias, P; Gutierrez, M F; Bauer, J; Reis, A

    2016-01-01

    To evaluate the effect of minocycline and chlorhexidine pretreatment of acid-etched dentin on the longevity of resin-dentin bond strength (μTBS) and nanoleakage of two-step etch-and-rinse adhesives. Before application of Prime & Bond NT and Adper Single Bond 2 in occlusal dentin, the dentin surfaces were treated with 37% phosphoric acid, rinsed, air-dried, and rewetted with water (control group), 2% minocycline, or 2% chlorexidine digluconate. Composite buildups were constructed incrementally, and specimens were longitudinally sectioned to obtain bonded sticks (0.8 mm 2 ) to be tested in tension (0.5 mm/min) immediately or after 24 months of water storage. For nanoleakage, two specimens of each tooth/period were immersed in the silver nitrate solution, photo-developed, and polished with SiC paper for analysis under energy-dispersive X-ray spectroscopy/scanning electron microscopy. Reductions of the μTBS and increases in the nanoleakage were observed for both adhesives when the rewetting procedure was performed with water. Stable bonds were observed for the 2% minocycline and 2% chlorexidine digluconate groups after 24 months. The use of 2% minocycline as pretreatment of acid-etched dentin is one alternative to retard the degradation of resin-dentin interfaces over a 24-month period as well as 2% chlorexidine digluconate.

  4. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  5. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  6. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    NASA Astrophysics Data System (ADS)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  7. Mesoporous carbon-supported Pd nanoparticles with high specific surface area for cyclohexene hydrogenation: Outstanding catalytic activity of NaOH-treated catalysts

    NASA Astrophysics Data System (ADS)

    Puskás, R.; Varga, T.; Grósz, A.; Sápi, A.; Oszkó, A.; Kukovecz, Á.; Kónya, Z.

    2016-06-01

    Extremely high specific surface area mesoporous carbon-supported Pd nanoparticle catalysts were prepared with both impregnation and polyol-based sol methods. The silica template used for the synthesis of mesoporous carbon was removed by both NaOH and HF etching. Pd/mesoporous carbon catalysts synthesized with the impregnation method has as high specific surface area as 2250 m2/g. In case of NaOH-etched impregnated samples, the turnover frequency of cyclohexene hydrogenation to cyclohexane at 313 K was obtained 14 molecules • site- 1 • s- 1. The specific surface area of HF-etched samples was higher compared to NaOH-etched samples. However, catalytic activity was 3-6 times higher on NaOH-etched samples compared to HF-etched samples, which can be attributed to the presence of sodium and surface hydroxylgroups of the catalysts etched with NaOH solution.

  8. hfAIM: A reliable bioinformatics approach for in silico genome-wide identification of autophagy-associated Atg8-interacting motifs in various organisms

    PubMed Central

    Xie, Qingjun; Tzfadia, Oren; Levy, Matan; Weithorn, Efrat; Peled-Zehavi, Hadas; Van Parys, Thomas; Van de Peer, Yves; Galili, Gad

    2016-01-01

    ABSTRACT Most of the proteins that are specifically turned over by selective autophagy are recognized by the presence of short Atg8 interacting motifs (AIMs) that facilitate their association with the autophagy apparatus. Such AIMs can be identified by bioinformatics methods based on their defined degenerate consensus F/W/Y-X-X-L/I/V sequences in which X represents any amino acid. Achieving reliability and/or fidelity of the prediction of such AIMs on a genome-wide scale represents a major challenge. Here, we present a bioinformatics approach, high fidelity AIM (hfAIM), which uses additional sequence requirements—the presence of acidic amino acids and the absence of positively charged amino acids in certain positions—to reliably identify AIMs in proteins. We demonstrate that the use of the hfAIM method allows for in silico high fidelity prediction of AIMs in AIM-containing proteins (ACPs) on a genome-wide scale in various organisms. Furthermore, by using hfAIM to identify putative AIMs in the Arabidopsis proteome, we illustrate a potential contribution of selective autophagy to various biological processes. More specifically, we identified 9 peroxisomal PEX proteins that contain hfAIM motifs, among which AtPEX1, AtPEX6 and AtPEX10 possess evolutionary-conserved AIMs. Bimolecular fluorescence complementation (BiFC) results verified that AtPEX6 and AtPEX10 indeed interact with Atg8 in planta. In addition, we show that mutations occurring within or nearby hfAIMs in PEX1, PEX6 and PEX10 caused defects in the growth and development of various organisms. Taken together, the above results suggest that the hfAIM tool can be used to effectively perform genome-wide in silico screens of proteins that are potentially regulated by selective autophagy. The hfAIM system is a web tool that can be accessed at link: http://bioinformatics.psb.ugent.be/hfAIM/. PMID:27071037

  9. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  10. Why is hydrofluoric acid a weak acid?

    PubMed

    Ayotte, Patrick; Hébert, Martin; Marchand, Patrick

    2005-11-08

    The infrared vibrational spectra of amorphous solid water thin films doped with HF at 40 K reveal a strong continuous absorbance in the 1000-3275 cm(-1) range. This so-called Zundel continuum is the spectroscopic hallmark for aqueous protons. The extensive ionic dissociation of HF at such low temperature suggests that the reaction enthalpy remains negative down to 40 K. These observations support the interpretation that dilute HF aqueous solutions behave as weak acids largely due to the large positive reaction entropy resulting from the structure making character of the hydrated fluoride ion.

  11. Evaluation of Different Types of Lasers in Surface Conditioning of Porcelains: A Review Article

    PubMed Central

    Mirhashemi, Amirhossin; Sharifi, Nastaran; Moharrami, Mohammad; Chiniforush, Nasim

    2017-01-01

    To achieve proper bond strength for porcelains, adequate surface roughness is essential, which is traditionally gained by sandblasting or acid etching with hydrofluoric (HF) acid. Nowadays with the development of laser systems, serious efforts were made to apply this new instrument for surface etching of porcelains due to easy usage, safety, and more efficiency. There are different kinds of lasers and porcelains, so choosing the ones which will be good match for each other is crucial. Besides that, changing the irradiation setting can be beneficial as well. This article reviewed 33 related studies and summarized results of etching accomplished by Nd:YAG, Er:YAG, Er,Cr:YSGG and CO2 lasers on different types of porcelains considering different laser settings and evaluation methods to bring a comprehensive insight. PMID:29123628

  12. The acid-base resistant zone in three dentin bonding systems.

    PubMed

    Inoue, Go; Nikaido, Toru; Foxton, Richard M; Tagami, Junji

    2009-11-01

    An acid-base resistant zone has been found to exist after acid-base challenge adjacent to the hybrid layer using SEM. The aim of this study was to examine the acid-base resistant zone using three different bonding systems. Dentin disks were applied with three different bonding systems, and then a resin composite was light-cured to make dentin disk sandwiches. After acid-base challenge, the polished surfaces were observed using SEM. For both one- and two-step self-etching primer systems, an acid-base resistant zone was clearly observed adjacent to the hybrid layer - but with differing appearances. For the wet bonding system, the presence of an acid-base resistant zone was unclear. This was because the self-etching primer systems etched the dentin surface mildly, such that the remaining mineral phase of dentin and the bonding agent yielded clear acid-base resistant zones. In conclusion, the acid-base resistant zone was clearly observed when self-etching primer systems were used, but not so for the wet bonding system.

  13. Evaluation of the effects of alteration and leaching on Sm Nd and Lu Hf systematics in submarine mafic rocks

    NASA Astrophysics Data System (ADS)

    Thompson, Patricia M. E.; Kempton, Pamela D.; Kerr, Andrew C.

    2008-08-01

    Nd and Hf isotope systematics of oceanic basaltic rocks are often assumed to be largely immune to the effects of hydrothermal alteration. We have tested this assumption by comparing Nd and Hf isotope data for acid-leached Cretaceous oceanic basalts from Gorgona and DSDP Leg 15 with unleached data on the same rocks. Hf isotope values and Lu/Hf ratios are relatively unaffected by leaching, but 143Nd/ 144Nd values of leached samples are significantly higher than those of unleached fractions of the same sample in most cases. Furthermore, the Sm/Nd ratios of the majority of leached samples are 10-40% greater than those of unleached samples. X-ray diffraction studies indicate that selective removal of secondary minerals, such as smectite, during the acid leaching process is responsible for the fractionation of Sm/Nd ratios. These results have implications for interpretation of the Hf-Nd isotope systematics of ancient submarine rocks (older than ~ 50 Ma), as (1) the age-corrected 143Nd/ 144Nd ratio may not be representative of the primary magmatic signature and (2) the uncertainty of the age-corrected ɛNd value may exceed the assumed analytical precision.

  14. Reconciliation of the excess 176Hf conundrum in meteorites: Recent disturbances of the Lu-Hf and Sm-Nd isotope systematics

    NASA Astrophysics Data System (ADS)

    Bast, Rebecca; Scherer, Erik E.; Sprung, Peter; Mezger, Klaus; Fischer-Gödde, Mario; Taetz, Stephan; Böhnke, Mischa; Schmid-Beurmann, Hinrich; Münker, Carsten; Kleine, Thorsten; Srinivasan, Gopalan

    2017-09-01

    The long-lived 176Lu-176Hf and 147Sm-143Nd radioisotope systems are commonly used chronometers, but when applied to meteorites, they can reveal disturbances. Specifically, Lu-Hf isochrons commonly yield dates up to ∼300 Myr older than the solar system and varying initial 176Hf/177Hf values. We investigated this problem by attempting to construct mineral and whole rock isochrons for eucrites and angrites. Meteorites from different parent bodies exhibit similar disturbance features suggesting that a common process is responsible. Minerals scatter away from isochron regressions for both meteorite classes, with low-Hf phases such as plagioclase and olivine typically being most displaced above (or left of) reference isochrons. Relatively Hf-rich pyroxene is less disturbed but still to the point of steepening Lu-Hf errorchrons. Using our Lu-Hf and Sm-Nd data, we tested various Hf and Lu redistribution scenarios and found that decoupling of Lu/Hf from 176Hf/177Hf must postdate the accumulation of significant radiogenic 176Hf. Therefore early irradiation or diffusion cannot explain the excess 176Hf. Instead, disturbed meteorite isochrons are more likely caused by terrestrial weathering, contamination, or common laboratory procedures. The partial dissolution of phosphate minerals may predominantly remove rare earth elements including Lu, leaving relatively immobile and radiogenic Hf behind. Robust Lu-Hf (and improved Sm-Nd) meteorite geochronology will require the development of chemical or physical methods for removing unsupported radiogenic Hf and silicate-hosted terrestrial contaminants without disturbing parent-daughter ratios.

  15. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  16. Clinical trials update from the Heart Failure Society of America Meeting 2009: FAST, IMPROVE-HF, COACH galectin-3 substudy, HF-ACTION nuclear substudy, DAD-HF, and MARVEL-1.

    PubMed

    Lainscak, Mitja; Coletta, Alison P; Sherwi, Nasser; Cleland, John G F

    2010-02-01

    This article presents findings and a commentary on late-breaking trials presented during the meeting of the Heart Failure Society of America in September 2009. Unpublished reports should be considered as preliminary, since analyses may change in the final publication. The FAST trial showed somewhat better performance of intrathoracic impedance for prediction of deterioration in patients with heart failure (HF) when compared with daily weighing. The IMPROVE-HF study reported the benefits of education on the management of patients with systolic HF. Galectin-3 appeared a useful method for improving risk stratification of patients with chronic HF in a substudy of the COACH trial. A nuclear substudy of the HF-ACTION trial failed to demonstrate that resting myocardial perfusion imaging, a measure of myocardial scar and viability, was clinically useful. A small randomized controlled trial (DAD-HF) suggested that the use of low-dose dopamine in patients with acutely decompensated HF was associated with less deterioration in renal function and less hypokalaemia. The MARVEL-1 trial raises further concerns about the safety of myoblast transplantation in ischaemic HF.

  17. Comparative efficacy of Er,Cr:YSGG and Er:YAG lasers for etching of composite for orthodontic bracket bonding.

    PubMed

    Mirhashemi, Amir Hossein; Chiniforush, Nasim; Sharifi, Nastaran; Hosseini, Amir Mehdi

    2018-05-01

    Several techniques have been proposed to obtain a durable bond, and the efficacy of these techniques is assessed by measuring parameters such as bond strength. Laser has provided a bond strength as high as that of acid etching in vitro and has simpler use with shorter clinical time compared to acid etching. This study aimed to compare the efficacy of Er:YAG and Er,Cr:YSGG lasers for etching and bonding of composite to orthodontic brackets. No previous study has evaluated the effect of these particular types of laser. A total of 70 composite blocks were randomly divided into five groups (n = 14): group 1, etching with phosphoric acid for 20 s; group 2, Er:YAG laser irradiation with 2 W power for 10 s; group 3, Er:YAG laser with 3 W power for 10 s; group 4, Er,Cr:YSGG laser with 2 W power for 10 s; group 5, Er,Cr:YSGG laser with 3 W power for 10 s. Metal brackets were then bonded to composites, and after 5000 thermal cycles, they were subjected to shear bond strength test in a universal testing machine after 24 h of water storage. One sample of each group was evaluated under a scanning electron microscope (SEM) to assess changes in composite surface after etching. The adhesive remnant index (ARI) was calculated under a stereomicroscope. Data were statistically analyzed. The mean and standard deviation of shear bond strength were 18.65 ± 3.36, 19.68 ± 5.34, 21.31 ± 4.03, 17.38 ± 6.94, and 16.45 ± 4.26 MPa in groups 1-5, respectively. The ARI scores showed that the bond failure mode in all groups was mainly mixed. The groups were not significantly different in terms of shear bond strength. Er:YAG and Er,Cr:YSGG lasers with the mentioned parameters yield optimal shear bond strength and can be used as an alternative to acid etching for bracket bond to composite.

  18. Ab initio theoretical study of dipole-bound anions of molecular complexes: (HF)3- and (HF)4- anions

    NASA Astrophysics Data System (ADS)

    Ramaekers, Riet; Smith, Dayle M. A.; Smets, Johan; Adamowicz, Ludwik

    1997-12-01

    Ab initio calculations have been performed to determine structures and vertical electron detachment energy (VDE) of the hydrogen fluoride trimer and tetramer anions, (HF)3- and (HF)4-. In these systems the excess electron is bound by the dipole field of the complex. It was determined that, unlike the neutral complexes which prefer the cyclic structures, the equilibrium geometries of the anions have "zig-zag" shapes. For both complexes the predicted VDEs are positive [210 meV and 363 meV for (HF)3- and (HF)4-, respectively], indicating that the anions are stable systems with respect to the vertical electron detachment. These results were obtained at the coupled-cluster level of theory with single, double and triple excitations [CCSD(T) method; the triple-excitation contribution in this method is calculated approximately using the perturbation approach] with the anion geometries obtained using the second-order Møller-Plesset perturbation theory (MP2) method. The same approach was also used to determine the adiabatic electron affinities (AEA) of (HF)3 and (HF)4. In addition to the electronic contribution, we also calculated the contributions (using the harmonic approximation) resulting from different zero-point vibration energies of the neutral and anionic clusters. The calculations predicted that while the AEA of (HF)3 is positive (44 meV), the AEA for (HF)4 is marginally negative (-16 meV). This suggests that the (HF)3- anion should be a stable system, while the (HF)4- is probably metastable.

  19. Advanced light-scattering materials: Double-textured ZnO:B films grown by LP-MOCVD

    NASA Astrophysics Data System (ADS)

    Addonizio, M. L.; Spadoni, A.; Antonaia, A.

    2013-12-01

    Double-textured ZnO:B layers with enhanced optical scattering in both short and long wavelength regions have been successfully fabricated using MOCVD technique through a three step process. Growth of double-textured structures has been induced by wet etching on polycrystalline ZnO surface. Our double-layer structure consists of a first ZnO:B layer wet etched and subsequently used as substrate for a second ZnO:B layer deposition. Polycrystalline ZnO:B layers were etched by utilizing diluted solutions of fluoridic acid (HF), chloridric acid (HCl) and phosphoric acid (H3PO4) and their effect on surface morphology modification was systematically investigated. The morphology of the second deposited ZnO layer strongly depended on the surface properties of the etched ZnO first layer. Growth of cauliflower-like texture was induced by protrusions presence on the HCl etched surface. Optimized double-layer structure shows a cauliflower-like double texture with higher RMS roughness and increased spectral haze values in both short and long wavelength regions, compared to conventional pyramidal-like single texture. Furthermore, this highly scattering structure preserves excellent optical and electrical properties.

  20. Titanium hydride and hydrogen concentration in acid-etched commercially pure titanium and titanium alloy implants: a comparative analysis of five implant systems.

    PubMed

    Szmukler-Moncler, S; Bischof, M; Nedir, R; Ermrich, M

    2010-09-01

    Acid etching is a popular method to texture the surface of dental implants. During etching, the titanium oxide protective layer is dissolved and small native hydrogen ions diffuse into the unprotected implant surface. They enrich the implant surface with hydrogen and precipitate into titanium hydride (TiH). The aim of this study was to measure the concentration of TiH at the implant surface and the total concentration of Hydrogen at five commercially available implant systems, made of either commercially pure (cp) titanium or titanium alloy. X-Ray diffraction (XRD) was conducted on each implant system to determine the compounds present at the implant surface. Following a TiH(2)/Ti calibration curve, the concentration of TiH was determined. Concentration of hydrogen in the implants was measured by the inert gas fusion thermal conductivity/infrared detection method. XRD data showed that TiH was present on all cp titanium implants but not on the alloyed implants. TiH concentration varied between 5% and 37%. Hydrogen concentration varied between 43 and 108 ppm, no difference in uptake was found between the cp titanium and alloyed implants. Low solubility of hydrogen in alpha-titanium is responsible for precipitation into TiH. Stronger etching conditions led to higher concentration of TiH2-x. High solubility of hydrogen in the beta-phase of the alloy is preventing hydrogen from precipitating into TiH. All implants, even those lacking TiH at the surface, were enriched with hydrogen. In all implants, hydrogen concentration was within the normative limit of 130 ppm.

  1. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  2. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  4. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  5. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  6. Post-processing of fused silica and its effects on damage resistance to nanosecond pulsed UV lasers.

    PubMed

    Ye, Hui; Li, Yaguo; Zhang, Qinghua; Wang, Wei; Yuan, Zhigang; Wang, Jian; Xu, Qiao

    2016-04-10

    HF-based (hydrofluoric acid) chemical etching has been a widely accepted technique to improve the laser damage performance of fused silica optics and ensure high-power UV laser systems at designed fluence. Etching processes such as acid concentration, composition, material removal amount, and etching state (etching with additional acoustic power or not) may have a great impact on the laser-induced damage threshold (LIDT) of treated sample surfaces. In order to find out the effects of these factors, we utilized the Taguchi method to determine the etching conditions that are helpful in raising the LIDT. Our results show that the most influential factors are concentration of etchants and the material etched away from the viewpoint of damage performance of fused silica optics. In addition, the additional acoustic power (∼0.6  W·cm-2) may not benefit the etching rate and damage performance of fused silica. Moreover, the post-cleaning procedure of etched samples is also important in damage performances of fused silica optics. Different post-cleaning procedures were, thus, experiments on samples treated under the same etching conditions. It is found that the "spraying + rinsing + spraying" cleaning process is favorable to the removal of etching-induced deposits. Residuals on the etched surface are harmful to surface roughness and optical transmission as well as laser damage performance.

  7. Crystallography of the NiHfSi Phase in a NiAl (0.5 Hf) Single-Crystal Alloy

    NASA Technical Reports Server (NTRS)

    Garg, A.; Noebe, R. D.; Darolia, R.

    1996-01-01

    Small additions of Hf to conventionally processed NiAl single crystals result in the precipitation of a high density of cuboidal G-phase along with a newly identified silicide phase. Both of these phases form in the presence of Si which is not an intentional alloying addition but is a contaminant resulting from contact with the ceramic shell molds during directional solidification of the single-crystal ingots. The morphology, crystal structure and Orientation Relationship (OR) of the silicide phase in a NiAl (0.5 at.%Hf) single-crystal alloy have been determined using transmission electron microscopy, electron microdiffraction and energy dispersive X-ray spectroscopy. Qualitative elemental analysis and indexing of the electron microdiffraction patterns from the new phase indicate that it is an orthorhombic NiHfSi phase with unit cell parameters, a = 0.639 nm, b = 0.389 nm and c = 0.72 nm, and space group Pnma. The NiHfSi phase forms as thin rectangular plates on NiAl/111/ planes with an OR that is given by NiHfSi(100))(parallel) NiAl(111) and NiHfSi zone axes(010) (parallel) NiAl zone axes (101). Twelve variants of the NiHfSi phase were observed in the alloy and the number of variants and rectangular morphology of NiHfSi plates are consistent with symmetry requirements. Quenching experiments indicate that nucleation of the NiHfSi phase in NiAI(Hf) alloys is aided by the formation of NiAl group of zone axes (111) vacancy loops that form on the NiAl /111/ planes.

  8. Studies of dispersion energy in hydrogen-bonded systems. H2O-HOH, H2O-HF, H3N-HF, HF-HF

    NASA Astrophysics Data System (ADS)

    Szcześniak, M. M.; Scheiner, Steve

    1984-02-01

    Dispersion energy is calculated in the systems H2O-HOH, H2O-HF, H3N-HF, and HF-HF as a function of the intermolecular separation using a variety of methods. M≂ller-Plesset perturbation theory to second and third orders is applied in conjunction with polarized basis sets of 6-311G** type and with an extended basis set including a second set of polarization functions (DZ+2P). These results are compared to a multipole expansion of the dispersion energy, based on the Unsöld approximation, carried out to the inverse tenth power of the intermolecular distance. Pairwise evaluation is also carried out using both atom-atom and bond-bond formulations. The MP3/6-311G** results are in generally excellent accord with the leading R-6 term of the multipole expansion. This expansion, if carried out to the R-10 term, reproduces extremely well previously reported dispersion energies calculated via variation-perturbation theory. Little damping of the expansion is required for intermolecular distances equal to or greater than the equilibrium separation. Although the asymptotic behavior of the MP2 dispersion energy is somewhat different than that of the other methods, augmentation of the basis set by a second diffuse set of d functions leads to quite good agreement in the vicinity of the minima. Both the atom-atom and bond-bond parametrization schemes are in good qualitative agreement with the other methods tested. All approaches produce similar dependence of the dispersion energy upon the angular orientation between the two molecules involved in the H bond.

  9. Nd:YAG laser ablation and acid resistance of enamel.

    PubMed

    Kwon, Yong Hoon; Kwon, Oh-Won; Kim, Hyung-Il; Kim, Kyo-Han

    2003-09-01

    The acid resistance of Nd:YAG laser-ablated enamel surfaces was studied by evaluating crystal structure, mineral distribution, and fluorescence radiance and image in the present study. For comparison, 37% phosphoric acid etching was performed. The formation of beta-tricalcium phosphate (beta-TCP) was confirmed in the laser-ablated surface. The Ca/P ratio increased after ablation due to mineral re-distribution. In contrast, the Ca/P ratio decreased after acid etching due to mineral loss. The laser-ablated enamels showed a smaller increase of fluorescence radiances and less clear laser confocal scanning microscope images than those observed in the acid-etched enamels. The former suggests a minimized mineral loss. The Nd:YAG laser irradiation will enhance the acid resistance and retard the carious progression in enamel.

  10. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  11. Lu-Hf systematics of meteorites

    NASA Astrophysics Data System (ADS)

    Bizzarro, M.; Baker, J. A.; Haack, H.

    2003-04-01

    We have measured Lu-Hf concentrations and Hf isotope ratios on a number of solar system objects with a new digestion and chemical separation technique (1). The analysed materials include a variety of carbonaceous and ordinary chondrites (CC and OC), basaltic eucrites and a diogenite, and work is ongoing on angrites, aubrites and mesosiderites. Nineteen analyses of OC and CC define, for the first time, a statistically significant Lu-Hf isochron with a slope of 0.09465 ± 145 and intercept of 0.279628 ± 47 (2). In contrast to the CC and type 3 OC (176Lu/177Hf = 0.032-0.034), the more highly metamorphosed OC have a large range of 176Lu/177Hf ratios (0.026-0.036). The large range of 176Lu/177Hf values may be related to heterogeneous variations in phosphate abundances in equilibrated OC, which is supported by the observation that most of the observed variation is defined by this type of material. The present-day bulk-earth 176Hf/177Hf ratio calculated from this study, and a 176Lu/177Hf ratio of 0.0332, is identical to the value of (3) and confirms that the chondritic Hf-Hd isotopic composition is displaced (3 ɛ units) to unradiogenic Hf compared to the terrestrial array. The slope and intercept derived from individual regressions of either the OC or the L type alone are identical within analytical uncertainty. Using a mean age of 4.56 Ga for the chondrite forming event, we derive a value for λ176Lu = 1.983 ± 33 time 10-11 y-1 from the regression of the chondrite meteorites, ca. 6% faster than a recent calibration based on terrestrial material, which has important implications for the differentiation of the early Earth (2, 4). The four basaltic eucrites analysed align on the same array as the chondrites and, as such, chondrites and basaltic eucrites also define a statistically significant isochron with a slope of 0.09462 ± 68 and intercept of 0.279627 ± 20, identical to the values derived from the chondrites alone. Moreover, a recent Lu-Hf study of basaltic

  12. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  13. In-Situ Atomic Force Microscope Imaging of Calcite Etch Pit Morphology Changes in Undersaturated and 1-Hydroxyethylidene-1,1-diphosphonic Acid Poisoned Solutions

    PubMed Central

    Britt, David W.

    2012-01-01

    Morphology changes in etch pits formed on the (1014) cleavage plane of calcite were induced by varying the ratio of [Ca2+] to [CO32−] in the bulk solution as well as through the addition of the crystal poison 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP). Three distinct morphologies were noted: symmetric rhombic, asymmetric rhombic, and triangular with a rough curved hypotenuse. The latter represents a transient morphology which is only observed during the actual dissolution process, while the former morphologies persist after dissolution is halted. PMID:25125794

  14. The rotational excitation of HF by H

    NASA Astrophysics Data System (ADS)

    Desrousseaux, Benjamin; Lique, François

    2018-06-01

    The HF molecule is a key tracer of molecular hydrogen in diffuse interstellar medium (ISM). Accurate modelling of the HF abundance in such media requires one to model its excitation by both radiation and collisions. In diffuse ISM, the dominant collisional partners are atomic and molecular hydrogen. We report quantum time-independent calculations of collisional cross-sections and rate coefficients for the rotational excitation of HF by H. The reactive hydrogen exchange channels are taken into account in the scattering calculations. For the first time, HF-H rate coefficients are provided for temperature ranging from 10 to 500 K. The strongest collision-induced rotational HF transitions are those with Δj = 1, and the order of magnitude of the new HF-H rate coefficients is similar to that of the HF-H2 ones previously computed. As a first application, we simulate the excitation of HF by both H and H2 in typical diffuse ISM. We show that, depending on the rotational transition, hydrogen atoms increase or decrease the simulated excitation temperatures compared to collisional excitation only due to H2 molecules. Such results suggest that the new HF-H collisional data have to be used for properly modelling the abundance of HF in diffuse ISM.

  15. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  16. An improved method for emergent decontamination of ocular and dermal hydrofluoric acid splashes.

    PubMed

    Soderberg, Kjell; Kuusinen, Petri; Mathieu, Laurence; Hall, Alan H

    2004-08-01

    Accidental hydrofluoric acid (HF) splashes often occur in industrial settings. HF easily penetrates into tissues by initial acid action allowing fluoride ions to penetrate deeply, chelating calcium and magnesium. Resultant hypocalcemia and hypomagnesemia can be fatal. This report describes the utilization of Hexafluorine--a hypertonic, amphoteric, chelating decontamination solution--in workplaces where water decontamination followed by calcium gluconate inunction failed to prevent HF burns and systemic toxicity. Between 1998 and 1999, 16 cases of ocular and dermal HF splashes with either 70% HF or 6% HF/15% nitric acid (HNO3) were decontaminated with Hexafluorine at the worksite. HF burns did not develop and medical treatment other than initial decontamination was not reQuired in 12/16 (75%). In 7/16 (44%) cases, lost work time corresponded to duration of hospital observation (mean < 1 d).

  17. [Evaluation of the effect of one-step self etching adhesives applied in pit and fissure sealing].

    PubMed

    Su, Hong-Ru; Xu, Pei-Cheng; Qian, Wen-Hao

    2016-06-01

    To observe the effect of three one-step self etching adhesive systems used in fit and fissure sealant and explore the feasibility of application in caries prevention in school. Seven hundred and twenty completely erupted mandibular first molars in 360 children aged 7 to 9 years old were chosen. The split-mouth design was used to select one side as the experimental group, divided into A1(Easy One Adper), B1(Adper Easy One), and C1(iBond SE).The contra lateral teeth served as A2,B2 and C2 groups (phosphoric acid). The retention and caries status were regularly reviewed .The clinical effect of the two groups was compared using SPSS19.0 software package for Chi - square test. At 3 and 6 months, pit and fissure sealant retention rate in A1 and A2, B1 and B2,C1 and C2 group had no significant difference. At 12 months, sealant retention in A1 and B1 group was significantly lower than A2 and B2 group (P<0.05). No significant difference was found between C1 and C2 groups (P>0.05). At 24 months, sealant retention rate in A1, B1 and C1 group was significantly lower than A2, B2 and C2 group (P<0.05). The caries rate in A1and A2, B1 and B2, C1 and C2 group had no significant difference during different follow-up time (P>0.05). The clinical anticariogenic effect of three kinds of one-step etching adhesives and phosphoric acid etching sealant was similar .One-step self etching adhesive system was recommended for pit and fissure sealant to improve the students' oral health. The long-term retention rate of one-step self etching adhesive system was lower than the phosphoric acid method to long term observation is needed.

  18. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  19. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  20. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    PubMed

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (p<0.001). Hybridized smear layer observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  1. Electron holography on HfO2/HfO2-x bilayer structures with multilevel resistive switching properties

    NASA Astrophysics Data System (ADS)

    Niu, G.; Schubert, M. A.; Sharath, S. U.; Zaumseil, P.; Vogel, S.; Wenger, C.; Hildebrandt, E.; Bhupathi, S.; Perez, E.; Alff, L.; Lehmann, M.; Schroeder, T.; Niermann, T.

    2017-05-01

    Unveiling the physical nature of the oxygen-deficient conductive filaments (CFs) that are responsible for the resistive switching of the HfO2-based resistive random access memory (RRAM) devices represents a challenging task due to the oxygen vacancy related defect nature and nanometer size of the CFs. As a first important step to this goal, we demonstrate in this work direct visualization and a study of physico-chemical properties of oxygen-deficient amorphous HfO2-x by carrying out transmission electron microscopy electron holography as well as energy dispersive x-ray spectroscopy on HfO2/HfO2-x bilayer heterostructures, which are realized by reactive molecular beam epitaxy. Furthermore, compared to single layer devices, Pt/HfO2/HfO2-x /TiN bilayer devices show enhanced resistive switching characteristics with multilevel behavior, indicating their potential as electronic synapses in future neuromorphic computing applications.

  2. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  3. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  4. Lu-Hf and Sm-Nd isotope systematics of Korean spinel peridotites: A case for metasomatically induced Nd-Hf decoupling

    NASA Astrophysics Data System (ADS)

    Choi, Sung Hi; Mukasa, Samuel B.

    2012-12-01

    We determined the Lu-Hf and Sm-Nd isotope compositions of spinel peridotite xenoliths in alkali basalts from Baengnyeong and Jeju islands, South Korea, to constrain the timing of melt-depletion events and stabilization of the lithospheric mantle beneath the region. Equilibration temperatures estimated by two-pyroxene thermometry range from 780 to 950 °C, and from 960 to 1010 °C for Baengnyeong and Jeju peridotites, respectively. The Baengnyeong peridotite clinopyroxenes are characterized by extremely radiogenic Hf in association with isotopically less extreme Nd, resulting in strong Nd-Hf decoupling referenced to the mantle array. This is in stark contrast to the well-correlated isotopic compositions of Hf and Nd in the Jeju peridotite clinopyroxenes, which plot along the Nd-Hf mantle array. The Hf abundances and isotopic compositions of the Baengnyeong clinopyroxenes were less affected by relatively recent secondary enrichments that overprinted the light rare earth element abundances and Nd isotopes, causing the decoupling of the Nd-Hf isotopes. The Nd-Hf isotopic compositions of the Jeju peridotites appear to have been re-equilibrated, probably as a result of efficient diffusion at the relatively higher temperatures of the Jeju peridotites. Lu-Hf tie lines for clinopyroxene and orthopyroxene from four of the Korean peridotites have negative slopes on a Lu-Hf isochron diagram, yielding negative ages. This is interpreted to indicate recent isotopic exchange in orthopyroxene by reaction with metasomatic agents having low 176Hf/177Hf components. Secondary overprinting in orthopyroxene was facilitated by the considerably lower Hf concentrations than in co-located clinopyroxene. Baengnyeong lherzolite clinopyroxenes yield a Lu-Hf errorchron age of 1.9 ± 0.1 Ga, which is independently supported by a model Os age (based on Re depletion or TRD) of 1.8 Ga on a refractory Baengnyeong peridotite. We interpret this age range to mark the time of stabilization of the mantle

  5. Lu-Hf and Sm-Nd isotope systematics of Korean spinel peridotites: A case for metasomatically induced Nd-Hf decoupling

    NASA Astrophysics Data System (ADS)

    Choi, S.; Mukasa, S. B.

    2012-12-01

    We determined the Lu-Hf and Sm-Nd isotope compositions of spinel peridotite xenoliths in alkali basalts from Baengnyeong and Jeju islands, South Korea, to constrain the timing of melt-depletion events and stabilization of the lithospheric mantle beneath the region. Equilibration temperatures estimated by two-pyroxene thermometry range from 780 to 950°C, and from 960 to 1010°C for Baengnyeong and Jeju peridotites, respectively. The Baengnyeong peridotite clinopyroxenes are characterized by extremely radiogenic Hf in association with isotopically less extreme Nd, resulting in strong Nd-Hf decoupling referenced to the mantle array. This is in stark contrast to the well-correlated isotopic compositions of Hf and Nd in the Jeju peridotite clinopyroxenes, which plot along the Nd-Hf mantle array. The Hf abundances and isotopic compositions of the Baengnyeong clinopyroxenes were less affected by relatively recent secondary enrichments that overprinted the light rare earth element abundances and Nd isotopes, causing the decoupling of the Nd-Hf isotopes. The Nd-Hf isotopic compositions of the Jeju peridotites appear to have been re-equilibrated, probably as a result of efficient diffusion at the relatively higher temperatures of the Jeju peridotites. Lu-Hf tie lines for clinopyroxene and orthopyroxene from four of the Korean peridotites have negative slopes on a Lu-Hf isochron diagram, yielding negative ages. This is interpreted to indicate recent isotopic exchange in orthopyroxene by reaction with metasomatic agents having low 176Hf/177Hf components. Secondary overprinting in orthopyroxene was facilitated by the considerably lower Hf concentrations than in co-located clinopyroxene. Baengnyeong lherzolite clinopyroxenes yield a Lu-Hf errorchron age of 1.9 ± 0.1 Ga, which is independently supported by a model Os age (based on Re depletion or TRD) of 1.8 Ga on a refractory Baengnyeong peridotite. We interpret this age range to mark the time of stabilization of the mantle

  6. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  7. Effects of different etching methods and bonding procedures on shear bond strength of orthodontic metal brackets applied to different CAD/CAM ceramic materials.

    PubMed

    Buyuk, S Kutalmış; Kucukekenci, Ahmet Serkan

    2018-03-01

    To investigate the shear bond strength (SBS) of orthodontic metal brackets applied to different types of ceramic surfaces treated with different etching procedures and bonding agents. Monolithic CAD/CAM ceramic specimens (N = 120; n = 40 each group) of feldspathic ceramic Vita Mark II, resin nanoceramic Lava Ultimate, and hybrid ceramic Vita Enamic were fabricated (14 × 12 × 3 mm). Ceramic specimens were separated into four subgroups (n = 10) according to type of surface treatment and bonding onto the ceramic surface. Within each group, four subgroups were prepared by phosphoric acid, hydrofluoric acid, Transbond XT primer, and Clearfill Ceramic primer. Mandibular central incisor metal brackets were bonded with light-cure composite. The SBS data were analyzed using three-way analysis of variance (ANOVA) and Tukey HSD tests. The highest SBS was found in the Vita Enamic group, which is a hybrid ceramic, etched with hydrofluoric acid and applied Transbond XT Adhesive primer (7.28 ± 2.49 MPa). The lowest SBS was found in the Lava Ultimate group, which is a resin nano-ceramic etched with hydrofluoric acid and applied Clearfill ceramic primer (2.20 ± 1.21 MPa). CAD/CAM material types and bonding procedures affected bond strength ( P < .05), but the etching procedure did not ( P > .05). The use of Transbond XT as a primer bonding agent resulted in higher SBS.

  8. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  9. Adsorptive conversion of nitrogen dioxide from etching vent gases over activated carbon.

    PubMed

    Fang, Mei-Ling; Wu, Ching-Yi; Chou, Ming-Shean

    2018-04-13

    Some metal etching operations emit limited flow rates of waste gases with reddish-brown NO 2 fume, which may cause visual and acidic-odor complaints, as well as negative health effects. In this study, tests were performed by passing caustic-treated waste gases vented from Al-etching operations through columns packed either with virgin or regenerated granular activated carbon (GAC) to test their adsorptive conversion performance of NO 2 in the gases. The gases contained 5-55 ppm NO 2 and acetic and nitric acids of below 3 ppm. Exhausted carbon was regenerated by scrubbing it with caustic solution and water, and dried for further adsorption tests. Results indicate that with an (empty bed residence time (EBRT) of 0.15 sec for the gas through the GAC-packed space, around 60% of the influent NO 2 of 54 ppm could be removed, and 47% of the removed NO 2 was converted by and desorbed from the carbon as NO. GAC used in the present study could be regenerated at least twice to restore its capacity for NO 2 adsorption. Within EBRTs of 0.076-0.18 sec, the adsorptive conversion capacity was linearly varied with EBRT. In practice, with an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Some metal etching operations emit waste gases with reddish-brown (yellow when diluted) NO 2 fume which may cause visual and acidic-odor complaints, as well as negative health effects. This study provides a simple process for the adsorptive conversion of NO 2 in caustic-treated waste gases vented from metal-etching operations through a GAC column. With an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Saturated GAC can be regenerated at least twice by simply scrubbing it with aqueous caustic solution.

  10. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  11. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  12. Vibration-Rotation Bands of HF and DF

    DTIC Science & Technology

    1977-09-23

    98 IZa. Comparison of Observed and Calculated Line Positions of HF, Av = I Sequence ........................... 99 f2b. Comparison of Observed and...Calculated Line Positions of HF, Av = 2 Sequence ........................... 102 12c. Comparison of Observed and Calculated Line Positions of HF, Av = 3...Sequence ........................... 107 i2d. Comparison of Observed and Calculated Line Positions ofHF, Av = 4 Sequence ........................... fi

  13. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  14. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  15. Characteristics of high-k gate dielectric formed by the oxidation of sputtered Hf/Zr/Hf thin films on the Si substrate

    NASA Astrophysics Data System (ADS)

    Kim, H. D.; Roh, Y.; Lee, J. E.; Kang, H.-B.; Yang, C.-W.; Lee, N.-E.

    2004-07-01

    We have investigated the effects of high temperature annealing on the physical and electrical properties of multilayered high-k gate oxide [HfSixOy/HfO2/intermixed-layer(IL)/ZrO2/intermixed-layer(IL)/HfO2] in metal-oxide-semiconductor device. The multilayered high-k films were formed after oxidizing the Hf/Zr/Hf films deposited directly on the Si substrate. The subsequent N2 annealing at high temperature (>= 700 °C) not only results in the polycrystallization of the multilayered high-k films, but also causes the diffusion of Zr. The latter transforms the HfSixOy/HfO2/IL/ZrO2/IL/HfO2 film into the Zr-doped HfO2 film, and improves electrical properties in general. However, the thin SiOx interfacial layer starts to form if annealing temperature increases over 700 °C, deteriorating the equivalent oxide thickness. .

  16. Nanoporous Gallium Nitride Through Anisotropic Metal-Assisted Electroless Photochemical Wet Etching Technique

    NASA Astrophysics Data System (ADS)

    Perumal, R.; Hassan, Z.

    2016-12-01

    Nanoporous gallium nitride (GaN) has many potential applications in light-emitting diodes (LEDs), photovoltaics, templates and chemical sensors. This article reports the porosification of GaN through UV enhanced metal-assisted electroless photochemical wet etching technique using three different acid-based etchants and platinum served as catalyst for porosification. The etching process was conducted at room temperature for a duration of 90min. The morphological, structural, spectral and optical features of the developed porous GaN were studied with appropriate characterization techniques and the obtained results were presented. Field emission scanning electron micrographs exhibited the porosity nature along with excellent porous network of the etched samples. Structural studies confirmed the mono crystalline quality of the porous nanostructures. Raman spectral analyzes inferred the presenting phonon modes such as E2 (TO) and A1 (LO) in fabricated nanoporous structures. The resulted porous nanostructures hold the substantially enhanced photoluminescence intensity compared with the pristine GaN epitaxial film that is interesting and desirable for several advances in the applications of Nano-optoelectronic devices.

  17. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    PubMed

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  18. Fabrication of through-silicon via arrays by photo-assisted electrochemical etching and supercritical electroplating

    NASA Astrophysics Data System (ADS)

    Chuang, Ho-Chiao; Yang, Hsi-Min; Wu, Cheng-Xiang; Sanchez, Jorge; Shyu, Jenq-Huey

    2017-01-01

    This paper aims to fabricate high aspect ratio through silicon via (TSV) by photo-assisted electrochemical etching (PAECE) and supercritical CO2 copper electroplating. A blind-holed silicon array was first fabricated by PAECE. By studying the etching parameters, including hydrofluoric acid concentration, etchant temperature, stirring speed, tetrabutylammonium perchlorate (TBAP) content, and Ohmic contact thickness, an array of pores with a 1∶45 aspect ratio (height=250 μm and diameter=5.5 μm) was obtained successfully. Moreover, TBAP and Kodak Photo-Flo (PF) solution were added into the etchant to acquire smooth sidewalls for the first time. TBAP was added for the first time to serve as an antistatic agent in deionized water-based etchant to prevent side-branch etching, and PF was used to degasify hydrogen bubbles in the etchant. The effect of gold thickness over Ohmic contact was investigated. Randomized etching was observed with an Au thickness of 200 Å, but it can be improved by increasing the etching voltage. The silicon mold of through-holes was filled with metal using supercritical CO2 copper electroplating, which features high diffusivity, permeability, and density. The TSV structure (aspect ratio=1∶35) was obtained at a supercritical pressure of 2000 psi, temperature of 50°C, and current density of 30 mA/cm2 in 2.5 h.

  19. Humic Acid Complexation of Th, Hf and Zr in Ligand Competition Experiments: Metal Loading and Ph Effects

    NASA Technical Reports Server (NTRS)

    Stern, Jennifer C.; Foustoukos, Dionysis I.; Sonke, Jeroen E.; Salters, Vincent J. M.

    2014-01-01

    The mobility of metals in soils and subsurface aquifers is strongly affected by sorption and complexation with dissolved organic matter, oxyhydroxides, clay minerals, and inorganic ligands. Humic substances (HS) are organic macromolecules with functional groups that have a strong affinity for binding metals, such as actinides. Thorium, often studied as an analog for tetravalent actinides, has also been shown to strongly associate with dissolved and colloidal HS in natural waters. The effects of HS on the mobilization dynamics of actinides are of particular interest in risk assessment of nuclear waste repositories. Here, we present conditional equilibrium binding constants (Kc, MHA) of thorium, hafnium, and zirconium-humic acid complexes from ligand competition experiments using capillary electrophoresis coupled with ICP-MS (CE- ICP-MS). Equilibrium dialysis ligand exchange (EDLE) experiments using size exclusion via a 1000 Damembrane were also performed to validate the CE-ICP-MS analysis. Experiments were performed at pH 3.5-7 with solutions containing one tetravalent metal (Th, Hf, or Zr), Elliot soil humic acid (EHA) or Pahokee peat humic acid (PHA), and EDTA. CE-ICP-MS and EDLE experiments yielded nearly identical binding constants for the metal- humic acid complexes, indicating that both methods are appropriate for examining metal speciation at conditions lower than neutral pH. We find that tetravalent metals form strong complexes with humic acids, with Kc, MHA several orders of magnitude above REE-humic complexes. Experiments were conducted at a range of dissolved HA concentrations to examine the effect of [HA]/[Th] molar ratio on Kc, MHA. At low metal loading conditions (i.e. elevated [HA]/[Th] ratios) the ThHA binding constant reached values that were not affected by the relative abundance of humic acid and thorium. The importance of [HA]/[Th] molar ratios on constraining the equilibrium of MHA complexation is apparent when our estimated Kc, MHA values

  20. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  1. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  2. Evaluation of the bond strength of resin cements used to lute ceramics on laser-etched dentin.

    PubMed

    Giray, Figen Eren; Duzdar, Lale; Oksuz, Mustafa; Tanboga, Ilknur

    2014-07-01

    The purpose of this study was to investigate the shear bond strength (SBS) of two different adhesive resin cements used to lute ceramics on laser-etched dentin. Erbium, chromium: yttrium, scandium, gallium, garnet (Er,Cr:YSGG) laser irradiation has been claimed to improve the adhesive properties of dentin, but results to date have been controversial, and its compatibility with existing adhesive resin cements has not been conclusively determined. Two adhesive cements, one "etch-and-rinse" [Variolink II (V)] and one "self-etch" [Clearfil Esthetic Cement (C)] luting cement, were used to lute ceramic blocks (Vita Celay Blanks, Vita) onto dentin surfaces. In total, 80 dentin specimens were distributed randomly into eight experimental groups according to the dentin surface-etching technique used Er,Cr:YSGG laser and Er:YAG laser: (1) 37% orthophosphoric acid+V (control group), (2) Er,Cr:YSGG laser+V, (3) Er,Cr:YSGG laser+acid+V, (4) Er:YAG laser+V, (5) Er:YAG laser+acid+V, (6) C, (7) Er,Cr:YSGG laser+C, and (8) Er:YAG laser+C. Following these applications, the ceramic discs were bonded to prepared surfaces and were shear loaded in a universal testing machine until fracture. SBS was recorded for each group in MPa. Shear test values were evaluated statistically using the Mann-Whitney U test. No statistically significant differences were evident between the control group and the other groups (p>0.05). The Er,Cr:YSGG laser+A+V group demonstrated significantly higher SBS than did the Er,Cr:YSGG laser+V group (p=0.034). The Er,Cr:YSGG laser+C and Er:YAG laser+C groups demonstrated significantly lower SBS than did the C group (p<0.05). Dentin surfaces prepared with lasers may provide comparable ceramic bond strengths, depending upon the adhesive cement used.

  3. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  4. The Pfi-Zeke Spectroscopy Study of HfS+ and the Ionization Energy of HfS

    NASA Astrophysics Data System (ADS)

    Antonov, I. O.; Barker, B. J.; Heaven, M. C.

    2011-06-01

    Spectroscopic data for the ground and low-lying states HfS+ have been obtained using the technique of pulse field ionization - zero electron kinetic energy (PFI-ZEKE) spectroscopy. PFI-ZEKE spectra were recorded for the levels X2Σ+ (v=0-18), 2Δ5/2 (v=0-8) and 2Δ3/2 (v=0-3). Assignments of the electronically excited states of HfS+ are based on CCSD(T) and DFT calculations with SDB-aug-cc-pVTZ basis set. Rotationally resolved spectra were recorded for the X2Σ+ (v=0) state using single rotational line excitation of the intermediate state. The ionization energy for HfS, term energies and molecular constants for the ground and low-lying states of HfS+ will be reported.

  5. Recovering obliterated engraved marks on aluminium surfaces by etching technique.

    PubMed

    Baharum, Mohd Izhar Mohd; Kuppuswamy, R; Rahman, Azari Abd

    2008-05-20

    A study has been made of the characteristics of restoration of obliterated engraved marks on aluminium surfaces by etching technique. By etching different reagents on 0.61mm thick sheets of aluminium (99wt%) on which some engraved marks had been erased to different depths it was found that the reagent 60% hydrochloric acid and 40% sodium hydroxide on alternate swabbing on the surfaces was found to be the most sensitive one for these metal surfaces. This reagent was able to restore marks in the above plates erased down to 0.04mm below the bottom of the engraving. The marks also presented excellent contrast with the background. This reagent was further experimented with similar aluminium surfaces, but of relatively greater thickness of 1.5mm. It was noticed that the recovery depth increased slightly to 0.06mm; this suggested the dependence of recovery depth on the thickness of the sheet metal. Further, the depth of restoration decreased in cases where the original number was erased and over which a new number was engraved; the latter results are similar to those of steel surfaces reported earlier [M.A.M. Zaili, R. Kuppuswamy, H. Harun, Restoration of engraved marks on steel surfaces by etching technique, Forensic Sci. Int. 171 (2007) 27-32].

  6. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    NASA Astrophysics Data System (ADS)

    Weiying, Ou; Lei, Zhao; Hongwei, Diao; Jun, Zhang; Wenjing, Wang

    2011-05-01

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells.

  7. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    PubMed

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  8. The initial Hf isotopic composition of the Earth

    NASA Astrophysics Data System (ADS)

    Bouvier, A.; Boyet, M. M.; Vervoort, J. D.; Patchett, P. J.

    2011-12-01

    One area of considerable activity in trying to understand the formation and evolution of Earth's crust is the isotopic analysis of Hf in parallel with Sm-Nd and U-Pb zircon studies, either to constrain early crustal growth and evolution [1], or as a complement to detrital zircon studies [2]. The 176Lu decay constant deduced from early planetary and Earth materials have different values. It has been suggested that a period of irradiation in the early Solar System affected the 176Hf production rate in meteoritic and planetary materials [3,4]. In this scenario, the initial Hf isotopic composition of the Solar System and the Earth would be ~4 ∈Hf units lower, affecting tremendously the interpretation of the differentiation history of the early Earth. We investigated Lu-Hf compositions of calcium-aluminum-rich inclusions, the oldest known objects of the Solar System dated at 4568 Ma [5], to assess the possibility of neutrino irradiation in the solar nebula. Here we report high-precision 176Lu-176Hf systematics of leached and unleached, and spiked and unspiked, bulk fractions and mineral separates of 6 individual CAIs from 2 CV3 chondrites. Isotopic analyses were carried out by Neptune MC-ICPMS at ASU. Analytical details are in [6,7]. The unspiked Hf fractions reveal stable isotope anomalies of μ178Hf= 20 ± 6 and μ180Hf= 31 ± 9 (2SD) for the CAI B4 fractions (n=3) and μ178Hf= -4 ± 10 and μ180Hf= 2 ± 10 (n=2) for BCR-2 relative to the JMC 475 Hf standard. Further high-precision analysis of unspiked Sm and Nd fractions of the samples will be made to correct from nucleosynthetic or neutron capture anomalies [8]. Such Hf stable isotopic anomalies predict no more than 50ppm correction on 176Hf/177Hf. At this stage, we have thus regressed together the spiked and unspiked Hf compositions of CAI fractions (n=13) for isochron calculations. The slope of the Lu-Hf isochron is 0.0882 ± 0.0026 (2SD) which corresponds to a 176Lu decay constant value of 1.852 (± 0.052) ×10

  9. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  10. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    PubMed

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (P< 0.05). CSE showed higher microTBS than the other adhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  11. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  12. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  13. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  14. Effects of self-etching primer on shear bond strength of orthodontic brackets at different debond times.

    PubMed

    Turk, Tamer; Elekdag-Turk, Selma; Isci, Devrim

    2007-01-01

    To evaluate the effect of a self-etching primer on shear bond strengths (SBS) at the different debond times of 5, 15, 30, and 60 minutes and 24 hours. Brackets were bonded to human premolars with different etching protocols. In the control group (conventional method [CM]) teeth were etched with 37% phosphoric acid. In the study group, a self-etching primer (SEP; Transbond Plus Self Etching Primer; 3M Unitek, Monrovia, Calif) was applied as recommended by the manufacturer. Brackets were bonded with light-cure adhesive paste (Transbond XT; 3M Unitek) and light-cured for 20 seconds in both groups. The shear bond test was performed at the different debond times of 5, 15, 30 and 60 minutes and 24 hours. Lowest SBS was attained with a debond time of 5 minutes for the CM group (9.51 MPa) and the SEP group (8.97 MPa). Highest SBS was obtained with a debond time of 24 hours for the CM group (16.82 MPa) and the SEP group (19.11 MPa). Statistically significant differences between the two groups were not observed for debond times of 5, 15, 30, or 60 minutes. However, the SBS values obtained at 24 hours were significantly different (P < .001). Adequate SBS was obtained with self-etching primer during the first 60 minutes (5, 15, 30 and 60 minutes) when compared with the conventional method. It is reliable to load the bracket 5 minutes after bonding using self-etching primer (Transbond Plus) with the light-cure adhesive (Transbond XT).

  15. THERMODYNAMIC PROPERTIES OF Zr AND Hf HALIDES (in Rumanian)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lungu, S.N.

    1962-01-01

    The following standard heats of formation were found: ZrCl/sub 3/, 178.6 plus or minus 3.2; ZrCl/sub 2/, 124.3 plus or minus 3; ZrBr/sub 3/, 151 plus or minus 7; Zr Br/sbu 2/, 100 plus or minus 6; ZrI/sub 3/, 103 plus or minus 6; ZrI/sub 2/, 68 plus or minus 4; and HfCl/sub 4/, 239.4 plus or minus 5.5; HfCl/sub 3/, 186.6; HfCl/sub 2/, 130; HfBr/sub 4/, 200; HfBr/sub 3/, 157; HfBr / sub 2/, 108; HfI/sub 4/, 140; HfI/sub 3/, 113; and HfI/sub 2/, 72 kcal/mole. (R.V.J.)

  16. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  17. Comparison of shear bond strength of universal adhesives on etched and nonetched enamel.

    PubMed

    Beltrami, Riccardo; Chiesa, Marco; Scribante, Andrea; Allegretti, Jessica; Poggio, Claudio

    2016-04-06

    The purpose of this study was to evaluate the effect of surface pretreatment with 37% phosphoric acid on the enamel bond strength of different universal adhesives. One hundred and sixty bovine permanent mandibular incisors freshly extracted were used as a substitute for human teeth. The materials tested in this study included 6 universal adhesives, and 2 self-etch adhesives as control. The teeth were assigned into 2 groups: In the first group, etching was performed using 37% phosphoric acid for 30 seconds. In the second group, no pretreatment agent was applied. After adhesive application, a nanohybrid composite resin was inserted into the enamel surface by packing the material into cylindrical-shaped plastic matrices. After storing, the specimens were placed in a universal testing machine. The normality of the data was calculated using the Kolmogorov-Smirnov test. Analysis of variance (ANOVA) was applied to determine whether significant differences in debond strength values existed among the various groups. Groups with phosphoric acid pretreatment showed significantly higher shear bond strength values than groups with no enamel pretreatment (p<0.001). No significant variation in shear strength values was detected when comparing the different adhesive systems applied onto enamel after orthophosphoric acid application (p>0.05). All adhesives provide similar bond strength values when enamel pretreatment is applied even if compositions are different. Bond strength values are lower than promised by manufacturers.

  18. Lu-Hf constraints on the evolution of lunar basalts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fujimaki, H.; Tatsumoto, M.

    1984-02-15

    Very low Ti basalts andd green glass samples from the moon show high Lu/Hf ratios and low Hf concentrations. Low-Ti lunar basalts show high and variable Lu/Hf ratios and higher Hf concentrations, whereas high-Ti lunar basalts show low Lu/Hf ratios and high Hf concentrations. KREEP basalts have constant Lu/Hf ratios and high but variable Hf concentrations. Using the Lu-Hf behavior as a constraint, we propose a model for the mare basalts evolution. This constraint requires extensive crystallization of the primary lunar magma ocean prior to formation of the lunar mare basalt sources and the KREEP basalts. Mare basalts are producedmore » by the melting of the cumulate rocks, and KREEP basalts represent the residual liquid of the magma ocean.« less

  19. Enhanced in vitro biocompatibility of ultrafine-grained biomedical NiTi alloy with microporous surface

    NASA Astrophysics Data System (ADS)

    Zheng, C. Y.; Nie, F. L.; Zheng, Y. F.; Cheng, Y.; Wei, S. C.; Valiev, R. Z.

    2011-08-01

    Bulk ultrafine-grained Ni 50.8Ti 49.2 alloy (UFG-NiTi) was successfully fabricated by equal-channel angular pressing (ECAP) technique in the present study, and to further improve its surface biocompatibility, surface modification techniques including sandblasting, acid etching and alkali treatment were employed to produce either irregularly roughened surface or microporous surface or hierarchical porous surface with bioactivity. The effect of the above surface treatments on the surface roughness, wettability, corrosion behavior, ion release, apatite forming ability and cytocompatibility of UFG-NiTi alloy were systematically investigated with the coarse-grained NiTi alloy as control. The pitting corrosion potential ( Epit) was increased from 393 mV (SCE) to 704 mV (SCE) with sandblasting and further increased to 1539 mV (SCE) with following acid etching in HF/HNO 3 solution. All the above surface treatment increased the apatite forming ability of UFG-NiTi in varying degrees when soaked them in simulated body fluid (SBF). Meanwhile, both sandblasting and acid etching could promote the cytocompatibility for osteoblasts: sandblasting enhanced cell attachment and acid etching increased cell proliferation. The different corrosion behavior, apatite forming ability and cellular response of UFG-NiTi after different surface modifications are attributed to the topography and wettability of the resulting surface oxide layer.

  20. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  1. In Situ Infrared Spectroscopic Studies of Molecular Layer Deposition and Atomic Layer Etching Processes

    NASA Astrophysics Data System (ADS)

    DuMont, Jaime Willadean

    In this thesis, in situ Fourier transform infrared (FTIR) spectroscopy was used to study: i) the growth and pyrolysis of molecular layer deposition (MLD) films. ii) the surface chemistry of atomic layer etching (ALE) processes. Atomic layer processes such as molecular layer deposition (MLD) and atomic layer etching (ALE) are techniques that can add or remove material with atomic level precision using sequential, self-limiting surface reactions. Deposition and removal processes at the atomic scale are powerful tools for many industrial and research applications such as energy storage and semiconductor nanofabrication. The first section of this thesis describes the chemistry of reactions leading to the MLD of aluminum and tin alkoxide polymer films known as "alucone" and "tincone", respectively. The subsequent pyrolysis of these films to produce metal oxide/carbon composites was also investigated. In situ FTIR spectroscopy was conducted to monitor surface species during MLD film growth and to monitor the films background infrared absorbance versus pyrolysis temperature. Ex situ techniques such as transmission electron microscopy (TEM), four-point probe and X-ray diffraction (XRD) were utilized to study the properties of the films post-pyrolysis. TEM confirmed that the pyrolyzed films maintained conformality during post-processing. Four-point probe monitored film resistivity versus pyrolysis temperature and XRD determined the film crystallinity. The second section of this thesis focuses on the surface chemistry of Al2O3 and SiO2 ALE processes, respectively. Thermal ALE processes have been recently developed which utilize sequential fluorination and ligand exchange reactions. An intimate knowledge of the surface chemistry is important in understanding the ALE process. In this section, the competition between the Al2O3 etching and AlF 3 growth that occur during sequential HF (fluorinating agent) and TMA (ligand exchange) exposures is investigated using in situ FTIR

  2. Shear bond strengths of self-etching adhesives to caries-affected dentin on the gingival wall.

    PubMed

    Koyuturk, Alp Erdin; Sengun, Abdulkadir; Ozer, Fusun; Sener, Yagmur; Gokalp, Alparslan

    2006-03-01

    The purpose of this study was to evaluate the bonding ability of five current self-etching adhesives to caries-affected dentin on the gingival wall. Seventy extracted human molars with approximal dentin caries were employed in this study. In order to obtain caries-affected dentin on the gingival wall, grinding was performed under running water. Following which, specimens mounted in acrylic blocks and composite resins of the bonding systems were bonded to dentin with plastic rings and then debonded by shear bond strength. With Clearfil SE Bond, bonding to caries-affected dentin showed the highest bond strength. With Optibond Solo Plus Self-Etch, bonding to caries-affected dentin showed higher shear bond strength than AQ Bond, Tyrian SPE & One-Step Plus, and Prompt-L-Pop (p<0.05). Further, the bond strengths of Clearfil SE Bond and Optibond Solo Plus Self-Etch to sound dentin were higher than those of Prompt-L-Pop, AQ Bond, and Tyrian SPE & One-Step Plus (p<0.05). In conclusion, besides micromechanical interlocking through hybrid layer formation, bond strength of self-etch adhesives to dentin may be increased from additional chemical interaction between the functional monomer and residual hydroxyapatite. The results of this study confirmed that differences in bond strength among self-etching adhesives to both caries-affected and sound dentin were due to chemical composition rather than acidity.

  3. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  4. Selforganized Structure Formation in Organized Microstructuring by Laser-Jet Etching

    NASA Astrophysics Data System (ADS)

    Rabbow, T. J.; Plath, P. J.; Mora, A.; Haase, M.

    Laser-jet induced wet etching of stainless steel in 5M H3PO4 has been investigated. By this method, it is possible to cut and microstructure metals and alloys that form passive layers in strong etchants. Due to the laser heating of the metal and the adjacent layers of the etchant, the passive layer is removed and an active dissolution of the base metal together with the formation of hydrogen is observed. The reactions are limited by the transport of fresh acid and the removal of dissolved metal. A jet of etchant reduces the transport limitations. For definite ranges of the laser power, the feed velocity and the etchant jet velocity, a regime of periodic structure formation of the kerf, often called ripples, has been found. The ripple length depends on all three parameters. The ripple formation can be brought into correlation with a periodic change of the intensity of the reflected light as well as oscillations of the potential workpiece. It could be shown that the periodic structure formation is connected to a spreading of an etching front from the laser activated area, that temporarily moves ahead to the laser. This leads to modulations of the interface for the laser absorption, which results, for example, in oscillations of the intensity of the reflected light. This means the laser induced etching reaction attracts a feedback based on the conditions of absorption for the laser. For those parameters of feed velocity, laser power and etchant jet velocity, without ripple formation the laser induced etching front is of a constant distance to the laser which results in steady conditions at the interface for the absorption of the laser.

  5. Recent observations of HF and HCl in the upper stratosphere

    NASA Technical Reports Server (NTRS)

    Zander, R.

    1981-01-01

    Concentrations of gas phase hydrofluoric acid and hydrochloric acid have been determined in the upper stratosphere from near-infrared solar spectra recorded in the course of balloon flights from Palestine, Texas, in October 1978 and in September 1979. The average mixing ratios for HF deduced respectively from these flights are (4.8 plus or minus .3) x 10 to the -10th ppv above 30.3km and (6.2 plus or minus .5) x 10 to the -10th ppv above 36.8km. This observed difference is ascribed to an increase in the HF concentration out to at least 37km. The HCl mixing ratios deduced from the 1978 flight yield (4.5 plus or minus .6) x 10 to the -10th ppv at 21.7km, (7.5 plus or minus .7) x 10 to the -10th ppv at 27.5 km and (2.1 plus or minus .4) x 10 to the -9th ppv above 30.5km. Observations in 1979 imply an average HCl mixing ratio above 36.8km of (2.4 plus or minus .4) x 10 to the -9th ppv. Our data do not indicate a measurable temporal trend of the HCl concentration above 30km.

  6. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  7. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  8. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  9. Sr-Nd-Hf Isotopic Analysis of <10 mg Dust Samples: Implications for Ice Core Dust Source Fingerprinting

    NASA Astrophysics Data System (ADS)

    Újvári, Gábor; Wegner, Wencke; Klötzli, Urs; Horschinegg, Monika; Hippler, Dorothee

    2018-01-01

    Combined Sr-Nd-Hf isotopic data of two reference materials (AGV-1/BCR2) and 50, 10, and 5 mg aliquots of carbonate-free fine grain (<10 μm) separates of three loess samples (Central Europe/NUS, China/BEI, USA/JUD) are presented. Good agreement between measured and reference Sr-Nd-Hf isotopic compositions (ICs) demonstrate that robust isotopic ratios can be obtained from 5 to 10 mg size rock samples using the ion exchange/mass spectrometry techniques applied. While 87Sr/86Sr ratios of dust aluminosilicate fractions are affected by even small changes in pretreatments, Nd isotopic ratios are found to be insensitive to acid leaching, grain-size or weathering effects. However, the Nd isotopic tracer is sometimes inconclusive in dust source fingerprinting (BEI and NUS both close to ɛNd(0) -10). Hafnium isotopic values (<10 μm fractions) are homogenous for NUS, while highly variable for BEI. This heterogeneity and vertical arrays of Hf isotopic data suggest zircon depletion effects toward the clay fractions (<2 μm). Monte Carlo simulations demonstrate that the Hf IC of the dust <10 μm fraction is influenced by both the abundance of zircons present and maturity of crustal rocks supplying this heavy mineral, while the <2 μm fraction is almost unaffected. Thus, ɛHf(0) variations in the clay fraction are largely controlled by the Hf IC of clays/heavy minerals having high Lu/Hf and radiogenic 176Hf/177Hf IC. Future work should be focused on Hf IC of both the <10 and <2 μm fractions of dust from potential source areas to gain more insight into the origin of last glacial dust in Greenland ice cores.

  10. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  11. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  12. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  13. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  14. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  15. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  16. A method for combined Sr-Nd-Hf isotopic analysis of <10 mg dust samples: implication for ice core science

    NASA Astrophysics Data System (ADS)

    Ujvari, Gabor; Wegner, Wencke; Klötzli, Urs

    2017-04-01

    purifying Sr and Nd for subsequent TIMS isotopic measurements. International rock standard BCR-2 (10 mg aliquot) gave 87Sr/86Sr, 143Nd/144Nd and 176Hf/177Hf isotopic ratios of 0.705127±0.000004 (2σ), 0.512625±0.000004 and 0.282853±0.000006, respectively. These data are in good agreement with the certified values (0.705000±0.0000011, 0.512637±0.000013 and 0.282866±0.000011; [9]) demonstrating that the experimental procedures and setup allow for valid 176Lu and 176Yb corrections and results in reliable 176Hf/177Hf (and also 87Sr/86Sr, 143Nd/144Nd) ratios. Along with the standards 50, 10 and 5 mg aliquots of the fine (<10 μm) fractions of three wind-blown loess sediment samples from Central Europe (NUS), China (BEI) and the US (JUD) were processed (all acetic acid treated for carbonate removal, i.e. aluminosilicate fractions were analysed). Sr isotopic compositions varied between the aliquots within a range of ˜0.00007 for the three samples. Comparison of these values with previously obtained 87Sr/86Sr isotopic ratios from the same samples (different acid/sample amounts) reveals that these values are very sensitive to the acetic acid treatment (acid molarity and amount). By contrast, Nd isotopic ratios do not seem to be affected by acid treatment and these signatures are extremely stable both within run (0.000008 variability for the three aliquots, i.e. 0.15 ɛNd unit) and between runs of different laboratories (0.00006, ca 1 ɛNd unit). Hf isotopic compositions varied within 1 (NUS) and 5 ɛHf units (BEI) between the three aliquots, but the BEI sample always gave more positive ɛHf values (mostly below -4.7) than the Central European sample (NUS, above -6.5, mostly around -8). JUD gave two values of -4.9 and -7.9. It should be further studied using other dust samples if the slightly larger dispersion in 176Hf/177Hf for the different aliquots of BEI is a unique feature or not. Nevertheless, the ca. 3-7 ɛHf unit difference between the Central European and Chinese dust

  17. Refractive index profiles of Ge-doped optical fibers with nanometer spatial resolution using atomic force microscopy.

    PubMed

    Pace, P; Huntington, Shane; Lyytikäinen, K; Roberts, A; Love, J

    2004-04-05

    We show a quantitative connection between Refractive Index Profiles (RIP) and measurements made by an Atomic Force Microscope (AFM). Germanium doped fibers were chemically etched in hydrofluoric acid solution (HF) and the wet etching characteristics of germanium were studied using an AFM. The AFM profiles were compared to both a concentration profile of the preform determined using a Scanning Electron Microscope (SEM) and a RIP of the fiber measured using a commercial profiling instrument, and were found to be in excellent agreement. It is now possible to calculate the RIP of a germanium doped fiber directly from an AFM profile.

  18. Electrowetting Lens Employing Hemispherical Cavity Formed by Hydrofluoric Acid, Nitric Acid, and Acetic Acid Etching of Silicon

    NASA Astrophysics Data System (ADS)

    Lee, June Kyoo; Choi, Ju Chan; Jang, Won Ick; Kim, Hak-Rin; Kong, Seong Ho

    2012-06-01

    We demonstrate the design of an electrowetting lens employing a high-aspect-ratio hemispherical lens cavity and its micro-electro-mechanical-system (MEMS) fabrication process in this study. Our preliminary simulation results showed that the physical and electrical durability of the lens can be improved by the mitigation of stresses on the insulator at the hemispherical cavity. High-aspect-ratio hemispherical cavities with various diameters and very smooth sidewall surfaces were uniformly fabricated on a silicon wafer by a sophisticated isotropic wet etching technique. Moreover, we experimentally investigated the optical properties of the MEMS-based electrowetting lens with the proposed cavity. Two immiscible liquids in the proposed lens cavity were electrostatically controlled with negligible optical distortion and low focal-length hysteresis due to the fully axis-symmetrical geometry and smooth sidewall of the cavity.

  19. Effect of post-space treatment on retention of fiber posts in different root regions using two self-etching systems.

    PubMed

    Zhang, Ling; Huang, Li; Xiong, Yu; Fang, Ming; Chen, Ji-Hua; Ferrari, Marco

    2008-06-01

    The effect of post-space treatment on the retention of fiber posts in different root regions was evaluated using two self-etching systems. Post spaces were prepared in extracted premolars and then the root canals were subjected to one of the following post-space treatments: (i) water irrigation (control); (ii) etching with 35% phosphoric acid for 30 s; (iii) irrigation with 17% EDTA followed by 5.25% sodium hypochlorite (NaOCl); and (iv) ultrasonic agitation associated with 17% EDTA and 5.25% NaOCl irrigating solutions. The dentin surfaces were examined under scanning electron microscopy (SEM) after different post-space treatments. Fiber posts were then luted in the treated roots using resin cement with either Clearfil SE Bond or Clearfil DC Bond, and the thin-slice push-out test was performed. Scanning electron microscopy showed that all the post-space treatments tested were effective in removal of the smear layer of debris, or sealer/gutta-percha remnants, on the root canal. The apical push-out strength was affected by post-space treatment. Both 35% phosphoric acid etching and ultrasonic agitation in combination with EDTA/NaOCl irrigation improved the apical push-out strength of the fiber post, regardless of the type of self-etching system. A solo irrigation with an EDTA/NaOCl solution resulted in a lower apical push-out strength compared with the other two experimental groups.

  20. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  1. Freestanding silicon quantum dots: origin of red and blue luminescence.

    PubMed

    Gupta, Anoop; Wiggers, Hartmut

    2011-02-04

    In this paper, we studied the behavior of silicon quantum dots (Si-QDs) after etching and surface oxidation by means of photoluminescence (PL) measurements, Fourier transform infrared spectroscopy (FTIR) and electron paramagnetic resonance spectroscopy (EPR). We observed that etching of red luminescing Si-QDs with HF acid drastically reduces the concentration of defects and significantly enhances their PL intensity together with a small shift in the emission spectrum. Additionally, we observed the emergence of blue luminescence from Si-QDs during the re-oxidation of freshly etched particles. Our results indicate that the red emission is related to the quantum confinement effect, while the blue emission from Si-QDs is related to defect states at the newly formed silicon oxide surface.

  2. Shear bond strength of orthodontic brackets bonded with different self-etching adhesives.

    PubMed

    Scougall Vilchis, Rogelio José; Yamamoto, Seigo; Kitai, Noriyuki; Yamamoto, Kohji

    2009-09-01

    The purpose of this study was to compare the shear bond strength (SBS) of orthodontic brackets bonded with 4 self-etching adhesives. A total of 175 extracted premolars were randomly divided into 5 groups (n = 35). Group I was the control, in which the enamel was etched with 37% phosphoric acid, and stainless steel brackets were bonded with Transbond XT (3M Unitek, Monrovia, Calif). In the remaining 4 groups, the enamel was conditioned with the following self-etching primers and adhesives: group II, Transbond Plus and Transbond XT (3M Unitek); group III, Clearfil Mega Bond FA and Kurasper F (Kuraray Medical, Tokyo, Japan); group IV, Primers A and B, and BeautyOrtho Bond (Shofu, Kyoto, Japan); and group V, AdheSE and Heliosit Orthodontic (Ivoclar Vivadent AG, Liechtenstein). The teeth were stored in distilled water at 37 degrees C for 24 hours and debonded with a universal testing machine. The adhesive remnant index (ARI) including enamel fracture score was also evaluated. Additionally, the conditioned enamel surfaces were observed under a scanning electron microscope. The SBS values of groups I (19.0 +/- 6.7 MPa) and II (16.6 +/- 7.3 MPa) were significantly higher than those of groups III (11.0 +/- 3.9 MPa), IV (10.1 +/- 3.7 MPa), and V (11.8 +/- 3.5 MPa). Fluoride-releasing adhesives (Kurasper F and BeautyOrtho Bond) showed clinically acceptable SBS values. Significant differences were found in the ARI and enamel fracture scores between groups I and II. The 4 self-etching adhesives yielded SBS values higher than the bond strength (5.9 to 7.8 MPa) suggested for routine clinical treatment, indicating that orthodontic brackets can be successfully bonded with any of these self-etching adhesives.

  3. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  4. Urinary Loss of Tricarboxylic Acid Cycle Intermediates As Revealed by Metabolomics Studies: An Underlying Mechanism to Reduce Lipid Accretion by Whey Protein Ingestion?

    PubMed Central

    2015-01-01

    Whey protein intake is associated with the modulation of energy metabolism and altered body composition both in human subjects and in animals, but the underlying mechanisms are not yet elucidated. We fed obesity-prone C57BL/6J mice high-fat diets with either casein (HF casein) or whey (HF whey) for 6 weeks. At equal energy intake and apparent fat and nitrogen digestibility, mice fed HF whey stored less energy as lipids, evident both as lower white adipose tissue mass and as reduced liver lipids, compared with HF-casein-fed mice. Explorative analyses of 48 h urine, both by 1H NMR and LC–MS metabolomic platforms, demonstrated higher urinary excretion of tricarboxylic acid (TCA) cycle intermediates citric acid and succinic acid (identified by both platforms), and cis-aconitic acid and isocitric acid (identified by LC–MS platform) in the HF whey, relative to in the HF-casein-fed mice. Targeted LC–MS analyses revealed higher citric acid and cis-aconitic acid concentrations in fed state plasma, but not in liver of HF-whey-fed mice. We propose that enhanced urinary loss of TCA cycle metabolites drain available substrates for anabolic processes, such as lipogenesis, thereby leading to reduced lipid accretion in HF-whey-fed compared to HF-casein-fed mice. PMID:24702026

  5. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  7. EFFECT OF AN ADDITIONAL HYDROPHILIC VERSUS HYDROPHOBIC COAT ON THE QUALITY OF DENTINAL SEALING PROVIDED BY TWO-STEP ETCH-AND-RINSE ADHESIVES

    PubMed Central

    Silva, Safira Marques de Andrade; Carrilho, Marcela Rocha de Oliveira; Marquezini, Luiz; Garcia, Fernanda Cristina Pimentel; Manso, Adriana Pigozzo; Alves, Marcelo Corrêa; de Carvalho, Ricardo Marins

    2009-01-01

    Objective: To test the hypothesis that the quality of the dentinal sealing provided by two-step etch-and-rinse adhesives cannot be altered by the addition of an extra layer of the respective adhesive or the application of a more hydrophobic, non-solvated resin. Material and Methods: full-crown preparations were acid-etched with phosphoric acid for 15 s and bonded with Adper Single Bond (3M ESPE), Excite DSC (Ivoclar/Vivadent) or Prime & Bond NT (Dentsply). The adhesives were used according to the manufacturers' instructions (control groups) or after application to dentin they were a) covered with an extra coat of each respective system or b) coated with a non-solvated bonding agent (Adper Scotchbond Multi-Purpose Adhesive, 3M ESPE). Fluid flow rate was measured before and after dentin surfaces were acid-etched and bonded with adhesives. Results: None of the adhesives or experimental treatments was capable to block completely the fluid transudation across the treated dentin. Application of an extra coat of the adhesive did not reduce the fluid flow rate of adhesive-bonded dentin (p>0.05). Conversely, the application of a more hydrophobic non-solvated resin resulted in significant reductions in the fluid flow rate (p<0.05) for all tested adhesives. Conclusions: The quality of the dentinal sealing provided by etch-and-rinse adhesives can be significantly improved by the application of a more hydrophobic, non-solvated bonding agent. PMID:19466248

  8. Reference binding energies of transition metal carbides by core-level x-ray photoelectron spectroscopy free from Ar+ etching artefacts

    NASA Astrophysics Data System (ADS)

    Greczynski, G.; Primetzhofer, D.; Hultman, L.

    2018-04-01

    We report x-ray photoelectron spectroscopy (XPS) core level binding energies (BE's) for the widely-applicable groups IVb-VIb transition metal carbides (TMCs) TiC, VC, CrC, ZrC, NbC, MoC, HfC, TaC, and WC. Thin film samples are grown in the same deposition system, by dc magnetron co-sputtering from graphite and respective elemental metal targets in Ar atmosphere. To remove surface contaminations resulting from exposure to air during sample transfer from the growth chamber into the XPS system, layers are either (i) Ar+ ion-etched or (ii) UHV-annealed in situ prior to XPS analyses. High resolution XPS spectra reveal that even gentle etching affects the shape of core level signals, as well as BE values, which are systematically offset by 0.2-0.5 eV towards lower BE. These destructive effects of Ar+ ion etch become more pronounced with increasing the metal atom mass due to an increasing carbon-to-metal sputter yield ratio. Systematic analysis reveals that for each row in the periodic table (3d, 4d, and 5d) C 1s BE increases from left to right indicative of a decreased charge transfer from TM to C atoms, hence bond weakening. Moreover, C 1s BE decreases linearly with increasing carbide/metal melting point ratio. Spectra reported here, acquired from a consistent set of samples in the same instrument, should serve as a reference for true deconvolution of complex XPS cases, including multinary carbides, nitrides, and carbonitrides.

  9. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  10. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  11. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  12. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    PubMed Central

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  13. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    PubMed

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  14. LU-HF Age and Isotope Systematics of ALH84001

    NASA Technical Reports Server (NTRS)

    Righter, M.; Lapen, T. J.; Brandon, A. D.; Beard, B. L.; Shafer, J. T.; Peslier, A. H.

    2009-01-01

    Allan Hills (ALH) 84001 is an orthopyroxenite that is unique among the Martian meteorites in having the oldest inferred crystallization age (approx..4.5 to 4.0 Gyr) [e.g., 1-6 and references therein 7]. Its ancient origin makes this stone a critical constraint on early history of Mars, in particular the evolution of different planetary crust and mantle reservoirs. However, because there is significant variability in reported crystallization ages, determination of initial isotope compositions is imprecise making assessment of planetary reservoirs difficult. Here we report a new Lu-Hf mineral isochron age, initial Hf-176/Hf-177 isotope composition, and inferred Martian mantle source compositions for ALH84001 that place constraints on longlived source reservoirs for the enriched shergottite suite of Martian meteorites including Shergotty, Zagami, NWA4468, NWA856, RBT04262, LAR06319, and Los Angeles. Sm-Nd isotope analyses are under way for the same mineral aliquots analyzed for Lu-Hf. The Lu-Hf system was utilized because Lu and Hf are both lithophile and refractory and are not easily redistributed during short-lived thermal pulses associated with shock metamorphism. Moreover, chromite has relatively modest Hf concentrations with very low Lu/Hf ratios [9] yielding tight constraints on initial Hf-176/Hf-177 isotope compositions

  15. Power-Stepped HF Cross Modulation Experiments at HAARP

    NASA Astrophysics Data System (ADS)

    Greene, S.; Moore, R. C.; Langston, J. S.

    2013-12-01

    High frequency (HF) cross modulation experiments are a well established means for probing the HF-modified characteristics of the D-region ionosphere. In this paper, we apply experimental observations of HF cross-modulation to the related problem of ELF/VLF wave generation. HF cross-modulation measurements are used to evaluate the efficiency of ionospheric conductivity modulation during power-stepped modulated HF heating experiments. The results are compared to previously published dependencies of ELF/VLF wave amplitude on HF peak power. The experiments were performed during the March 2013 campaign at the High Frequency Active Auroral Research Program (HAARP) Observatory. HAARP was operated in a dual-beam transmission format: the first beam heated the ionosphere using sinusoidal amplitude modulation while the second beam broadcast a series of low-power probe pulses. The peak power of the modulating beam was incremented in 1-dB steps. We compare the minimum and maximum cross-modulation effect and the amplitude of the resulting cross-modulation waveform to the expected power-law dependence of ELF/VLF wave amplitude on HF power.

  16. Tracking magmatic processes through Zr/Hf ratios in rocks and Hf and Ti zoning in zircons: An example from the Spirit Mountain batholith, Nevada

    USGS Publications Warehouse

    Lowery, Claiborne L.E.; Miller, C.F.; Walker, B.A.; Wooden, J.L.; Mazdab, F.K.; Bea, F.

    2006-01-01

    Zirconium and Hf are nearly identical geochemically, and therefore most of the crust maintains near-chondritic Zr/Hf ratios of ???35-40. By contrast, many high-silica rhyolites and granites have anomalously low Zr/Hf (15-30). As zircon is the primary reservoir for both Zr and Hf and preferentially incorporates Zr, crystallization of zircon controls Zr/ Hf, imprinting low Zr/Hf on coexisting melt. Thus, low Zr/Hf is a unique fingerprint of effective magmatic fractionation in the crust. Age and compositional zonation in zircons themselves provide a record of the thermal and compositional histories of magmatic systems. High Hf (low Zr/ Hf) in zircon zones demonstrates growth from fractionated melt, and Ti provides an estimate of temperature of crystallization (TTiZ) (Watson and Harrison, 2005). Whole-rock Zr/Hf and zircon zonation in the Spirit Mountain batholith, Nevada, document repeated fractionation and thermal fluctuations. Ratios of Zr/Hf are ???30-40 for cumulates and 18-30 for high-SiO2 granites. In zircons, Hf (and U) are inversely correlated with Ti, and concentrations indicate large fluctuations in melt composition and TTiZ (>100??C) for individual zircons. Such variations are consistent with field relations and ion-probe zircon geochronology that indicate a >1 million year history of repeated replenishment, fractionation, and extraction of melt from crystal mush to form the low Zr/Hf high-SiO2 zone. ?? 2006 The Mineralogical Society.

  17. Characterization of the porosity of human dental enamel and shear bond strength in vitro after variable etch times: initial findings using the BET method.

    PubMed

    Nguyen, Trang T; Miller, Arthur; Orellana, Maria F

    2011-07-01

    (1) To quantitatively characterize human enamel porosity and surface area in vitro before and after etching for variable etching times; and (2) to evaluate shear bond strength after variable etching times. Specifically, our goal was to identify the presence of any correlation between enamel porosity and shear bond strength. Pore surface area, pore volume, and pore size of enamel from extracted human teeth were analyzed by Brunauer-Emmett-Teller (BET) gas adsorption before and after etching for 15, 30, and 60 seconds with 37% phosphoric acid. Orthodontic brackets were bonded with Transbond to the samples with variable etch times and were subsequently applied to a single-plane lap shear testing system. Pore volume and surface area increased after etching for 15 and 30 seconds. At 60 seconds, this increase was less pronounced. On the contrary, pore size appears to decrease after etching. No correlation was found between variable etching times and shear strength. Samples etched for 15, 30, and 60 seconds all demonstrated clinically viable shear strength values. The BET adsorption method could be a valuable tool in enhancing our understanding of enamel characteristics. Our findings indicate that distinct quantitative changes in enamel pore architecture are evident after etching. Further testing with a larger sample size would have to be carried out for more definitive conclusions to be made.

  18. Influence of Surface Conditioning Protocols on Reparability of CAD/CAM Zirconia-reinforced Lithium Silicate Ceramic.

    PubMed

    Al-Thagafi, Rana; Al-Zordk, Walid; Saker, Samah

    2016-01-01

    To test the effect of surface conditioning protocols on the reparability of CAD/CAM zirconia-reinforced lithium silicate ceramic compared to lithium-disilicate glass ceramic. Zirconia-reinforced lithium silicate ceramic (Vita Suprinity) and lithium disilicate glass-ceramic blocks (IPS e.max CAD) were categorized into four groups based on the surface conditioning protocol used. Group C: no treatment (control); group HF: 5% hydrofluoric acid etching for 60 s, silane (Monobond-S) application for 60 s, air drying; group HF-H: 5% HF acid etching for 60 s, application of silane for 60 s, air drying, application of Heliobond, light curing for 20 s; group CO: sandblasting with CoJet sand followed by silanization. Composite resin (Tetric EvoCeram) was built up into 4 x 6 x 3 mm blocks using teflon molds. All specimens were subjected to thermocycling (5000x, 5°C to 55°C). The microtensile bond strength test was employed at a crosshead speed of 1 mm/min. SEM was employed for evaluation of all the debonded microbars, the failure type was categorized as either adhesive (failure at adhesive layer), cohesive (failure at ceramic or composite resin), or mixed (failure between adhesive layer and substrate). Two-way ANOVA and the Tukey's HSD post-hoc test were applied to test for significant differences in bond strength values in relation to different materials and surface pretreatment (p < 0.05). The highest microtensile repair bond strength for Vita Suprinity was reported in group CO (33.1 ± 2.4 MPa) and the lowest in group HF (27.4 ± 4.4 MPa). Regarding IPS e.max CAD, group CO showed the highest (30.5 ± 4.9 MPa) and HF the lowest microtensile bond strength (22.4 ± 5.7 MPa). Groups HF, HF-H, and CO showed statistically significant differences in terms of all ceramic types used (p < 0.05). The control group showed exclusively adhesive failures, while in HF, HF-H, and CO groups, mixed failures were predominant. Repair bond strength to zirconia-reinforced lithium silicate

  19. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  20. A review of treatment strategies for hydrofluoric acid burns: current status and future prospects.

    PubMed

    Wang, Xingang; Zhang, Yuanhai; Ni, Liangfang; You, Chuangang; Ye, Chunjiang; Jiang, Ruiming; Liu, Liping; Liu, Jia; Han, Chunmao

    2014-12-01

    Hydrofluoric acid (HF), a dangerous inorganic acid, can cause severe corrosive effects and systemic toxicity. HF enters the human body via where it contacts, such as skin and mucosa, alimentary and respiratory tracts, and ocular surfaces. In the recent years, the incidence of HF burn has tended to increase over time. The injury mechanism of HF is associated primarily with the massive absorption of HF and the release of hydrogen ions. Correct diagnosis and timely treatment are especially important for HF burns. The critical procedure to treat HF burn is to prevent on-going HF absorption, and block the progressive destruction caused by fluoride ions. Due to the distinct characteristics of HF burns, the topical treatment, as well as systemic support, has been emphasised. Whereas, management of patients with HF burns remains a great challenge in some situations. To date, there has been no widely accepted protocol for the rescue of HF burns, partly due to the diversity of HF burns. This paper overviews the current status and problems of treatment strategies for HF burns, for the purpose of promoting the future researches and improvement. Copyright © 2014 Elsevier Ltd and ISBI. All rights reserved.

  1. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  2. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  3. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  4. Synthesis and characterization of porous silicon as hydroxyapatite host matrix of biomedical applications.

    PubMed

    Dussan, A; Bertel, S D; Melo, S F; Mesa, F

    2017-01-01

    In this work, porous-silicon samples were prepared by electrochemical etching on p-type (B-doped) Silicon (Si) wafers. Hydrofluoric acid (HF)-ethanol (C2H5OH) [HF:Et] and Hydrofluoric acid (HF)-dimethylformamide (DMF-C3H7NO) [HF:DMF] solution concentrations were varied between [1:2]-[1:3] and [1:7]-[1:9], respectively. Effects of synthesis parameters, like current density, solution concentrations, reaction time, on morphological properties were studied by scanning electron microscopy (SEM) and atomic force microscopy (AFM) measurements. Pore sizes varying from 20 nm to micrometers were obtained for long reaction times and [HF:Et] [1:2] concentrations; while pore sizes in the same order were observed for [HF:DMF] [1:7], but for shorter reaction time. Greater surface uniformity and pore distribution was obtained for a current density of around 8 mA/cm2 using solutions with DMF. A correlation between reflectance measurements and pore size is presented. The porous-silicon samples were used as substrate for hydroxyapatite growth by sol-gel method. X-ray diffraction (XRD) and SEM were used to characterize the layers grown. It was found that the layer topography obtained on PS samples was characterized by the evidence of Hydroxyapatite in the inter-pore regions and over the surface.

  5. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  6. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  7. Continental sedimentary processes decouple Nd and Hf isotopes

    NASA Astrophysics Data System (ADS)

    Garçon, Marion; Chauvel, Catherine; France-Lanord, Christian; Huyghe, Pascale; Lavé, Jérôme

    2013-11-01

    The neodymium and hafnium isotopic compositions of most crustal and mantle rocks correlate to form the "Terrestrial Array". However, it is now well established that whereas coarse detrital sediments follow this trend, fine-grained oceanic sediments have high Hf ratios relative to their Nd isotopic ratios. It remains uncertain whether this "decoupling" of the two isotopic systems only occurs in the oceanic environment or if it is induced by sedimentary processes in continental settings. In this study, the hafnium and neodymium isotopic compositions of sediments in large rivers is expressly used to constrain the behavior of the two isotopic systems during erosion and sediment transport from continent to ocean. We report major and trace element concentrations together with Nd and Hf isotopic compositions of bedloads, suspended loads and river banks from the Ganges River and its tributaries draining the Himalayan Range i.e. the Karnali, the Narayani, the Kosi and the Marsyandi Rivers. The sample set includes sediments sampled within the Himalayan Range in Nepal, at the Himalayan mountain front, and also downstream on the floodplain and at the outflow of the Ganges in Bangladesh. Results show that hydrodynamic sorting of minerals explains the entire Hf isotopic range, i.e. more than 10 εHf units, observed in the river sediments but does not affect the Nd isotopic composition. Bedloads and bank sediments have systematically lower εHf values than suspended loads sampled at the same location. Coarse-grained sediments lie below or on the Terrestrial Array in an εHf vs. εNd diagram. In contrast, fine-grained sediments, including most of the suspended loads, deviate from the Terrestrial Array toward higher εHf relative to their εNd, as is the case for oceanic terrigenous clays. The observed Nd-Hf decoupling is explained by mineralogical sorting processes that enrich bottom sediments in coarse and dense minerals, including unradiogenic zircons, while surface sediments

  8. Influence of different repair procedures on bond strength of adhesive filling materials to etched enamel in vitro.

    PubMed

    Hannig, Christian; Hahn, Petra; Thiele, Patrick-Philipp; Attin, Thomas

    2003-01-01

    Contamination of etched enamel with repair bond agents during repair of dental restorations may interfere with the bonding of composite to enamel. This study examined the bond strength of adhesive filling materials to etched bovine enamel after pre-treatment with the repair systems Monobond S, Silibond and Co-Jet. The materials Tetric Ceram, Dyract and Definite and their corresponding bonding agents (Syntac Single Comp, Prime & Bond NT, Etch and Prime) were tested in combination with the repair systems. One hundred and thirty-five enamel specimens were etched (37% phosphoric acid, 60 seconds) and equally distributed among three groups (A-C). In Group A, the repair materials were applied on etched enamel followed by applying the composite materials without using their respective bonding material. In Group B, the composite materials were placed on etched enamel after applying the repair materials and bonding agents. In control Group C, the composite materials and bonding agents were applied on etched enamel without using the repair systems. In each sub-group, every composite material was applied on 15 specimens. Samples were stored in artificial saliva for 14 days and thermocycled 1,000 times (5 degrees C/55 degrees C). The shear bond strength of the samples were then determined in a universal testing machine (ISO 10477). Applying Monobond or Silibond followed by the use of its respective bonding agents resulted in a bond strength that was not statistically different from the controls for all filling materials (Group C). The three composites that used Monobond and Silibond without applying the corresponding bonding agent resulted in bond strengths that were significantly lower than the controls. Utilizing the Co-Jet-System drastically reduced the bond strength of composites on etched enamel. Contamination of etched enamel with the repairing bonding agents Monobond and Silibond does not interfere with bond strength if the application of Monobond and Silibond is

  9. Study of Direct-Contact HfO2/Si Interfaces

    PubMed Central

    Miyata, Noriyuki

    2012-01-01

    Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs) but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs. PMID:28817060

  10. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  11. Corn oil versus lard: Metabolic effects of omega-3 fatty acids in mice fed obesogenic diets with different fatty acid composition.

    PubMed

    Pavlisova, Jana; Bardova, Kristina; Stankova, Barbora; Tvrzicka, Eva; Kopecky, Jan; Rossmeisl, Martin

    2016-05-01

    Mixed results have been obtained regarding the level of insulin resistance induced by high-fat diets rich in saturated fatty acids (SFA) when compared to those enriched by polyunsaturated fatty acids (PUFA), and how metabolic effects of marine PUFA of n-3 series, i.e. docosahexaenoic acid (DHA) and eicosapentaenoic acid (EPA), depend on dietary lipid background. Here we compared two high-fat diets, in which the major lipid constituent was based either on SFA in the form of pork lard (LHF diet) or PUFA of n-6 series (Omega-6) as corn oil (cHF diet). Both cHF and LHF parental diets were also supplemented with EPA+DHA (∼30 g/kg diet) to produce cHF+F and LHF+F diet, respectively. Male C57BL/6N mice were fed the experimental diets for 8 weeks. Insulin sensitivity was assessed by hyperinsulinemic-euglycemic clamps in mice fed LHF and cHF diets, and then metabolic effects of cHF+F and LHF+F diets were assessed focusing on the liver and epididymal white adipose tissue (eWAT). Both LHF and cHF induced comparable weight gain and the level of insulin resistance, however LHF-fed mice showed increased hepatic steatosis associated with elevated activity of stearoyl-CoA desaturase-1 (SCD1), and lower plasma triacylglycerol levels when compared to cHF. Despite lowering hepatic SCD1 activity, which was concomitant with reduced hepatic steatosis reaching the level observed in cHF+F mice, LHF+F did not decrease adiposity and the weight of eWAT, and rather further impaired insulin sensitivity relative to cHF+F, that tended to improve it. In conclusion, high-fat diets containing as much as ∼35 weight% as lipids induce similar weight gain and impairment of insulin sensitivity irrespective whether they are based on SFA or Omega-6. Although the SFA-rich diet containing EPA+DHA efficiently reduced hepatic steatosis, it did so without a corresponding improvement in insulin sensitivity and in the absence of effect on adiposity. Copyright © 2015 Elsevier B.V. and Société Fran

  12. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  13. Detection heart failures (HF) biomarkers by proton transfer reaction - mass spectrometry and ion mobility spectrometry

    NASA Astrophysics Data System (ADS)

    Shaltaeva, Y. R.; Vasilev, V. K.; Yakovlev, D. Y.; Kopylov, F. Iu; Syrkin, A. L.; Chomakhidze, P. Sh; Bykova, A. A.; Malinovskaya, L. K.; Skorokhod, A. I.

    2016-10-01

    Exhaled breath contains 1% of volatile organic compounds. The concentration of individual biomarkers in hundreds of volatile organic compounds lies within the range ppm- ppb. In compare with control group the concentrations of acetone, acetic acid, ethanol, propylene biomarkers is significantly higher in HF-PEF group.

  14. Surface modification with alumina blasting and H2SO4-HCl etching for bonding two resin-composite veneers to titanium.

    PubMed

    Taira, Yohsuke; Egoshi, Takafumi; Kamada, Kohji; Sawase, Takashi

    2014-02-01

    The purpose of this study was to investigate the effect of an experimental surface treatment with alumina blasting and acid etching on the bond strengths between each of two resin composites and commercially pure titanium. The titanium surface was blasted with alumina and then etched with 45wt% H2SO4 and 15wt% HCl (H2SO4-HCl). A light- and heat-curing resin composite (Estenia) and a light-curing resin composite (Ceramage) were used with adjunctive metal primers. Veneered specimens were subjected to thermal cycling between 4 and 60°C for 50,000 cycles, and the shear bond strengths were determined. The highest bond strengths were obtained for Blasting/H2SO4-HCl/Estenia (30.2 ± 4.5 MPa) and Blasting/Etching/Ceramage (26.0 ± 4.5 MPa), the values of which were not statistically different, followed by Blasting/No etching/Estenia (20.4 ± 2.4 MPa) and Blasting/No etching/Ceramage (0.8 ± 0.3 MPa). Scanning electron microscopy observations revealed that alumina blasting and H2SO4-HCl etching creates a number of micro- and nanoscale cavities on the titanium surface, which contribute to adhesive bonding. © 2013 Eur J Oral Sci.

  15. Critical Questions about PARADIGM-HF and the Future

    PubMed Central

    Chen, Chen-Huan

    2016-01-01

    Cardiovascular (CV) diseases in general and heart failure (HF) in particular are major contributors to death and morbidity and are also recognized as important drivers of health care expenditure. The PARADIGM-HF trial was a pivotal trial designed to compare the long-term effects of LCZ696 with enalapril in patients with symptomatic HF with reduced ejection fraction (HFrEF). This review article presents an in-depth view of the PARADIGM-HF trial and the implications of the results in the management of patients with HF and is based on peer reviewed manuscripts, editorials, perspectives and opinions written about the PARADIGM-HF trial. The article presents the key safety and efficacy results of the trial with specific emphasis on the clinical implications of these findings. The review highlights the highly statistically significant, 20% reduction in the primary composite endpoint of cardiovascular death or HF hospitalization, and a 16% reduction in the risk of death from any cause. It also provides an overview of the design, clinical findings, limitations and special areas of clinical interest. The review discusses the future of LCZ696 and additional trials that seek to answer questions in other sub-populations of patients with HF. The article reiterates what has been concluded by many experts in the field of HF- the introduction of LCZ696 into routine clinical care, while dependent on the regulatory approvals in various countries as well as acceptance by physicians, payers and patients, will change the treatment landscape for patients with HFrEF. PMID:27471351

  16. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  17. Unraveling the concentration-dependent metabolic response of Pseudomonas sp. HF-1 to nicotine stress by ¹H NMR-based metabolomics.

    PubMed

    Ye, Yangfang; Wang, Xin; Zhang, Limin; Lu, Zhenmei; Yan, Xiaojun

    2012-07-01

    Nicotine can cause oxidative damage to organisms; however, some bacteria, for example Pseudomonas sp. HF-1, are resistant to such oxidative stress. In the present study, we analyzed the concentration-dependent metabolic response of Pseudomonas sp. HF-1 to nicotine stress using ¹H NMR spectroscopy coupled with multivariate data analysis. We found that the dominant metabolites in Pseudomonas sp. HF-1 were eight aliphatic organic acids, six amino acids, three sugars and 11 nucleotides. After 18 h of cultivation, 1 g/L nicotine caused significant elevation of sugar (glucose, trehalose and maltose), succinate and nucleic acid metabolites (cytidine, 5'-CMP, guanine 2',3'-cyclic phosphate and adenosine 2',3'-cyclic phosphate), but decrease of glutamate, putrescine, pyrimidine, 2-propanol, diethyl ether and acetamide levels. Similar metabolomic changes were induced by 2 g/L nicotine, except that no significant change in trehalose, 5'-UMP levels and diethyl ether were found. However, 3 g/L nicotine led to a significant elevation in the two sugars (trehalose and maltose) levels and decrease in the levels of glutamate, putrescine, pyrimidine and 2-propanol. Our findings indicated that nicotine resulted in the enhanced nucleotide biosynthesis, decreased glucose catabolism, elevated succinate accumulation, severe disturbance in osmoregulation and complex antioxidant strategy. And a further increase of nicotine level was a critical threshold value that triggered the change of metabolic flow in Pseudomonas sp. HF-1. These findings revealed the comprehensive insights into the metabolic response of nicotine-degrading bacteria to nicotine-induced oxidative toxicity.

  18. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  19. Structure, morphology, and photoluminescence of porous Si nanowires: effect of different chemical treatments

    PubMed Central

    2013-01-01

    The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material. PMID:24025542

  20. Bonding to CAD-CAM Composites: An Interfacial Fracture Toughness Approach.

    PubMed

    Eldafrawy, M; Ebroin, M G; Gailly, P A; Nguyen, J-F; Sadoun, M J; Mainjot, A K

    2018-01-01

    The objective of this study was to evaluate the interfacial fracture toughness (IFT) of composite cement with dispersed filler (DF) versus polymer-infiltrated ceramic network (PICN) computer-aided design and computer-aided manufacturing (CAD-CAM) composite blocks after 2 different surface pretreatments using the notchless triangular prism (NTP) test. Two DFs (Cerasmart [CRT] and Lava Ultimate [LVA]), 2 PICNs (Enamic [ENA] and experimental PICN [EXP]), and e.max CAD lithium disilicate glass-ceramic (EMX, control) prism samples were bonded to their counterparts with Variolink Esthetic DC composite cement after either hydrofluoric acid etching (HF) or gritblasting (GR). Both procedures were followed by silanization. All samples ( n = 30 per group) were thermocycled (10,000 cycles) and tested for their IFT in a water bath at 36°C. Moreover, representative samples from each group were subjected to a developed interfacial area ratio (Sdr) measurement by profilometry and scanning electron microscopy (SEM) characterization. EXP-HF gave the highest IFT (1.85 ± 0.39 MPa·m 1/2 ), followed by EMX-HF and ENA-HF, while CRT-HF gave the lowest (0.15 ± 0.22 MPa·m 1/2 ). PICNs gave significantly better results with HF, and DF showed better results with GR. A 2-way analysis of variance indicated that there were significantly higher IFT and Sdr for PICNs than for DF. A positive correlation ( r² = 0.872) was found between IFT and Sdr. SEM characterization showed the specific microstructure of the surface of etched PICNs, indicating the presence of a retentive polymer-based honeycomb structure. Etching of the typical double-network microstructure of PICNs causes an important increase in the Sdr and IFT, while DF should be gritblasted. DF exhibited significantly lower Sdr and IFT values than PICNs. The present results show the important influence of the material class and surface texture, and consequently the micromechanical bond, on the adhesive interface performance of CAD

  1. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  2. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  3. Resolving Ambiguities in the LF/HF Ratio: LF-HF Scatter Plots for the Categorization of Mental and Physical Stress from HRV.

    PubMed

    von Rosenberg, Wilhelm; Chanwimalueang, Theerasak; Adjei, Tricia; Jaffer, Usman; Goverdovsky, Valentin; Mandic, Danilo P

    2017-01-01

    It is generally accepted that the activities of the autonomic nervous system (ANS), which consists of the sympathetic (SNS) and parasympathetic nervous systems (PNS), are reflected in the low- (LF) and high-frequency (HF) bands in heart rate variability (HRV)-while, not without some controversy, the ratio of the powers in those frequency bands, the so called LF-HF ratio (LF/HF), has been used to quantify the degree of sympathovagal balance. Indeed, recent studies demonstrate that, in general: (i) sympathovagal balance cannot be accurately measured via the ratio of the LF- and HF- power bands; and (ii) the correspondence between the LF/HF ratio and the psychological and physiological state of a person is not unique. Since the standard LF/HF ratio provides only a single degree of freedom for the analysis of this 2D phenomenon, we propose a joint treatment of the LF and HF powers in HRV within a two-dimensional representation framework, thus providing the required degrees of freedom. By virtue of the proposed 2D representation, the restrictive assumption of the linear dependence between the activity of the autonomic nervous system (ANS) and the LF-HF frequency band powers is demonstrated to become unnecessary. The proposed analysis framework also opens up completely new possibilities for a more comprehensive and rigorous examination of HRV in relation to physical and mental states of an individual, and makes possible the categorization of different stress states based on HRV. In addition, based on instantaneous amplitudes of Hilbert-transformed LF- and HF-bands, a novel approach to estimate the markers of stress in HRV is proposed and is shown to improve the robustness to artifacts and irregularities, critical issues in real-world recordings. The proposed approach for resolving the ambiguities in the standard LF/HF-ratio analyses is verified over a number of real-world stress-invoking scenarios.

  4. Small Volume Isotopic Analysis of Zircon Using LA-MC-ICP-MS U-Pb and Lu-Hf and Sub-ng Amounts of Hf in Solution

    NASA Astrophysics Data System (ADS)

    Bauer, A.; Horstwood, M. S.

    2016-12-01

    Crust-mantle evolution studies are greatly informed by zircon U-Pb and Lu-Hf isotopic datasets and the ease with which these data can now be acquired has seen their application become commonplace. In order to deconvolute geochemical change and interpret geologic variation in complexly zoned zircons, this information is most ideally obtained on the smallest volume of zircon by successive SIMS U-Pb and LA-MC-ICP-MS Lu-Hf isotopic analyses. However, due to variations in zircon growth zone geometry at depth, the Lu-Hf analysis may not relate to the lower volume U-Pb analysis, potentially causing inaccuracy of the resultant age-corrected Hf isotope signature. Laser ablation split-stream methods are applied to be certain that U-Pb and Lu-Hf data represent the same volume of zircon, however, the sampling volume remains relatively large at 40x30µm1. Coupled ID-TIMS U-Pb and solution MC-ICP-MS Lu-Hf work traditionally utilize whole-zircon dissolution ( 10-50ng Hf), which has the potential to homogenize different zones of geologic significance within an analysis. Conversely, modern ID-TIMS U-Pb methods utilize microsampling of zircon grains, often providing < 5ng Hf, thereby challenging conventional Lu-Hf acquisition protocols to achieve the required precision. In order to obtain usable precision on minimal zircon volumes, we developed laser ablation methods using successive 25um spot U-Pb and Lu-Hf ablation pits with a combined depth of 18um, and low-volume solution introduction methods without Hf-REE separation utilizing Hf amounts as low as 0.4ng, while retaining an uncertainty level of ca. 1 ɛHf for both methods. We investigated methods of Yb interference correction and the potential for matrix effects, with a particular focus on the accurate quantification of 176Lu/177Hf. These improvements reduce the minimum amount of material required for U-Pb and Hf isotopic analysis of zircon by about an order of magnitude. 1Ibanez-Mejia et al (2015). PreRes, 267, 285-310.

  5. RCS of ships and aircraft at HF frequencies

    NASA Astrophysics Data System (ADS)

    Trueman, C. W.; Kubina, S. J.; Mishra, S. R.; Larose, C.

    Coastal radar operating at high frequency (HF) has the potential of monitoring iceberg movement and ship and aircraft traffic over a wide area of ocean. The HF radar cross-section of an aircraft-like scatterer of simple geometry is investigated. At these frequencies, the aircraft size is comparable to the wavelength. The aircraft radar cross-section (RCS) is obtained both by computation and by direct measurement. It is demonstrated that at low frequencies in the HF range, the dorsal fin is the dominant scatterer, but at high HF the fuselage can scatter more strongly than the dorsal fin. Aircraft often carry wire antennas for HF communication, and it is shown that such wires can dramatically alter the RCS of the aircraft near the resonant frequencies of the wire. The RCS of a ship modelled as a parallelepiped with mast is 20-30 decibels larger than that of an aircraft, and is dominated at low HF by the contribution of the mast.

  6. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  7. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  8. Effect of laser and air abrasion pretreatment on the microleakage of a fissure sealant applied with conventional and self etch adhesives.

    PubMed

    Tirali, R E; Celik, C; Arhun, N; Berk, G; Cehreli, S B

    2013-01-01

    The purpose of this study was to investigate the effects of different pretreatment protocols along with different bonding agents on the microleakage of a fissure sealant material. A total of 144 freshly extracted noncarious human third molars were used The teeth were randomly assigned into three groups with respect to the pretreatment protocol employed: A. Air Abrasion B. Er,Cr:YSGG laser C. No pretreatment (Control). In each group specimens were further subjected to one of the following procedures before application of the sealant: 1. %36 Phosphoric acid-etch (AE) (DeTrey Conditioner 36/Denstply, UK) 2.AE+Prime&Bond NT (Dentsply, UK) 3. Clearfil S3 Bond (Kuraray, Japan) 4. Clearfil SE Bond (Kuraray, Japan). All teeth were sealed with the same fissure sealant material (Conseal F/SDI, Australia). Sealed teeth were further subjected to thermocycling, dye penetration test, sectioning and quantitative image analysis. Statistical evaluation of the microleakage data was performed with two way independent ANOVA and multiple comparisons test at p = 0.05. For qualitative evaluation 2 samples from each group were examined under Scanning Electron Microscopy. Microleakage was affected by both the type of pretreatment and the subsequent bonding protocols employed (p < 0.05). Overall, the highest (Mean = 0.36 mm) and lowest (Mean = 0.06 mm) microleakage values were observed in samples with unpretreated enamel sealed by S3+Conseal F and samples with laser pretreated enamel sealed by Acid Etch+Prime&-Bond+Conseal F protocols, respectively (p < 0.05). In the acid-etch group samples pretreated with laser yielded in slightly lower microleakage scores when compared with unpretreated samples and samples pretreated with air abrasion but the statistical significance was not important (p = 0,179). Similarly, when bonding agent is applied following acid-etching procedure, microleakage scores were not affected from pretreatment protocol (p = 0,615) (intact enamel/laser or air-abrasion). For

  9. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  10. Solvent composition of one-step self-etch adhesives and dentine wettability.

    PubMed

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  11. An Optimization of Electrochemical Etching Conditions for Gold Nanotips Fabrication

    NASA Astrophysics Data System (ADS)

    Oh, Min Woo; Chong, Haeeun; Park, Doo Jae; Jang, Moonkyu; Bahn, Sebin; Choi, Soo Bong

    2018-05-01

    We demonstrate a series of experiments to find optimized electrochemical etching condition for fabricating gold nanotip, using square-wave voltage as a bias and using hydrochloric acid diluted by acetone as an etchant. We confirmed that the dilution ratio of 3: 1 between hydrochloric acid and acetone give the smallest tip apex diameter which reproduces our previous result. More importantly, by varying applied bias condition and immersion depth of the platinum ring used as a cathode inside the etchant, we found that the smaller tip apex diameter is achieved when both the amplitude and duty cycle get higher. The success rate, which we define the number of tips having meaningfully less diameter out of total number of tried tips, is also discussed.

  12. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  13. Immediate occlusal loading of double acid-etched surface titanium implants in 41 consecutive full-arch cases in the mandible and maxilla: 6- to 74-month results.

    PubMed

    Ibañez, Juan C; Tahhan, Marcelo J; Zamar, Juan A; Menendez, Alicia B; Juaneda, Agustina M; Zamar, Nicolas J; Monqaut, Jose L

    2005-11-01

    The high success rate of dental implants has changed the quality of life for many patients. Immediate loading finds its application in some clinical cases and certainly adds another modality of treatment for the implant patient. Starting with a few implants immediately loaded with a bar overdenture in the mandible, the concept of immediate loading evolved to loading multiple implants in both the maxilla and mandible. In this investigation, 41 consecutive patients who needed full-arch restorations were treated with 343 double acid-etched surface implants between May 1998 and March 2004. The sample included smokers and bruxers. Twenty-three mandibular and 26 maxillary cases were treated, loading the implants within 48 hours, by using resin provisional prostheses, metal-reinforced provisional prostheses, or definitive prostheses (metal-acrylic or metal-ceramic). All implants were followed for 12 to 74 months. Follow-up consisted of clinical as well as radiographic examination. Furthermore, resonance frequency analysis was done in most of the implants during the last 2 years. The success rate obtained was 99.42% (only two mandibular implants that are still surviving were considered failures). The bone level was measured every year. The average radiographic bone level change was 0.56 mm at 12, 0.76 mm at 24, 0.84 mm at 36, 0.82 mm at 48, 0.83 mm at 60, and 0.94 mm at 72 months. A high success rate can be achieved when double acid-etched surface implants are immediately loaded with fixed full-arch restorations in the maxilla and mandible.

  14. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD)

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2015-01-01

    In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD), chemical etching and atomic layer deposition (ALD). For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions) and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD). Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material. PMID:28793716

  15. Role of Hf on Phase Formation in Ti45Zr(38-x)Hf(x)Ni17 Liquids and Solids

    NASA Technical Reports Server (NTRS)

    Wessels, V.; Sahu, K. K.; Gangopadhyay, A. K.; Huett, V. T.; Canepari, S.; Goldman, A. I.; Hyers, R. W.; Kramer, M. J.; Rogers, J. R.; Kelton, K. F.; hide

    2008-01-01

    Hafnium and zirconium are very similar, with almost identical sizes and chemical bonding characteristics. However, they behave differently when alloyed with Ti and Ni. A sharp phase formation boundary near 18-21 at.% Hf is observed in rapidly-quenched and as-cast Ti45Zr38-xHfxNi17 alloys. Rapidly-quenched samples that contain less than 18 at.% Hf form the icosahedral quasicrystal phase, whiles samples containing more than 21 at.% form the 3/2 rational approximant phase. In cast alloys, a C14 structure is observed for alloys with Hf lower than the boundary concentration, while a large-cell (11.93 ) FCC Ti2Ni-type structure is found in alloys with Hf concentrations above the boundary. To better understand the role of Hf on phase formation, the structural evolution with supercooling and the solidification behavior of liquid Ti45Zr38-xHfxNi17 alloys (x=0, 12, 18, 21, 38) were studied using the Beamline Electrostatic Levitation (BESL) technique using 125keV x-rays on the 6ID-D beamline at the Advanced Photon Source, Argonne National Laboratory. For all liquids primary crystallization was to a BCC solid solution phase; interestly, an increase in Hf concentration leads to a decrease in the BCC lattice parameter in spite of the chemical similarity between Zr and Hf. A Reitveld analysis confirmed that as in the cast alloys, the secondary phase that formed was the C14 below the phase formation boundary and a Ti2Ni-type structure at higher Hf concentrations. Both the liquidus temperature and the reduced undercooling change sharply on traversing the phase formation boundary concentration, suggesting a change in the liquid structure. Structural information from a Honeycutt-Anderson index analysis of reverse Monte Carlo fits to the S(q) liquid data will be presented to address this issue.

  16. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  17. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  18. Effect of application mode on interfacial morphology and chemistry between dentin and self-etch adhesives

    PubMed Central

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To investigate the influence of application mode on the interfacial morphology and chemistry between dentin and self-etch adhesives with different aggressiveness. Methods The occlusal one-third of the crown was removed from un-erupted human third molars, followed by abrading with 600 grit SiC under water. Rectangular dentin slabs were prepared by sectioning the tooth specimens perpendicular to the abraded surfaces. The obtained dentin slabs were treated with one of the two one-step self-etch adhesives: Adper Easy Bond (AEB, PH~2.5) and Adper Prompt L-Pop (APLP, PH~0.8) with (15s, active application) or without (15s, inactive application) agitation. The dentin slabs were fractured and the exposed adhesive/dentin (A/D) interfaces were examined with micro-Raman spectroscopy and scanning electron microscopy (SEM). Results The interfacial morphology, degree of dentin demineralization (DD) and degree of conversion (DC) of the strong self-etch adhesive APLP showed more significant dependence on the application mode than the mild AEB. APLP exhibited inferior bonding at the A/D interface if applied without agitation, evidenced by debonding from the dentin substrate. The DDs and DCs of the APLP with agitation were higher than those of without agitation in the interface, in contrast to the comparable DD and DC values of two AEB specimen groups with different application modes. Raman spectral analysis revealed the important role of chemical interaction between acid monomers of self-etch adhesives and dentin in the above observations. Conclusion The chemical interaction with dentin is especially important for improving the DC of the strong self-etching adhesive at the A/D interface. Agitation could benefit polymerization efficacy of the strong self-etch adhesive through enhancing the chemical interaction with tooth substrate. PMID:23153573

  19. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  20. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  1. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  2. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  3. Effect of dentin etching and chlorhexidine application on metalloproteinase-mediated collagen degradation

    PubMed Central

    Raquel, Osorio; Mónica, Yamauti; Estrella, Osorio; Estrella, Ruiz-Requena María; David, Pashley; Franklin, Tay; Manuel, Toledano

    2013-01-01

    Dentin matrix metalloproteinases (MMPs) are involved in collagen degradation of resin-dentin interfaces. This study evaluated if collagen degradation can be prevented by chlorhexidine after different dentin demineralization procedures. Human dentin demineralization was performed with phosphoric acid (PA), EDTA, or acidic monomers (ClearfilSEBond and XENOV). Specimens were stored (24 h, 1 wk or 3 wk) in the presence or absence of chlorhexidine. In half of the groups, active MMP-2 was incorporated into the storing solution. C-terminal telopeptide determination (ICTP) was performed in the supernatants. Collagen degradation was higher in PA and EDTA-demineralized dentin. Chlorhexidine reduced collagen degradation in these groups only for 24 h. When dentin was demineralized with SEBond or Xeno, collagen degradation was reduced up to 30%, but addition of exogenous MMP-2 significantly increased collagen degradation. In self-etchant treated dentin the inhibitory effect of chlorhexidine on MMPs lasted up to 3 wk. Treating dentin with EDTA, PA or self-etching agents produces enough demineralization to permit cleavage of the exposed collagen. Monomers infiltration may exert protection on demineralized collagen, probably through immobilization of MMPs. The partial inhibitory action of CHX on MMP activity produced by self-etching adhesives was prolonged compared to the short-acting in PA or EDTA-treated dentin. PMID:21244516

  4. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  5. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  6. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  7. Optical-fiber strain sensors with asymmetric etched structures.

    PubMed

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  8. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  9. A survey of some metallographic etching reagents for restoration of obliterated engraved marks on aluminium-silicon alloy surfaces.

    PubMed

    Uli, Norjaidi; Kuppuswamy, R; Amran, Mohd Firdaus Che

    2011-05-20

    A brief survey to assess the sensitivity and efficacy of some common etching reagents for revealing obliterated engraved marks on Al-Si alloy surfaces is presented. Experimental observations have recommended use of alternate swabbing of 10% NaOH and 10% HNO(3) on the obliterated surfaces for obtaining the desired results. The NaOH etchant responsible for bringing back the original marks resulted in the deposition of some dark coating that has masked the recovered marks. The coating had been well removed by dissolving it in HNO(3) containing 10-20% acid. However, the above etching procedure was not effective on aluminium (99% purity) and Al-Zn-Mg-Cu alloy surfaces. Also the two reagents (i) immersion in 10% aq. phosphoric acid and (ii) alternate swabbing of 60% HCl and 40% NaOH suggested earlier for high strength Al-Zn-Mg-Cu alloys [23] were quite ineffective on Al-Si alloys. Thus different aluminium alloys needed different etching treatments for successfully restoring the obliterated marks. Al-Si alloys used in casting find wide applications especially in the manufacture of engine blocks of motor vehicles. Hence, the results presented in this paper are of much relevance in serial number restoration problems involving this alloy. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  10. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  11. Implication of fermentable carbohydrates targeting the gut microbiota on conjugated linoleic acid production in high-fat-fed mice.

    PubMed

    Druart, Céline; Neyrinck, Audrey M; Dewulf, Evelyne M; De Backer, Fabienne C; Possemiers, Sam; Van de Wiele, Tom; Moens, Frédéric; De Vuyst, Luc; Cani, Patrice D; Larondelle, Yvan; Delzenne, Nathalie M

    2013-09-28

    In vitro experiments have shown that isolated human gut bacteria are able to metabolise PUFA into conjugated PUFA like conjugated linoleic acids (CLA). The hypothesis of the present paper was that high-fat (HF) diet feeding and supplementation with fermentable carbohydrates that have prebiotic properties modulate the in vivo production of CLA by the mouse gut microbiota. Mice were treated for 4 weeks as follows: control (CT) groups were fed a standard diet; HF groups were fed a HF diet rich in linoleic acid (18 : 2n-6); the third groups were fed with the HF diet supplemented with either inulin-type fructans (HF-ITF) or arabinoxylans (HF-Ax). HF diet feeding increased rumenic acid (cis-9,trans-11-18 : 2 CLA) content both in the caecal and liver tissues compared with the CT groups. ITF supplementation had no major effect compared with the HF diet whereas Ax supplementation increased further rumenic acid (cis-9,trans-11-18 : 2 CLA) in the caecal tissue. These differences between both prebiotics may be linked to the high fat-binding capacity of Ax that provides more substrates for bacterial metabolism and to differential modulation of the gut microbiota (specific increase in Roseburia spp. in HF-Ax v. HF). In conclusion, these experiments supply the proof of concept that the mouse gut microbiota produces CLA in vivo, with consequences on the level of CLA in the caecal and liver tissues. We postulate that the CLA-producing bacteria could be a mediator to consider in the metabolic effects of both HF diet feeding and prebiotic supplementation.

  12. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  13. Effects of HfB2 and HfN Additions on the Microstructures and Mechanical Properties of TiB2-Based Ceramic Tool Materials

    PubMed Central

    An, Jing; Song, Jinpeng; Liang, Guoxing; Gao, Jiaojiao; Xie, Juncai; Cao, Lei; Wang, Shiying; Lv, Ming

    2017-01-01

    The effects of HfB2 and HfN additions on the microstructures and mechanical properties of TiB2-based ceramic tool materials were investigated. The results showed that the HfB2 additive not only can inhibit the TiB2 grain growth but can also change the morphology of some TiB2 grains from bigger polygons to smaller polygons or longer ovals that are advantageous for forming a relatively fine microstructure, and that the HfN additive had a tendency toward agglomeration. The improvement of flexural strength and Vickers hardness of the TiB2-HfB2 ceramics was due to the relatively fine microstructure; the decrease of fracture toughness was ascribed to the formation of a weaker grain boundary strength due to the brittle rim phase and the poor wettability between HfB2 and Ni. The decrease of the flexural strength and Vickers hardness of the TiB2-HfN ceramics was due to the increase of defects such as TiB2 coarse grains and HfN agglomeration; the enhancement of fracture toughness was mainly attributed to the decrease of the pore number and the increase of the rim phase and TiB2 coarse grains. The toughening mechanisms of TiB2-HfB2 ceramics mainly included crack bridging and transgranular fracture, while the toughening mechanisms of TiB2-HfN ceramics mainly included crack deflection, crack bridging, transgranular fracture, and the core-rim structure. PMID:28772821

  14. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  15. Laser etching of austenitic stainless steels for micro-structural evaluation

    NASA Astrophysics Data System (ADS)

    Baghra, Chetan; Kumar, Aniruddha; Sathe, D. B.; Bhatt, R. B.; Behere, P. G.; Afzal, Mohd

    2015-06-01

    Etching is a key step in metallography to reveal microstructure of polished specimen under an optical microscope. A conventional technique for producing micro-structural contrast is chemical etching. As an alternate, laser etching is investigated since it does not involve use of corrosive reagents and it can be carried out without any physical contact with sample. Laser induced etching technique will be beneficial especially in nuclear industry where materials, being radioactive in nature, are handled inside a glove box. In this paper, experimental results of pulsed Nd-YAG laser based etching of few austenitic stainless steels such as SS 304, SS 316 LN and SS alloy D9 which are chosen as structural material for fabrication of various components of upcoming Prototype Fast Breeder Reactor (PFBR) at Kalpakkam India were reported. Laser etching was done by irradiating samples using nanosecond pulsed Nd-YAG laser beam which was transported into glass paneled glove box using optics. Experiments were carried out to understand effect of laser beam parameters such as wavelength, fluence, pulse repetition rate and number of exposures required for etching of austenitic stainless steel samples. Laser etching of PFBR fuel tube and plug welded joint was also carried to evaluate base metal grain size, depth of fusion at welded joint and heat affected zone in the base metal. Experimental results demonstrated that pulsed Nd-YAG laser etching is a fast and effortless technique which can be effectively employed for non-contact remote etching of austenitic stainless steels for micro-structural evaluation.

  16. The Vibrational Deactivation of HF(v = 3) and HF(v = 2) by H Atoms

    DTIC Science & Technology

    1977-08-18

    experiments. A silicon flat in front of the photomultiplier restricted the monitored fluores- cence to wavelengths > I 4tm. An RCA C-31034 (GaAs... stent with the present results f’ir HF(v =1) and HI-F(v = 2’ remioval rates but can not explain the fast HF(v = 3) rate. Wilk-ins performed trajectory...Sciences Laboratory: Development of new materials; metal matrix composites and new forms of carbon; test and evaluation of graphite and ceramics in

  17. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  18. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  19. Coalescence of silver clusters by immersion in diluted HF solution

    NASA Astrophysics Data System (ADS)

    Milazzo, R. G.; Mio, A. M.; D'Arrigo, G.; Grimaldi, M. G.; Spinella, C.; Rimini, E.

    2015-07-01

    The galvanic displacement deposition of silver on H-terminated Si (100) in the time scale of seconds is instantaneous and characterized by a cluster density of 1011-1012 cm-2. The amount of deposited Ag follows a t1/2 dependence in agreement with a Cottrell diffusion limited mechanism. At the same time, during the deposition, the cluster density reduces by a factor 5. This behavior is in contrast with the assumption of immobile clusters. We show in the present work that coalescence and aggregation occur also in the samples immersed in the diluted hydrofluoric acid (HF) solution without the presence of Ag+. Clusters agglomerate according to a process of dynamic coalescence, typical of colloids, followed by atomic redistribution at the contact regions with the generation of multiple internal twins and stacking-faults. The normalized size distributions in terms of r/rmean follow also the prediction of the Smoluchowski ripening mechanism. No variation of the cluster density occurs for samples immersed in pure H2O solution. The different behavior might be associated to the strong attraction of clusters to oxide-terminated Si surface in presence of water. The silver clusters are instead weakly bound to hydrophobic H-terminated Si in presence of HF. HF causes then the detachment of clusters and a random movement on the silicon surface with mobility of about 10-13 cm2/s. Attractive interaction (probably van der Waals) among particles promotes coarsening.

  20. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  1. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  2. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P.; Wilson, William D.; Barbee, Jr., Troy W.; Lane, Stephen M.

    2004-11-16

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  3. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P [Livermore, CA; Wilson, William D [Pleasanton, CA; Barbee, Jr., Troy W.; Lane, Stephen M [Oakland, CA

    2006-06-27

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  4. Germanium oxide removal by citric acid and thiol passivation from citric acid-terminated Ge(100).

    PubMed

    Collins, Gillian; Aureau, Damien; Holmes, Justin D; Etcheberry, Arnaud; O'Dwyer, Colm

    2014-12-02

    Many applications of germanium (Ge) are underpinned by effective oxide removal and surface passivation. This important surface treatment step often requires H-X (X = Cl, Br, I) or HF etchants. Here, we show that aqueous citric acid solutions are effective in the removal of GeOx. The stability of citric acid-treated Ge(100) is compared to HF and HCl treated surfaces and analyzed by X-ray photoelectron spectroscopy. Further Ge surface passivation was investigated by thiolation using alkane monothiols and dithiols. The organic passivation layers show good stability with no oxide regrowth observed after 3 days of ambient exposure.

  5. Study of {sup 179}Hf{sup m2} excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vishnevsky, I. N.; Zheltonozhsky, V. A., E-mail: zhelton@kinr.kiev.ua; Savrasov, A. N.

    Isomeric ratios of {sup 179}Hf{sup m2,g} yields in the (γ, n) reaction and the cross section for the {sup 179}Hf{sup m2} population in the (α, p) reaction are measured for the first time at the end-point energies of 15.1 and 17.5 MeV for bremsstrahlung photons and 26 MeV for alpha particles. The results are σ = (1.1 ± 0.11) × 10{sup −27} cm{sup 2} for the {sup 176}Lu(α, p){sup 179}Hf{sup m2} reaction and Y{sub m2}/Y{sub g} = (6.1 ± 0.3) × 10{sup −6} and (3.7 ± 0.2) × 10{sup −6} for the {sup 180}Hf(γ, n){sup 179}Hf{sup m22} reaction at E{submore » ep} =15.1 and 17.5 MeV, respectively. The experimental data on the relative {sup 179}Hf{sup m2} yield indicate a single-humped shape of the excitation function for the {sup 180}Hf(γ, n){sup 179}Hf{sup m2} reaction. Simulation is performed using the TALYS-1.4 and EMPIRE-3.2 codes.« less

  6. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  7. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  8. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  9. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  10. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  11. Three-year clinical effectiveness of four total-etch dentinal adhesive systems in cervical lesions.

    PubMed

    Van Meerbeek, B; Peumans, M; Gladys, S; Braem, M; Lambrechts, P; Vanherle, G

    1996-11-01

    A 3-year follow-up clinical trial of two experimental Bayer total-etch adhesive systems and two commercial total-etch systems. Clearfil Liner Bond System and Scotchbond Multi-Purpose, was conducted to evaluate their clinical effectiveness in Class V cervical lesions. Four hundred twenty abrasion-erosion lesions were restored randomly using the four adhesive systems. There were two experimental cavity designs, in which the adjacent enamel margins either were or were not beveled and acid etched. Clearfil Liner Bond System and Scotchbond Multi-Purpose demonstrated high retention rates in both types of cavity design at 3 years. The two experimental Bayer systems scored much lower retention rates in both cavity designs at 3 years. None of the systems guaranteed margins free of microleakage for a long time. At 3 years, superficial, localized marginal discolorations were observed, the least for Clearfil Liner Bond System, followed by Scotchbond Multi-Purpose and the two experimental systems. Small marginal defects were recorded at the cervical dentin and the incisal enamel margin. Retention of Clearfil Liner Bond and Scotchbond Multi-Purpose appears to be clearly improved over earlier systems, but marginal sealing remains problematic. The two Bayer systems were found to be clinically unreliable.

  12. Excess Folic Acid Increases Lipid Storage, Weight Gain, and Adipose Tissue Inflammation in High Fat Diet-Fed Rats.

    PubMed

    Kelly, Karen B; Kennelly, John P; Ordonez, Marta; Nelson, Randal; Leonard, Kelly; Stabler, Sally; Gomez-Muñoz, Antonio; Field, Catherine J; Jacobs, René L

    2016-09-23

    Folic acid intake has increased to high levels in many countries, raising concerns about possible adverse effects, including disturbances to energy and lipid metabolism. Our aim was to investigate the effects of excess folic acid (EFA) intake compared to adequate folic acid (AFA) intake on metabolic health in a rodent model. We conducted these investigations in the setting of either a 15% energy low fat (LF) diet or 60% energy high fat (HF) diet. There was no difference in weight gain, fat mass, or glucose tolerance in EFA-fed rats compared to AFA-fed rats when they were fed a LF diet. However, rats fed EFA in combination with a HF diet had significantly greater weight gain and fat mass compared to rats fed AFA (p < 0.05). Gene expression analysis showed increased mRNA levels of peroxisome proliferator-activated receptor γ (PPARγ) and some of its target genes in adipose tissue of high fat-excess folic acid (HF-EFA) fed rats. Inflammation was increased in HF-EFA fed rats, associated with impaired glucose tolerance compared to high fat-adequate folic acid (HF-AFA) fed rats (p < 0.05). In addition, folic acid induced PPARγ expression and triglyceride accumulation in 3T3-L1 cells. Our results suggest that excess folic acid may exacerbate weight gain, fat accumulation, and inflammation caused by consumption of a HF diet.

  13. Excess Folic Acid Increases Lipid Storage, Weight Gain, and Adipose Tissue Inflammation in High Fat Diet-Fed Rats

    PubMed Central

    Kelly, Karen B.; Kennelly, John P.; Ordonez, Marta; Nelson, Randal; Leonard, Kelly; Stabler, Sally; Gomez-Muñoz, Antonio; Field, Catherine J.; Jacobs, René L.

    2016-01-01

    Folic acid intake has increased to high levels in many countries, raising concerns about possible adverse effects, including disturbances to energy and lipid metabolism. Our aim was to investigate the effects of excess folic acid (EFA) intake compared to adequate folic acid (AFA) intake on metabolic health in a rodent model. We conducted these investigations in the setting of either a 15% energy low fat (LF) diet or 60% energy high fat (HF) diet. There was no difference in weight gain, fat mass, or glucose tolerance in EFA-fed rats compared to AFA-fed rats when they were fed a LF diet. However, rats fed EFA in combination with a HF diet had significantly greater weight gain and fat mass compared to rats fed AFA (p < 0.05). Gene expression analysis showed increased mRNA levels of peroxisome proliferator-activated receptor γ (PPARγ) and some of its target genes in adipose tissue of high fat-excess folic acid (HF-EFA) fed rats. Inflammation was increased in HF-EFA fed rats, associated with impaired glucose tolerance compared to high fat-adequate folic acid (HF-AFA) fed rats (p < 0.05). In addition, folic acid induced PPARγ expression and triglyceride accumulation in 3T3-L1 cells. Our results suggest that excess folic acid may exacerbate weight gain, fat accumulation, and inflammation caused by consumption of a HF diet. PMID:27669293

  14. Annealing properties of open volumes in HfSiOx and HfAlOx gate dielectrics studied using monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Ikeuchi, K.; Yamabe, K.; Ohdaira, T.; Muramatsu, M.; Suzuki, R.; Hamid, A. S.; Chikyow, T.; Torii, K.; Yamada, K.

    2005-07-01

    Thin Hf0.6Si0.4Ox and Hf0.3Al0.7Ox films fabricated by metal-organic chemical-vapor deposition and atomic-layer-deposition techniques were characterized using monoenergetic positron beams. Measurements of the Doppler broadening spectra of annihilation radiation and the lifetime spectra of positions indicated that positrons annihilated from the trapped state by open volumes that exist intrinsically in amorphous structures of the films. For HfSiOx, the mean size of the open volumes and their size distribution decreased with increasing postdeposition annealing (PDA) temperature. For HfAlOx, although the overall behavior of the open volumes in response to annealing was similar to that for HfSiOx, PDA caused a separation of the mean size of the open volumes. When this separation occurred, the value of the line-shape parameter S increased, suggesting an oxygen deficiency in the amorphous matrix. This fragmentation of the amorphous matrix can be suppressed by decreasing the annealing time.

  15. No-waiting dentine self-etch concept-Merit or hype.

    PubMed

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  16. Attachment and spreadout study of 3T3 cells onto PP track etched films

    NASA Astrophysics Data System (ADS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-12-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 °C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size.

  17. Associations With Eicosapentaenoic Acid to Arachidonic Acid Ratio and Mortality in Hospitalized Heart Failure Patients.

    PubMed

    Watanabe, Shunsuke; Yoshihisa, Akiomi; Kanno, Yuki; Takiguchi, Mai; Yokokawa, Tetsuro; Sato, Akihiko; Miura, Shunsuke; Shimizu, Takeshi; Abe, Satoshi; Sato, Takamasa; Suzuki, Satoshi; Oikawa, Masayoshi; Sakamoto, Nobuo; Yamaki, Takayoshi; Sugimoto, Koichi; Kunii, Hiroyuki; Nakazato, Kazuhiko; Suzuki, Hitoshi; Saitoh, Shu-Ichi; Takeishi, Yasuchika

    2016-12-01

    Intake of n-3 polyunsaturated fatty acids (n-3 PUFAs) lowers the risk of atherosclerotic cardiovascular events, particularly ischemic heart disease. In addition, the ratio of eicosapentaenoic acid (EPA; n-3 PUFA) to arachidonic acid (AA; n-6 PUFA) has recently been recognized as a risk marker of cardiovascular disease. In contrast, the prognostic impact of the EPA/AA ratio on patients with heart failure (HF) remains unclear. A total of 577 consecutive patients admitted for HF were divided into 2 groups based on median of the EPA/AA ratio: low EPA/AA (EPA/AA <0.32 mg/dl, n = 291) and high EPA/AA (EPA/AA ≥0.32, n = 286) groups. We compared laboratory data and echocardiographic findings and followed cardiac mortality. Although body mass index, blood pressure, B-type natriuretic peptide, hemoglobin, estimated glomerular filtration rate, total protein, albumin, sodium, C-reactive protein, and left ventricular ejection fraction did not differ between the 2 groups, cardiac mortality was significantly higher in the low EPA/AA group than in the high EPA/AA group (12.7 vs 5.9%, log-rank P = .004). Multivariate Cox proportional hazard analysis revealed that the EPA/AA ratio was an independent predictor of cardiac mortality (hazard ratio 0.677, 95% confidence interval 0.453-0.983, P = .041) in patients with HF. The EPA/AA ratio was an independent predictor of cardiac mortality in patients with HF; therefore, the prognosis of patients with HF may be improved by taking appropriate management to control the EPA/AA balance. Copyright © 2016 The Author(s). Published by Elsevier Inc. All rights reserved.

  18. Etching Rate of Silicon Dioxide Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Kasahara, Yu; Habuka, Hitoshi; Takechi, Naoto; Fukae, Katsuya

    2009-02-01

    The etching rate behavior of silicon dioxide (SiO2, fused silica) using chlorine trifluoride (ClF3) gas is studied at substrate temperatures between 573 and 1273 K at atmospheric pressure in a horizontal cold-wall reactor. The etching rate increases with the ClF3 gas concentration, and the overall reaction is recognized to be of the first order. The change of the etching rate with increasing substrate temperature is nonlinear, and the etching rate tends to approach a constant value at temperatures exceeding 1173 K. The overall rate constant is estimated by numerical calculation, taking into account the transport phenomena in the reactor, including the chemical reaction at the substrate surface. The activation energy obtained in this study is 45.8 kJ mol-1, and the rate constant is consistent with the measured etching rate behavior. A reactor system in which there is minimum etching of the fused silica chamber by ClF3 gas can be achieved using an IR lamp heating unit and a chamber cooling unit to maintain a sufficiently low temperature of the chamber wall.

  19. What's new in dentine bonding? Self-etch adhesives.

    PubMed

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  20. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.