Sample records for adjoining si atom

  1. Atomic characterization of Si nanoclusters embedded in SiO2 by atom probe tomography

    PubMed Central

    2011-01-01

    Silicon nanoclusters are of prime interest for new generation of optoelectronic and microelectronics components. Physical properties (light emission, carrier storage...) of systems using such nanoclusters are strongly dependent on nanostructural characteristics. These characteristics (size, composition, distribution, and interface nature) are until now obtained using conventional high-resolution analytic methods, such as high-resolution transmission electron microscopy, EFTEM, or EELS. In this article, a complementary technique, the atom probe tomography, was used for studying a multilayer (ML) system containing silicon clusters. Such a technique and its analysis give information on the structure at the atomic level and allow obtaining complementary information with respect to other techniques. A description of the different steps for such analysis: sample preparation, atom probe analysis, and data treatment are detailed. An atomic scale description of the Si nanoclusters/SiO2 ML will be fully described. This system is composed of 3.8-nm-thick SiO layers and 4-nm-thick SiO2 layers annealed 1 h at 900°C. PMID:21711666

  2. Adsorption and dynamics of Si atoms at the monolayer Pb/Si(111) surface

    NASA Astrophysics Data System (ADS)

    Kumar, Rakesh; Fang, Chuang-Kai; Lee, Chih-Hao; Hwang, Ing-Shouh

    2017-06-01

    In this work, we studied the adsorption behavior of deposited Si atoms along with their diffusion and other dynamic processes on a Pb monolayer-covered Si(111) surface from 125 to 230 K using a variable-temperature scanning tunneling microscope. The Pb-covered Si(111) surface forms a low-symmetry rowlike (√{7 }×√{3 } ) structure in this temperature range and the Si atoms bind favorably to two specific on-top sites (T1 A and T1 B) on the trimer row after deposition at the sample temperature of ˜125 K . The Si atoms were immobile at low temperatures and started to switch between the two neighboring T1 A and T1 B sites within the same trimer when the temperature was raised to ˜150 K . When the temperature was raised above ˜160 K , the adsorbed Si atoms could hop to other trimers along the same trimer row. Below ˜170 K , short hops to adjacent trimers dominated, but long hops dominated at temperatures above ˜170 K . The activation energy and prefactor for the Si atoms diffusion were derived through analysis of continuous-time imaging at temperatures from 160 to 174 K. In addition, irreversible aggregation of single Si atoms into Si clusters started to occur at the phase boundaries or defective sites at temperatures above ˜170 K . At temperature above ˜180 K , nearly all Si atoms aggregated into clusters, which may have important implications for the atomic mechanism of epitaxial growth of Si on the Pb-covered Si(111) surface. In addition, our study provides strong evidence for breaking in the mirror symmetry in the (√{7 }×√{3 } )-Pb structure, which has implications for the atomic model of this controversial structure.

  3. Interactions of atomic hydrogen with amorphous SiO2

    NASA Astrophysics Data System (ADS)

    Yue, Yunliang; Wang, Jianwei; Zhang, Yuqi; Song, Yu; Zuo, Xu

    2018-03-01

    Dozens of models are investigated by the first-principles calculations to simulate the interactions of an atomic hydrogen with a defect-free random network of amorphous SiO2 (a-SiO2) and oxygen vacancies. A wide variety of stable configurations are discovered due to the disorder of a-SiO2, and their structures, charges, magnetic moments, spin densities, and density of states are calculated. The atomic hydrogen interacts with the defect-free a-SiO2 in positively or negatively charged state, and produces the structures absent in crystalline SiO2. It passivates the neutral oxygen vacancies and generates two neutral hydrogenated E‧ centers with different Si dangling bond projections. Electron spin resonance parameters, including Fermi contacts, and g-tensors, are calculated for these centers. The atomic hydrogen interacts with the positive oxygen vacancies in dimer configuration, and generate four different positive hydrogenated defects, two of which are puckered like the Eγ‧ centers. This research helps to understand the interactions between an atomic hydrogen, and defect-free a-SiO2 and oxygen vacancies, which may generate the hydrogen-complexed defects that play a key role in the degeneration of silicon/silica-based microelectronic devices.

  4. Influence of helium atoms on the shear behavior of the fiber/matrix interphase of SiC/SiC composite

    NASA Astrophysics Data System (ADS)

    Jin, Enze; Du, Shiyu; Li, Mian; Liu, Chen; He, Shihong; He, Jian; He, Heming

    2016-10-01

    Silicon carbide has many attractive properties and the SiC/SiC composite has been considered as a promising candidate for nuclear structural materials. Up to now, a computational investigation on the properties of SiC/SiC composite varying in the presence of nuclear fission products is still missing. In this work, the influence of He atoms on the shear behavior of the SiC/SiC interphase is investigated via Molecular Dynamics simulation following our recent paper. Calculations are carried out on three dimensional models of graphite-like PyC/SiC interphase and amorphous PyC/SiC interphase with He atoms in different regions (the SiC region, the interface region and the PyC region). In the graphite-like PyC/SiC interphase, He atoms in the SiC region have little influence on the shear strength of the material, while both the shear strength and friction strength may be enhanced when they are in the PyC region. Low concentration of He atoms in the interface region of the graphite-like PyC/SiC interphase increases the shear strength, while there is a reduction of shear strength when the He concentration is high due to the switch of sliding plane. In the amorphous PyC/SiC interphase, He atoms can cause the reduction of the shear strength regardless of the regions that He atoms are located. The presence of He atoms may significantly alter the structure of SiC/SiC in the interface region. The influence of He atoms in the interface region is the most significant, leading to evident shear strength reduction of the amorphous PyC/SiC interphase with increasing He concentration. The behaviors of the interphases at different temperatures are studied as well. The dependence of the shear strengths of the two types of interphases on temperatures is studied as well. For the graphite-like PyC/SiC interphase, it is found strongly related to the regions He atoms are located. Combining these results with our previous study on pure SiC/SiC system, we expect this work may provide new insight

  5. Energy dissipation unveils atomic displacement in the noncontact atomic force microscopy imaging of Si(111 )-(7 ×7 )

    NASA Astrophysics Data System (ADS)

    Arai, Toyoko; Inamura, Ryo; Kura, Daiki; Tomitori, Masahiko

    2018-03-01

    The kinetic energy of the oscillating cantilever of noncontact atomic force microscopy (nc-AFM) at room temperature was considerably dissipated over regions between a Si adatom and its neighboring rest atom for Si(111 )-(7 ×7 ) in close proximity to a Si tip on the cantilever. However, nc-AFM topographic images showed no atomic features over those regions, which were the hollow sites of the (7 ×7 ). This energy dissipation likely originated from displacement of Si adatoms with respect to the tip over the hollow sites, leading to a lateral shift of the adatoms toward the rest atom. This interaction led to hysteresis over each cantilever oscillation cycle; when the tip was retracted, the Si adatom likely returned to its original position. To confirm the atomic processes involved in the force interactions through Si dangling bonds, the Si(111 )-(7 ×7 ) surface was partly terminated with atomic hydrogen (H) and examined by nc-AFM. When the Si adatoms and/or the rest atoms were terminated with H, the hollow sites were not bright (less dissipation) in images of the energy dissipation channels by nc-AFM. The hollow sites acted as metastable sites for Si adatoms in surface diffusion and atom manipulation; thus, the dissipation energy which is saturated on the tip likely corresponds to the difference in the potential energy between the hollow site and the Si adatom site. In this study, we demonstrated the ability of dissipation channels of nc-AFM to enable visualization of the dynamics of atoms and molecules on surfaces, which cannot be revealed by nc-AFM topographic images alone.

  6. Quantitative analysis of hydrogen in SiO{sub 2}/SiN/SiO{sub 2} stacks using atom probe tomography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kunimune, Yorinobu, E-mail: yorinobu.kunimune.vz@renesas.com; Shimada, Yasuhiro; Sakurai, Yusuke

    2016-04-15

    We have demonstrated that it is possible to reproducibly quantify hydrogen concentration in the SiN layer of a SiO{sub 2}/SiN/SiO{sub 2} (ONO) stack structure using ultraviolet laser-assisted atom probe tomography (APT). The concentration of hydrogen atoms detected using APT increased gradually during the analysis, which could be explained by the effect of hydrogen adsorption from residual gas in the vacuum chamber onto the specimen surface. The amount of adsorbed hydrogen in the SiN layer was estimated by analyzing another SiN layer with an extremely low hydrogen concentration (<0.2 at. %). Thus, by subtracting the concentration of adsorbed hydrogen, the actualmore » hydrogen concentration in the SiN layer was quantified as approximately 1.0 at. %. This result was consistent with that obtained by elastic recoil detection analysis (ERDA), which confirmed the accuracy of the APT quantification. The present results indicate that APT enables the imaging of the three-dimensional distribution of hydrogen atoms in actual devices at a sub-nanometer scale.« less

  7. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    PubMed

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  8. Study of vertical Si/SiO2 interface using laser-assisted atom probe tomography and transmission electron microscopy.

    PubMed

    Lee, J H; Lee, B H; Kim, Y T; Kim, J J; Lee, S Y; Lee, K P; Park, C G

    2014-03-01

    Laser-assisted atom probe tomography has opened the way to three-dimensional visualization of nanostructures. However, many questions related to the laser-matter interaction remain unresolved. We demonstrate that the interface reaction can be activated by laser-assisted field evaporation and affects the quantification of the interfacial composition. At a vertical interface between Si and SiO2, a SiO2 molecule tends to combine with a Si atom and evaporate as a SiO molecule, reducing the evaporation field. The features of the reaction depend on the direction of the laser illumination and the inner structure of tip. A high concentration of SiO is observed at a vertical interface between Si and SiO2 when the Si column is positioned at the center of the tip, whereas no significant SiO is detected when the SiO2 layer is at the center. The difference in the interfacial compositions of two samples was due to preferential evaporation of the Si layer. This was explained using transmission electron microscopy observations before and after atom probe experiments. Copyright © 2013 Elsevier Ltd. All rights reserved.

  9. Atomic steps on an ultraflat Si(111) surface upon sublimation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sitnikov, S. V., E-mail: sitnikov@isp.nsc.ru; Latyshev, A. V.; Kosolobov, S. S.

    2016-05-15

    The kinetics of atomic steps on an ultraflat Si(111) surface is studied by in situ ultrahigh-vacuum reflection electron microscopy at temperatures of 1050–1350°C. For the first time it is experimentally shown that the rate of displacement of an atomic step during sublimation nonlinearly depends on the width of the adjacent terrace. It is established that the atomic mechanism of mass-transport processes at the surface at temperatures higher than 1200°C is controlled by nucleation and the diffusion of surface vacancies rather than of adsorbed Si atoms. The studies make it possible to estimate the activation energy of the dissolution of vacanciesmore » from the surface into the bulk of Si. The estimated activation energy is (4.3 ± 0.05) eV.« less

  10. Fluorine atom abstraction by Si(100). I. Experimental

    NASA Astrophysics Data System (ADS)

    Tate, M. R.; Gosalvez-Blanco, D.; Pullman, D. P.; Tsekouras, A. A.; Li, Y. L.; Yang, J. J.; Laughlin, K. B.; Eckman, S. C.; Bertino, M. F.; Ceyer, S. T.

    1999-08-01

    In the interaction of low energy F2 with Si(100) at 250 K, a dissociative chemisorption mechanism called atom abstraction is identified in which only one of the F atoms is adsorbed while the other F atom is scattered into the gas phase. The dynamics of atom abstraction are characterized via time-of-flight measurements of the scattered F atoms. The F atoms are translationally hyperthermal but only carry a small fraction (˜3%) of the tremendous exothermicity of the reaction. The angular distribution of F atoms is unusually broad for the product of an exothermic reaction. These results suggest an "attractive" interaction potential between F2 and the Si dangling bond with a transition state that is not constrained geometrically. These results are in disagreement with the results of theoretical investigations implying that the available potential energy surfaces are inadequate to describe the dynamics of this gas-surface interaction. In addition to single atom abstraction, two atom adsorption, a mechanism analogous to classic dissociative chemisorption in which both F atoms are adsorbed onto the surface, is also observed. The absolute probability of the three scattering channels (single atom abstraction, two atom adsorption, and unreactive scattering) for an incident F2 are determined as a function of F2 exposure. The fluorine coverage is determined by integrating the reaction probabilities over F2 exposure, and the reaction probabilities are recast as a function of fluorine coverage. Two atom adsorption is the dominant channel [P2=0.83±0.03(95%, N=9)] in the limit of zero coverage and decays monotonically to zero. Single atom abstraction is the minor channel (P1=0.13±0.03) at low coverage but increases to a maximum (P1=0.35±0.08) at about 0.5 monolayer (ML) coverage before decaying to zero. The reaction ceases at 0.94±0.11(95%, N=9) ML. Thermal desorption and helium diffraction confirm that the dangling bonds are the abstraction and adsorption sites. No Si lattice

  11. Effects of the c-Si/a-SiO2 interfacial atomic structure on its band alignment: an ab initio study.

    PubMed

    Zheng, Fan; Pham, Hieu H; Wang, Lin-Wang

    2017-12-13

    The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2 ) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containing Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2 , was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV.

  12. Ion-Beam-Induced Atomic Mixing in Ge, Si, and SiGe, Studied by Means of Isotope Multilayer Structures

    PubMed Central

    Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Lundsgaard Hansen, John; Nylandsted Larsen, Arne; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut

    2017-01-01

    Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing. PMID:28773172

  13. Ion-Beam-Induced Atomic Mixing in Ge, Si, and SiGe, Studied by Means of Isotope Multilayer Structures.

    PubMed

    Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Hansen, John Lundsgaard; Larsen, Arne Nylandsted; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut

    2017-07-17

    Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing.

  14. Effects of the c-Si/a-SiO 2 interfacial atomic structure on its band alignment: an ab initio study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Fan; Pham, Hieu H.; Wang, Lin-Wang

    The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here in this study, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containingmore » Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2, was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV« less

  15. Effects of the c-Si/a-SiO 2 interfacial atomic structure on its band alignment: an ab initio study

    DOE PAGES

    Zheng, Fan; Pham, Hieu H.; Wang, Lin-Wang

    2017-11-13

    The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here in this study, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containingmore » Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2, was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV« less

  16. Interplay between Switching Driven by the Tunneling Current and Atomic Force of a Bistable Four-Atom Si Quantum Dot.

    PubMed

    Yamazaki, Shiro; Maeda, Keisuke; Sugimoto, Yoshiaki; Abe, Masayuki; Zobač, Vladimír; Pou, Pablo; Rodrigo, Lucia; Mutombo, Pingo; Pérez, Ruben; Jelínek, Pavel; Morita, Seizo

    2015-07-08

    We assemble bistable silicon quantum dots consisting of four buckled atoms (Si4-QD) using atom manipulation. We demonstrate two competing atom switching mechanisms, downward switching induced by tunneling current of scanning tunneling microscopy (STM) and opposite upward switching induced by atomic force of atomic force microscopy (AFM). Simultaneous application of competing current and force allows us to tune switching direction continuously. Assembly of the few-atom Si-QDs and controlling their states using versatile combined AFM/STM will contribute to further miniaturization of nanodevices.

  17. Carbon Displacement-Induced Single Carbon Atomic Chain Formation and its Effects on Sliding of SiC Fibers in SiC/graphene/SiC Composite

    DOE PAGES

    Wallace, Joseph B.; Chen, Di; Shao, Lin

    2015-11-03

    Understanding radiation effects on the mechanical properties of SiC composites is important to their application in advanced reactor designs. By means of molecular dynamics simulations, we found that due to strong interface bonding between the graphene layers and SiC, the sliding friction of SiC fibers is largely determined by the frictional behavior between graphene layers. Upon sliding, carbon displacements between graphene layers can act as seed atoms to induce the formation of single carbon atomic chains (SCACs) by pulling carbon atoms from the neighboring graphene planes. The formation, growth, and breaking of SCACs determine the frictional response to irradiation.

  18. Atomic insight into tribochemical wear mechanism of silicon at the Si/SiO2 interface in aqueous environment: Molecular dynamics simulations using ReaxFF reactive force field

    NASA Astrophysics Data System (ADS)

    Wen, Jialin; Ma, Tianbao; Zhang, Weiwei; Psofogiannakis, George; van Duin, Adri C. T.; Chen, Lei; Qian, Linmao; Hu, Yuanzhong; Lu, Xinchun

    2016-12-01

    In this work, the atomic mechanism of tribochemical wear of silicon at the Si/SiO2 interface in aqueous environment was investigated using ReaxFF molecular dynamics (MD) simulations. Two types of Si atom removal pathways were detected in the wear process. The first is caused by the destruction of stretched Si-O-Si bonds on the Si substrate surface and is assisted by the attachment of H atoms on the bridging oxygen atoms of the bonds. The other is caused by the rupture of Si-Si bonds in the stretched Si-Si-O-Si bond chains at the interface. Both pathways effectively remove Si atoms from the silicon surface via interfacial Si-O-Si bridge bonds. Our simulations also demonstrate that higher pressures applied to the silica phase can cause more Si atoms to be removed due to the formation of increased numbers of interfacial Si-O-Si bridge bonds. Besides, water plays a dual role in the wear mechanism, by oxidizing the Si substrate surface as well as by preventing the close contact of the surfaces. This work shows that the removal of Si atoms from the substrate is a result of both chemical reaction and mechanical effects and contributes to the understanding of tribochemical wear behavior in the microelectromechanical systems (MEMS) and Si chemical mechanical polishing (CMP) process.

  19. Atomic and electronic structure of the silicon and silicon-metal Si{sub 20}, Si{sub 20}{sup -}, NaSi{sub 20}, KSi{sub 20} nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Borshch, N. A., E-mail: ssd18@phys.vsu.ru; Pereslavtseva, N. S.; Kurganskii, S. I.

    The results of atomic-structure optimization and calculation of the electronic structure of the Si{sub 20}, Si{sub 20}{sup -}, NaSi{sub 20}, and KSi{sub 20} clusters are reported. The PM3 and AM1 semiempirical methods were used in the calculations. It is shown that the Na and K atoms stabilize the fullerene-like silicon structure. The effect of configuration of the clusters on their electronic structure is analyzed.

  20. Influence of average ion energy and atomic oxygen flux per Si atom on the formation of silicon oxide permeation barrier coatings on PET

    NASA Astrophysics Data System (ADS)

    Mitschker, F.; Wißing, J.; Hoppe, Ch; de los Arcos, T.; Grundmeier, G.; Awakowicz, P.

    2018-04-01

    The respective effect of average incorporated ion energy and impinging atomic oxygen flux on the deposition of silicon oxide (SiO x ) barrier coatings for polymers is studied in a microwave driven low pressure discharge with additional variable RF bias. Under consideration of plasma parameters, bias voltage, film density, chemical composition and particle fluxes, both are determined relative to the effective flux of Si atoms contributing to film growth. Subsequently, a correlation with barrier performance and chemical structure is achieved by measuring the oxygen transmission rate (OTR) and by performing x-ray photoelectron spectroscopy. It is observed that an increase in incorporated energy to 160 eV per deposited Si atom result in an enhanced cross-linking of the SiO x network and, therefore, an improved barrier performance by almost two orders of magnitude. Furthermore, independently increasing the number of oxygen atoms to 10 500 per deposited Si atom also lead to a comparable barrier improvement by an enhanced cross-linking.

  1. Atomic structure of nano voids in irradiated 3C-SiC

    NASA Astrophysics Data System (ADS)

    Lin, Yan-Ru; Chen, Liu-Gu; Hsieh, Cheng-Yo; Hu, Alice; Lo, Sheng-Chuan; Chen, Fu-Rong; Kai, Ji-Jung

    2018-01-01

    It is important to understand the atomic structure of defect clusters in SiC, a promising material for nuclear application. In this study, we have directly observed and identified nano voids in ion irradiated 3C-SiC at 800 °C, 20 dpa through ABF and HAADF STEM images. A quantitative method was used to analyze HAADF images in which atomic columns with a difference in the number of atoms could be identified and scattered intensities can be computed. Our result shows that these voids are composed of atomic vacancies in an octahedral arrangement. The density of the void was measured by STEM to be 9.2 × 1019m-3 and the size was ∼1.5 nm.

  2. Tight-binding study of Si2Cn (n = 3 to 42) fullerene-like or nanodiamonds microclusters: are Si atoms isolated or adjacent?

    NASA Astrophysics Data System (ADS)

    Leleyter, M.; Olivi-Tran, N.

    2008-12-01

    We studied in tight-binding approximation involving spν hybridization (ν=2,3), some Si2Cn (n=3 to 42) microclusters. We then investigated, on one hand, fragments of fullerene-like structures (sp2), and on the other hand, nanodiamonds (sp3) of adamantane-type or a 44-atom nanodiamond (with 2 inner atoms which are assumed to play the role of bulk atoms). We compared the stabilities, i.e. the electronic energies of these clusters, according to the various positions of the 2 Si atoms. Results are very different in the two kinds of hybridization. Besides, they can be analysed according to two different points of view: either the clusters are considered as small particles with limited sizes, or they are assumed to be used as models in order to simulate the Si-atom behaviour in very larger systems. In sp2 hybridization (fullerene-like geometries), the most stable isomer is always encountered when the 2 Si atoms build a Si2 group, and this result holds for both viewpoints quoted above. Conversely, in sp3 hybridization (nanodiamonds), since Si atoms “prefer” sites having the minimum connectivity, they are never found in adjacent sites. We see that with a simple and fast computational method we can explain an experimental fact which is very interesting such as the relative position of two heteroatoms in the cluster. This enhances the generality and the fecondity in the tight binding approximation due essentially to the link between this model and the graph theory, link based on the topology of the clusters.

  3. Growth kinetics of indium metal atoms on Si(1 1 2) surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Raj, Vidur; Chauhan, Amit Kumar Singh; Gupta, Govind, E-mail: govind@nplindia.org

    Graphical abstract: Controlled growth of indium atoms on Si(1 1 2) surface has been carried out systematically and the influence of substrate temperature on the kinetics is analysed under various growth conditions. Temperature induced anomalous layer-to-clusters transformation during thermal desorption has also been reported. - Highlights: • Controlled growth of indium atoms on Si(1 1 2) surface & their thermal stability. • Influence of substrate temperature on the kinetics under various growth conditions. • Temperature induced layer-to-clusters transformation during thermal desorption. - Abstract: The growth kinetics and desorption behavior of indium (In) atoms grown on high index Si(1 1 2)more » surface at different substrate temperatures has been studied. Auger electron spectroscopy analysis revealed that In growth at room temperature (RT) and high substrate temperature (HT) ∼250 °C follows Frank–van der Merve growth mode whereas at temperatures ≥450 °C, In growth evolves through Volmer–Weber growth mode. Thermal desorption studies of RT and 250 °C grown In/Si(1 1 2) systems show temperature induced rearrangement of In atoms over Si(1 1 2) surface leading to clusters to layer transformation. The monolayer and bilayer desorption energies for RT grown In/Si(1 1 2) system are calculated to be 2.5 eV and 1.52 eV, while for HT-250 °C the values are found to be 1.6 eV and 1.3 eV, respectively. This study demonstrates the effect of temperature on growth kinetics as well as on the multilayer/monolayer desorption pathway of In on Si(1 1 2) surface.« less

  4. Double-atomic layer of Tl on Si(111): Atomic arrangement and electronic properties

    NASA Astrophysics Data System (ADS)

    Mihalyuk, Alexey N.; Bondarenko, Leonid V.; Tupchaya, Alexandra Y.; Gruznev, Dimitry V.; Chou, Jyh-Pin; Hsing, Cheng-Rong; Wei, Ching-Ming; Zotov, Andrey V.; Saranin, Alexander A.

    2018-02-01

    Metastable double-atomic layer of Tl on Si(111) has recently been found to display interesting electric properties, namely superconductivity below 0.96 K and magnetic-field-induced transition into an insulating phase intermediated by a quantum metal state. In the present work, using a set of experimental techniques, including low-energy electron diffraction, scanning tunneling microscopy, angle-resolved photoelectron spectroscopy, in a combination with density-functional-theory calculations, we have characterized atomic and electronic properties of the Tl double layer on Si(111). The double Tl layer has been concluded to contain ∼ 2.4 monolayer of Tl. A top Tl layer has a '1 × 1' basic structure and displays 6 × 6 moiré pattern which originates from various residence sites of Tl atoms. Upon cooling below ∼ 140 K, the 6 × 6 moiré pattern changes to that having a 6√{ 3} × 6√{ 3} periodicity. However, the experimentally determined electron band dispersions show a 1 × 1 periodicity. The calculated band structure unfolded into the 1 × 1 surface Brillouin zone reproduces well the main features of the photoelectron spectra.

  5. Atomically resolved scanning force studies of vicinal Si(111)

    NASA Astrophysics Data System (ADS)

    Pérez León, Carmen; Drees, Holger; Wippermann, Stefan Martin; Marz, Michael; Hoffmann-Vogel, Regina

    2017-06-01

    Well-ordered stepped semiconductor surfaces attract intense attention owing to the regular arrangements of their atomic steps that makes them perfect templates for the growth of one-dimensional systems, e.g., nanowires. Here, we report on the atomic structure of the vicinal Si (111 ) surface with 10∘ miscut investigated by a joint frequency-modulation scanning force microscopy (FM-SFM) and ab initio approach. This popular stepped surface contains 7 ×7 -reconstructed terraces oriented along the Si (111 ) direction, separated by a stepped region. Recently, the atomic structure of this triple step based on scanning tunneling microscopy (STM) images has been subject of debate. Unlike STM, SFM atomic resolution capability arises from chemical bonding of the tip apex with the surface atoms. Thus, for surfaces with a corrugated density of states such as semiconductors, SFM provides complementary information to STM and partially removes the dependency of the topography on the electronic structure. Our FM-SFM images with unprecedented spatial resolution on steps coincide with the model based on a (7 7 10 ) orientation of the surface and reveal structural details of this surface. Two different FM-SFM contrasts together with density functional theory calculations explain the presence of defects, buckling, and filling asymmetries on the surface. Our results evidence the important role of charge transfers between adatoms, restatoms, and dimers in the stabilisation of the structure of the vicinal surface.

  6. Self-limited growth of Si on B atomic-layer formed Ge(1 0 0) by ultraclean low-pressure CVD system

    NASA Astrophysics Data System (ADS)

    Yokogawa, Takashi; Ishibashi, Kiyohisa; Sakuraba, Masao; Murota, Junichi; Inokuchi, Yasuhiro; Kunii, Yasuo; Kurokawa, Harushige

    2008-07-01

    Utilizing BCl 3 reaction on Ge(1 0 0) and subsequent Si epitaxial growth by SiH 4 reaction at 300 °C, B atomic-layer doping in Si/Ge(1 0 0) heterostructure was investigated. Cl atoms on the B atomic-layer formed Ge(1 0 0) scarcely affect upon the SiH 4 reaction. It is also found that Si atom amount deposited by SiH 4 reaction on Ge(1 0 0) is effectively enhanced by the existence of B atomic layer and the deposition rate tends to decrease at around 2-3 atomic layers which is three times larger than that in the case without B. The results of angle-resolved X-ray photoelectron spectroscopy show that most B atoms are incorporated at the heterointerface between the Si and Ge.

  7. Triangular lattice atomic layer of Sn(1 × 1) at graphene/SiC(0001) interface

    NASA Astrophysics Data System (ADS)

    Hayashi, Shingo; Visikovskiy, Anton; Kajiwara, Takashi; Iimori, Takushi; Shirasawa, Tetsuroh; Nakastuji, Kan; Miyamachi, Toshio; Nakashima, Shuhei; Yaji, Koichiro; Mase, Kazuhiko; Komori, Fumio; Tanaka, Satoru

    2018-01-01

    Sn atomic layers attract considerable interest owing to their spin-related physical properties caused by their strong spin-orbit interactions. We performed Sn intercalation into the graphene/SiC(0001) interface and found a new type of Sn atomic layer. Sn atoms occupy on-top sites of Si-terminated SiC(0001) with in-plane Sn-Sn bondings, resulting in a triangular lattice. Angle-resolved photoemission spectroscopy revealed characteristic dispersions at \\bar{\\text{K}} and \\bar{\\text{M}} points, which agreed well with density functional theory calculations. The Sn triangular lattice atomic layer at the interface showed no oxidation upon exposure to air, which is useful for characterization and device fabrication ex situ.

  8. Atomic-scale planarization of 4H-SiC (0001) by combination of thermal oxidation and abrasive polishing

    NASA Astrophysics Data System (ADS)

    Deng, Hui; Endo, Katsuyoshi; Yamamura, Kazuya

    2013-09-01

    Thermal oxidation (TO) and abrasive polishing were combined for atomic-scale planarization of 4H-SiC. It was found that the oxide/SiC interface was atomically flat regardless of the thickness of the oxide. The specimen prepared by TO was dipped in HF solution to remove the oxide. However, owing to the residual silicon oxycarbide (Si-C-O), the step/terrace structure of 4H-SiC could not be observed. Nanoindentation tests revealed that the hardness of Si-C-O was much lower than that of SiC. A thermally oxidized SiC surface was polished using CeO2 abrasives, which resulted in an atomically flat surface with a well-ordered two-bilayer step/terrace structure.

  9. Formation of atomically smooth epitaxial metal films on a chemically reactive interface: Mg on Si(111)

    NASA Astrophysics Data System (ADS)

    Özer, Mustafa M.; Weitering, Hanno H.

    2013-07-01

    Deposition of Mg on Si(111)7 × 7 produces an epitaxial magnesium silicide layer. Under identical annealing conditions, the thickness of this Mg2Si(111) layer increases with deposition amount, reaching a maximum of 4 monolayer (ML) and decreasing to ˜3 ML at higher Mg coverage. Excess Mg coalesces into atomically flat, crystalline Mg(0001) films. This surprising growth mode can be attributed to the accidental commensurability of the Mg(0001), Si(111), and Mg2Si(111) interlayer spacing and the concurrent minimization of in-plane Si mass transfer and domain-wall energies. The commensurability of the interlayer spacing defines a highly unique solid-phase epitaxial growth process capable of producing trilayer structures with atomically abrupt interfaces and atomically smooth surface morphologies.

  10. Effect of Elastic Strain Fluctuation on Atomic Layer Growth of Epitaxial Silicide in Si Nanowires by Point Contact Reactions.

    PubMed

    Chou, Yi-Chia; Tang, Wei; Chiou, Chien-Jyun; Chen, Kai; Minor, Andrew M; Tu, K N

    2015-06-10

    Effects of strain impact a range of applications involving mobility change in field-effect-transistors. We report the effect of strain fluctuation on epitaxial growth of NiSi2 in a Si nanowire via point contact and atomic layer reactions, and we discuss the thermodynamic, kinetic, and mechanical implications. The generation and relaxation of strain shown by in situ TEM is periodic and in synchronization with the atomic layer reaction. The Si lattice at the epitaxial interface is under tensile strain, which enables a high solubility of supersaturated interstitial Ni atoms for homogeneous nucleation of an epitaxial atomic layer of the disilicide phase. The tensile strain is reduced locally during the incubation period of nucleation by the dissolution of supersaturated Ni atoms in the Si lattice but the strained-Si state returns once the atomic layer epitaxial growth of NiSi2 occurs by consuming the supersaturated Ni.

  11. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    NASA Astrophysics Data System (ADS)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  12. Distribution of Al atoms in the clathrate-I phase Ba8AlxSi46-x at x = 6.9.

    PubMed

    Bobnar, Matej; Böhme, Bodo; Wedel, Michael; Burkhardt, Ulrich; Ormeci, Alim; Prots, Yurii; Drathen, Christina; Liang, Ying; Nguyen, Hong Duong; Baitinger, Michael; Grin, Yuri

    2015-07-28

    The clathrate-I phase Ba8AlxSi46-x has been structurally characterized at the composition x = 6.9 (space group Pm3[combining macron]n, no. 223, a = 10.4645(2) Å). A crystal structure model comprising the distribution of aluminium and silicon atoms in the clathrate framework was established: 5.7 Al atoms and 0.3 Si atoms occupy the crystallographic site 6c, while 1.2 Al atoms and 22.8 Si atoms occupy site 24k. The atomic distribution was established based on a combination of (27)Al and (29)Si NMR experiments, X-ray single-crystal diffraction and wavelength-dispersive X-ray spectroscopy.

  13. Comparison of thermal compatibility between atomized and comminuted U{sub 3}Si dispersion fuels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryu, Woo-Seog; Park, Jong-Man; Kim, Chang-Kyu

    1997-08-01

    Thermal compatibility of atomized U{sub 3}Si dispersion fuels were evaluated up to 2600 hours in the temperature range from 250 to 500{degrees}C, and compared with that of comminuted U{sub 3}Si. Atomized U{sub 3}Si showed better performance in terms of volume expansion of fuel meats. The reaction zone of U{sub 3}Si and Al occurred along the grain boundaries and deformation bands in U{sub 3}Si particles. Pores around fuel particles appeared at high temperature or after long-term annealing tests to remain diffusion paths over the trench of the pores. The constraint effects of cladding on fuel rod suppressed the fuel meat, andmore » reduced the volume expansion.« less

  14. Atomic contributions from spin-orbit coupling to 29Si NMR chemical shifts in metallasilatrane complexes.

    PubMed

    Autschbach, Jochen; Sutter, Kiplangat; Truflandier, Lionel A; Brendler, Erica; Wagler, Jörg

    2012-10-01

    New members of a novel class of metallasilatrane complexes [X-Si-(μ-mt)(4)-M-Y], with M=Ni, Pd, Pt, X=F, Cl, Y=Cl, Br, I, and mt=2-mercapto-1-methylimidazolide, have been synthesized and characterized structurally by X-ray diffraction and by (29)Si solid-state NMR. Spin-orbit (SO) effects on the (29)Si chemical shifts induced by the metal, by the sulfur atoms in the ligand, and by heavy halide ligands Y=Cl, Br, I were investigated with the help of relativistic density functional calculations. Operators used in the calculations were constructed such that SO coupling can selectively be switched off for certain atoms. The unexpectedly large SO effects on the (29)Si shielding in the Ni complex with X=Y=Cl reported recently originate directly from the Ni atom, not from other moderately heavy atoms in the complex. With respect to Pd, SO effects are amplified for Ni owing to its smaller ligand-field splitting, despite the smaller nuclear charge. In the X=Cl, Y=Cl, Br, I series of complexes the Y ligand strongly modulates the (29)Si shift by amplifying or suppressing the metal SO effects. The pronounced delocalization of the partially covalent M←Y bond plays an important role in modulating the (29)Si shielding. We also demonstrate an influence from the X ligand on the (29)Si SO shielding contributions originating at Y. The NMR spectra for [X-Si-(μ-mt)(4)-M-Y] must be interpreted mainly based on electronic and relativistic effects, rather than structural differences between the complexes. The results highlight the sometimes unintuitive role of SO coupling in NMR spectra of complexes containing heavy atoms. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. A Novel Intrinsic Interface State Controlled by Atomic Stacking Sequence at Interfaces of SiC/SiO2.

    PubMed

    Matsushita, Yu-Ichiro; Oshiyama, Atsushi

    2017-10-11

    On the basis of ab initio total-energy electronic-structure calculations, we find that electron states localized at the SiC/SiO 2 interface emerge in the energy region between 0.3 eV below and 1.2 eV above the bulk conduction-band minimum (CBM) of SiC, being sensitive to the sequence of atomic bilayers in SiC near the interface. These new interface states unrecognized in the past are due to the peculiar characteristics of the CBM states that are distributed along the crystallographic channels. We also find that the electron doping modifies the energetics among the different stacking structures. Implication for performance of electron devices fabricated on different SiC surfaces is discussed.

  16. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    PubMed

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  17. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  18. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    NASA Astrophysics Data System (ADS)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  19. Carbon-hydrogen defects with a neighboring oxygen atom in n-type Si

    NASA Astrophysics Data System (ADS)

    Gwozdz, K.; Stübner, R.; Kolkovsky, Vl.; Weber, J.

    2017-07-01

    We report on the electrical activation of neutral carbon-oxygen complexes in Si by wet-chemical etching at room temperature. Two deep levels, E65 and E75, are observed by deep level transient spectroscopy in n-type Czochralski Si. The activation enthalpies of E65 and E75 are obtained as EC-0.11 eV (E65) and EC-0.13 eV (E75). The electric field dependence of their emission rates relates both levels to single acceptor states. From the analysis of the depth profiles, we conclude that the levels belong to two different defects, which contain only one hydrogen atom. A configuration is proposed, where the CH1BC defect, with hydrogen in the bond-centered position between neighboring C and Si atoms, is disturbed by interstitial oxygen in the second nearest neighbor position to substitutional carbon. The significant reduction of the CH1BC concentration in samples with high oxygen concentrations limits the use of this defect for the determination of low concentrations of substitutional carbon in Si samples.

  20. On the atomic structure of liquid Ni-Si alloys: a neutron diffraction study

    NASA Astrophysics Data System (ADS)

    Gruner, S.; Marczinke, J.; Hennet, L.; Hoyer, W.; Cuello, G. J.

    2009-09-01

    The atomic structure of the liquid NiSi and NiSi2 alloys is investigated by means of neutron diffraction experiments with isotopic substitution. From experimental data-sets obtained using four Ni isotopes, partial structure factors and pair correlation functions are obtained by applying a reverse Monte Carlo modelling approach. Both alloys were found to exhibit a strong tendency to hetero-coordination within the first coordination shell. In particular, covalent Si-Si bonds with somewhat greater distances seem to influence the structure of the liquid NiSi alloy.

  1. On the atomic structure of liquid Ni-Si alloys: a neutron diffraction study.

    PubMed

    Gruner, S; Marczinke, J; Hennet, L; Hoyer, W; Cuello, G J

    2009-09-23

    The atomic structure of the liquid NiSi and NiSi(2) alloys is investigated by means of neutron diffraction experiments with isotopic substitution. From experimental data-sets obtained using four Ni isotopes, partial structure factors and pair correlation functions are obtained by applying a reverse Monte Carlo modelling approach. Both alloys were found to exhibit a strong tendency to hetero-coordination within the first coordination shell. In particular, covalent Si-Si bonds with somewhat greater distances seem to influence the structure of the liquid NiSi alloy.

  2. Slow Collisions of Si3+ with Atomic Hydrogen

    NASA Astrophysics Data System (ADS)

    Joseph, D. C.; Gu, J.-P.; Saha, B. C.; Liebermann, H. P.; Funke, P.; Buenker, R. J.

    2010-03-01

    Low energy electron capture from hydrogen atom by multi-charged ions continues to be of interest and applications include both magnetically confined fusion and astrophysical plasmas. The charge exchange process reported here, Si^3+ + H -> Si^2+ + H^+ is an important destruction mechanism of Si^3+ in photo-ionized gas. The soft X-ray emission from comets has been explained by charge transfer of solar wind ions, among them Si^3+, with neutrals in the cometary gas vapor. The state selective cross sections are evaluated using the full quantum [1] and semi-classical molecular orbital close coupling (MOCC) [2] methods. Adiabatic potentials and wave functions for a number of low-lying singlet and triplet states of and symmetry are calculated wing the MRD-CI package [3]. Details will be presented at the conference. [4pt] [1] L. B. Zhao, D. C. Joseph, B. C. Saha, H. P. Liebermann, P. Funke and R. J. Buenker, Phys. Rev A, 79, 034701 (1009).[0pt] [2] M. Kimura and N. F. Lane, At. Mol. Opt. Phys 26, 79 (1990).[0pt] [3] R. J. Buenker, ``Current Aspects of Quantum Chemistry 1981, Vol 21, edited by R. Carbo (Elsevier, Amsterdam) p 17.

  3. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films preparedmore » by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.« less

  4. Novel pathways for elimination of chlorine atoms from growing Si(100) surfaces in CVD reactors

    NASA Astrophysics Data System (ADS)

    Kunioshi, Nílson; Hagino, Sho; Fuwa, Akio; Yamaguchi, Katsunori

    2018-05-01

    Reactions leading to elimination of chlorine atoms from growing Si(100) surfaces were simulated using clusters of silicon atoms of different sizes and shapes, and at the UB3LYP/6-31 g(d,p) level of theory. The reactions of type SiCl2(s) + 2 H2(g), where (s) indicates an adsorbed species at the surface and (g) a gas-phase species, were found to proceed in two steps: SiCl2(s) + H2(g) → SiHCl(s) + HCl(g) and SiHCl(s) + H2(g) → SiH2(s) + HCl(g), each having activation energies around 55 kcal/mol, a value which is comparable to experimental values published in the literature. In addition, the results suggested that H-passivation of Si(100) surfaces support reactions leading to canonical epitaxial growth, providing a plausible explanation for the convenience of passivating the surfaces prior to silicon deposition. The reactions analyzed here can therefore be seen as important steps in the mechanism of epitaxial growth of Si(100) surfaces.

  5. Peculiarities of FeSi phonon spectrum induced by a change of atomic volume

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parshin, P. P., E-mail: Parshin-PP@nrcki.ru, E-mail: neupar45@yandex.ru; Chumakov, A. I.; Alekseev, P. A.

    2016-12-15

    We analyze in detail the results of experimental investigations of the evolution of the thermal vibration spectra for iron atoms in iron monosilicide FeSi depending on two external parameters, viz., temperature T (in the range 46–297 K at pressure P = 0.1 MPa) and pressure P (in the range 0.1 MPa–43 GPa at temperature T = 297 K), obtained by nuclear inelastic scattering of synchrotron radiation. The decrease of the atomic volume is accompanied by a rearrangement of the phonon spectrum, which is manifested, in particular, in the splitting of the low-energy peak in the spectrum and in an increasemore » of the energy for all phonons. The changes of the average energy of the iron atom vibrational spectrum and of the Debye energy with decreasing atomic volume are analyzed. Different versions of FeSi electron spectrum variation, which can be used to explain the observed phonon anomalies, are considered.« less

  6. Atomic oxygen undercutting of defects on SiO2 protected polyimide solar array blankets

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; Rutledge, Sharon K.; Auer, Bruce M.; Difilippo, Frank

    1990-01-01

    Low Earth Orbital (LEO) atomic oxygen can oxidize SiO2-protected polyimide kapton solar array blanket material which is not totally protected as a result of pinholes or scratches in the SiO2 coatings. The probability of atomic oxygen reaction upon initial impact is low, thus inviting oxidation by secondary impacts. The secondary impacts can produce atomic oxygen undercutting which may lead to coating mechanical failure and ever increasing mass loss rates of kapton. Comparison of undercutting effects in isotropic plasma asher and directed beam tests are reported. These experimental results are compared with computational undercutting profiles based on Monte Carlo methods and their implication on LEO performance of protected polymers.

  7. Giant (12 ×12 ) and (4 ×8 ) reconstructions of the 6 H -SiC(0001) surface obtained by progressive enrichment in Si atoms

    NASA Astrophysics Data System (ADS)

    Martrou, David; Leoni, Thomas; Chaumeton, Florian; Castanié, Fabien; Gauthier, Sébastien; Bouju, Xavier

    2018-02-01

    Silicon carbide (SiC) is nowadays a major material for applications in high power electronics, quantum optics, or nitride semiconductors growth. Mastering the surface of SiC substrate is crucial to obtain reproducible results. Previous studies on the 6 H -SiC(0001) surface have determined several reconstructions, including the (√{3 }×√{3 }) -R 30∘ and the (3 ×3 ) . Here, we introduce a process of progressive Si enrichment that leads to the formation of two reconstructions, the giant (12 ×12 ) and the (4 ×8 ) . From electron diffraction and tunneling microscopy completed by molecular dynamics simulations, we build models introducing a type of Si adatom bridging two Si surface atoms. Using these Si bridges, we also propose a structure for two other reconstructions, the (2 √{3 }×2 √{3 }) -R 30∘ and the (2 √{3 }×2 √{13 } ). We show that five reconstructions follow each other with Si coverage ranging from 1 and 1.444 monolayer. This result opens the way to greatly improve the control of 6 H -SiC(0001) at the atomic scale.

  8. Microstructural investigation of Sr-modified Al-15 wt%Si alloys in the range from micrometer to atomic scale.

    PubMed

    Timpel, M; Wanderka, N; Vinod Kumar, G S; Banhart, J

    2011-05-01

    Strontium-modified Al-15 wt%Si casting alloys were investigated after 5 and 60 min of melt holding. The eutectic microstructures were studied using complementary methods at different length scales: focused ion beam-energy selective backscattered tomography, transmission electron microscopy and 3D atom probe. Whereas the samples after 5 min of melt holding show that the structure of eutectic Si changes into a fine fibrous morphology, the increase of prolonged melt holding (60 min) leads to the loss of Sr within the alloy with an evolution of an unmodified eutectic microstructure displaying coarse interconnected Si plates. Strontium was found at the Al/Si eutectic interfaces on the side of the eutectic Al region, measured by 3D atom probe. The new results obtained using 3D atom probe shed light on the location of Sr within the Al-Si eutectic microstructure. Copyright © 2010 Elsevier B.V. All rights reserved.

  9. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  10. Microstructure of as-fabricated UMo/Al(Si) plates prepared with ground and atomized powder

    NASA Astrophysics Data System (ADS)

    Jungwirth, R.; Palancher, H.; Bonnin, A.; Bertrand-Drira, C.; Borca, C.; Honkimäki, V.; Jarousse, C.; Stepnik, B.; Park, S.-H.; Iltis, X.; Schmahl, W. W.; Petry, W.

    2013-07-01

    UMo-Al based fuel plates prepared with ground U8wt%Mo, ground U8wt%MoX (X = 1 wt%Pt, 1 wt%Ti, 1.5 wt%Nb or 3 wt%Nb) and atomized U7wt%Mo have been examined. The first finding is that that during the fuel plate production the metastable γ-UMo phases partly decomposed into two different γ-UMo phases, U2Mo and α'-U in ground powder or α″-U in atomized powder. Alloying small amounts of a third element to the UMo had no measurable effect on the stability of the γ-UMo phase. Second, the addition of some Si inside the Al matrix and the presence of oxide layers in ground and atomized samples is studied. In the case with at least 2 wt%Si inside the matrix a Silicon rich layer (SiRL) forms at the interface between the UMo and the Al during the fuel plate production. The SiRL forms more easily when an Al-Si alloy matrix - which is characterized by Si precipitates with a diameter ⩽1 μm - is used than when an Al-Si mixed powder matrix - which is characterized by Si particles with some μm diameter - is used. The presence of an oxide layer on the surface of the UMo particles hinders the formation of the SiRL. Addition of some Si into the Al matrix [7-11]. Application of a protective barrier at the UMo/Al interface by oxidizing the UMo powder [7,12]. Increase of the Mo content or use of UMo alloys with ternary element addition X (e.g. X = Nb, Ti, Pt) to stabilize the γ-UMo with respect to α-U or to control the UMo-Al interaction layer kinetics [9,12-24]. Use of ground UMo powder instead of atomized UMo powder [10,25] The points 1-3 are to limit the formation of the undesired UMo/Al layer. Especially the addition of Si into the matrix has been suggested [3,7,8,10,11,26,27]. It has been often mentioned that Silicon is efficient in reducing the Uranium-Aluminum diffusion kinetics since Si shows a higher chemical affinity to U than Al to U. Si suppresses the formation of brittle UAl4 which causes a huge swelling during the irradiation. Furthermore it enhances the

  11. Elucidation of the atomic-scale mechanism of the anisotropic oxidation rate of 4H-SiC between the (0001) Si-face and ( 000 1 ¯ ) C-face by using a new Si-O-C interatomic potential

    NASA Astrophysics Data System (ADS)

    Takamoto, So; Yamasaki, Takahiro; Ohno, Takahisa; Kaneta, Chioko; Hatano, Asuka; Izumi, Satoshi

    2018-05-01

    Silicon carbide (SiC) is an attractive semiconductor material for applications in power electronic devices. However, fabrication of a high-quality SiC/SiO2 interface has been a challenge. It is well-known that there is a great difference in the oxidation rate between the Si-face and the C-face and that the quality of oxide on the Si-face is greater than that on the C-face. However, the atomistic mechanism of the thermal oxidation of SiC remains to be solved. In this paper, a new Si-O-C interatomic potential was developed to reproduce the kinetics of the thermal oxidation of SiC. Using this newly developed potential, large-scale SiC oxidation simulations at various temperatures were performed. The results showed that the activation energy of the Si-face is much larger than that of the C-face. In the case of the Si-face, a flat and aligned interface structure including Si1+ was created. Based on the estimated activation energies of the intermediate oxide states, it is proposed that the stability of the flat interface structure is the origin of the high activation energy of the oxidation of the Si-face. In contrast, in the case of the C-face, it is found that the Si atom at the interface is easily pulled up by the O atoms. This process generates the disordered interface and decreases the activation energy of the oxidation. It is also proposed that many excess C atoms are created in the case of the C-face.

  12. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  13. Strategies for alignment and e-beam contact to buried atomic-precision devices in Si

    NASA Astrophysics Data System (ADS)

    Wyrick, Jonathan; Namboodiri, Pradeep; Wang, Xiqiao; Murray, Roy; Hagmann, Joseph; Li, Kai; Stewart, Michael; Richter, Curt; Silver, Richard

    STM based hydrogen lithography has proven to be a viable route to fabrication of atomic-precision electronic devices. The strength of this technique is the ability to control the lateral placement of phosphorus atoms in a single atomic layer of Si with sub-nanometer resolution. However, because of limitations in the rate at which a scanning probe can pattern a device, as well as the ultimate size of contacts that can be fabricated (on the order of a micron in length), making electrical contact to STM fabricated devices encased in Si is nontrivial. One commonly implemented solution to this challenge is to choose the exact location on a Si surface where a device is to be patterned by STM and to design fiducials to aid in navigating the probe to that predetermined location. We present results from an alternate strategy for contacting buried devices based on performing the STM lithography fabrication first, and determination of the buried structure location after the fact using topographically identifiable STM fabricated fiducials. AFM, scanning capacitance, and peak force Kelvin microscopy as well as optical microscopy techniques are evaluated as a means for device relocation and to quantify the comparative accuracy of these techniques.

  14. Laser-assisted atom probe tomography of four paired poly-Si/SiO2 multiple-stacks with each thickness of 10 nm

    NASA Astrophysics Data System (ADS)

    Kwak, C.-M.; Seol, J.-B.; Kim, Y.-T.; Park, C.-G.

    2017-02-01

    For the past 10 years, laser-assisted atom probe tomography (APT) analysis has been performed to quantify the near-atomic scale distribution of elements and their local chemical compositions within interfaces that determine the design, processing, and properties of virtually all materials. However, the nature of the occurring laser-induced emission at the surface of needle-shaped sample is highly complex and it has been an ongoing challenge to understand the surface-related interactions between laser-sources and tips containing non-conductive oxides for a robust and reliable analysis of multiple-stacked devices. Here, we find that the APT analysis of four paired poly-Si/SiO2 (conductive/non-conductive) multiple stacks with each thickness of 10 nm is governed by experimentally monitoring three experimental conditions, such as laser-beam energies ranged from 30 to 200 nJ, analysis temperatures varying with 30-100 K, and the inclination of aligned interfaces within a given tip toward analysis direction. Varying with laser-energy and analysis temperature, a drastic compositional ratio of doubly charged Si ions to single charged Si ions within conductive poly-Si layers is modified, as compared with ones detected in the non-conductive layers. Severe distorted APT images of multiple stacks are also inevitable, especially at the conductive layers, and leading to a lowering of the successful analysis yields. This lower throughput has been overcome though changing the inclination of interfaces within a given tip to analysis direction (planar interfaces parallel to the tip axis), but significant deviations in chemical compositions of a conductive layer counted from those of tips containing planar interfaces perpendicular to the tip axis are unavoidable owing to the Si2, SiH2O, and Si2O ions detected, for the first time, within poly-Si layers.

  15. One-atom-layer 4×4 compound in (Tl, Pb)/Si(111) system

    NASA Astrophysics Data System (ADS)

    Mihalyuk, A. N.; Hsing, C. R.; Wei, C. M.; Gruznev, D. V.; Bondarenko, L. V.; Tupchaya, A. Y.; Zotov, A. V.; Saranin, A. A.

    2017-03-01

    An ordered 4×4-periodicity 2D compound has been found in the (Tl, Pb)/Si(111) system and its composition, structure and electronic properties have been characterized using low-energy electron diffraction, scanning tunneling microscopy observations and density-functional-theory calculations. The compound has been concluded to contain 9 Tl atoms and 12 Pb atoms per 4×4 unit cell, i.e., 0.56 ML of Tl and 0.75 ML of Pb. Structural model was proposed for the 4×4-(Tl, Pb) compound where building blocks are a hexagonal array of 12 Pb atoms, a triangular array of 6 Tl atoms and a Tl trimer. The proposed structure has a C3 symmetry and occurs in the two equivalent orientations. The electron band structure of the compound contains two metallic spin-split surface-state bands. Bearing in mind the advanced properties of the known √{ 3 } ×√{ 3 } 2D compound in the same (Tl, Pb)/Si(111) system (i.e., combination of giant Rashba effect and superconductivity), the found 4×4-(Tl, Pb) compound is believed to be a promising object for exploration of its superconductive properties.

  16. Conductive atomic force microscopy studies on the transformation of GeSi quantum dots to quantum rings.

    PubMed

    Zhang, S L; Xue, F; Wu, R; Cui, J; Jiang, Z M; Yang, X J

    2009-04-01

    Conductive atomic force microscopy has been employed to study the topography and conductance distribution of individual GeSi quantum dots (QDs) and quantum rings (QRs) during the transformation from QDs to QRs by depositing an Si capping layer on QDs. The current distribution changes significantly with the topographic transformation during the Si capping process. Without the capping layer, the QDs are dome-shaped and the conductance is higher at the ring region between the center and boundary than that at the center. After capping with 0.32 nm Si, the shape of the QDs changes to pyramidal and the current is higher at both the center and the arris. When the Si capping layer increases to 2 nm, QRs are formed and the current of individual QRs is higher at the rim than that at the central hole. By comparing the composition distributions obtained by scanning Auger microscopy and atomic force microscopy combined with selective chemical etching, the origin of the current distribution change is discussed.

  17. Effect of local atomic and electronic structures on thermoelectric properties of chemically substituted CoSi

    NASA Astrophysics Data System (ADS)

    Hsu, C. C.; Pao, C. W.; Chen, J. L.; Chen, C. L.; Dong, C. L.; Liu, Y. S.; Lee, J. F.; Chan, T. S.; Chang, C. L.; Kuo, Y. K.; Lue, C. S.

    2014-05-01

    We report the effects of Ge partial substitution for Si on local atomic and electronic structures of thermoelectric materials in binary compound cobalt monosilicides (\\text{CoSi}_{1-x}\\text{Ge}_{x}\\text{:}\\ 0 \\le x \\le 0.15 ). Correlations between local atomic/electronic structure and thermoelectric properties are investigated by means of X-ray absorption spectroscopy. The spectroscopic results indicate that as Ge is partially substituted onto Si sites at x \\le 0.05 , Co in CoSi1-xGex gains a certain amount of charge in its 3d orbitals. Contrarily, upon further replacing Si with Ge at x \\ge 0.05 , the Co 3d orbitals start to lose some of their charge. Notably, thermopower is strongly correlated with charge redistribution in the Co 3d orbital, and the observed charge transfer between Ge and Co is responsible for the variation of Co 3d occupancy number. In addition to Seebeck coefficient, which can be modified by tailoring the Co 3d states, local lattice disorder may also be beneficial in enhancing the thermoelectric properties. Extended X-ray absorption fine structure spectrum results further demonstrate that the lattice phonons can be enhanced by Ge doping, which results in the formation of the disordered Co-Co pair. Improvements in the thermoelectric properties are interpreted based on the variation of local atomic and electronic structure induced by lattice distortion through chemical substitution.

  18. Atomic Resolution Study of the Interfacial Bonding at Si3N4/CeO2-δ Grain Boundaries

    NASA Astrophysics Data System (ADS)

    Klie, Robert F.; Walkosz, Weronika; Ogut, Serdar; Borisevich, A.; Becher, Paul F.; Pennycook, Steve J.; Idrobo, Juan C.

    2008-03-01

    Using a combination of atomic resolution Z-contrast imaging and electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope, we examine the atomic and electronic structures at the interface between Si3N4 (10 10) and CeO2-δ inter-granular film (IGF). Ce atoms are observed to segregate to the interface in a two-layer periodic arrangement, which is significantly different compared to the structure observed in a previous study. Our EELS experiments show that (i) oxygen is present at the interface in direct contact with the terminating Si3N4 open-ring structures, (ii) the Ce valence state changes from +3 to +4 in going from the interface into the IGF, and (iii) while the N concentration decreases away from the Si3N4 grains into the IGF, the Si concentration remains uniform across the whole width of the IGF. Possible reasons for these observed structural and electronic variations at the interface and their implications for future studies on Si3N4/rare-earth oxide interfaces are briefly discussed.

  19. A Si I atomic model for NLTE spectropolarimetric diagnostics of the 10 827 Å line

    NASA Astrophysics Data System (ADS)

    Shchukina, N. G.; Sukhorukov, A. V.; Trujillo Bueno, J.

    2017-07-01

    Aims: The Si I 10 827 Å line is commonly used for spectropolarimetric diagnostics of the solar atmosphere. First, we aim at quantifying the sensitivity of the Stokes profiles of this line to non-local thermodynamic equilibrium (NLTE) effects. Second, we aim at facilitating NLTE diagnostics of the Si I 10 827 Å line. To this end, we propose the use of a relatively simple silicon model atom, which allows a fast and accurate computation of Stokes profiles. The NLTE Stokes profiles calculated using this simple model atom are very similar to those obtained via the use of a very comprehensive silicon model atom. Methods: We investigate the impact of the NLTE effects on the Si I 10 827 Å line by means of multilevel radiative transfer calculations in a three-dimensional (3D) model atmosphere taken from a state-of-the-art magneto-convection simulation with small-scale dynamo action. We calculate the emergent Stokes profiles for this line at the solar disk center and for every vertical column of the 3D snapshot model, neglecting the effects of horizontal radiative transfer. Results: We find significant departures from LTE in the Si I 10 827 Å line, not only in the intensity but also in the linearly and circularly polarized profiles. At wavelengths around 0.1 Å, where most of the Stokes Q, U, and V peaks of the Si I 10 827 Å line occur, the differences between the NLTE and LTE profiles are comparable with the Stokes amplitudes themselves. The deviations from LTE increase with increasing Stokes Q, U, and V signals. Concerning the Stokes V profiles, the NLTE effects correlate with the magnetic field strength in the layers where such circular polarization signals are formed. Conclusions: The NLTE effects should be taken into account when diagnosing the emergent Stokes I profiles as well as the Stokes Q, U, and V profiles of the Si I 10 827 Å line. The sixteen-level silicon model atom proposed here, with six radiative bound-bound transitions, is suitable to account for the

  20. Atomic resolution study of the interfacial bonding at Si3N4/CeO2-δ grain boundaries

    NASA Astrophysics Data System (ADS)

    Walkosz, W.; Klie, R. F.; Öǧüt, S.; Borisevich, A.; Becher, P. F.; Pennycook, S. J.; Idrobo, J. C.

    2008-08-01

    Using a combination of atomic-resolution Z-contrast imaging and electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope, we examine the atomic and electronic structures at the interface between Si3N4 (101¯0) and CeO2-d intergranular film (IGF). Ce atoms are observed to segregate to the interface in a two-layer periodic arrangement, which is significantly different from the structure observed in a previous study. Our EELS experiments show (i) oxygen in direct contact with the terminating Si3N4 open-ring structures, (ii) a change in the Ce valence from a nominal oxidation state of +3 to almost +4 moving from the interface into the IGF, and (iii) a uniform concentration of Si in the film.

  1. Optimizing surface defects for atomic-scale electronics: Si dangling bonds

    NASA Astrophysics Data System (ADS)

    Scherpelz, Peter; Galli, Giulia

    2017-07-01

    Surface defects created and probed with scanning tunneling microscopes are a promising platform for atomic-scale electronics and quantum information technology applications. Using first-principles calculations we demonstrate how to engineer dangling bond (DB) defects on hydrogenated Si(100) surfaces, which give rise to isolated impurity states that can be used in atomic-scale devices. In particular, we show that sample thickness and biaxial strain can serve as control parameters to design the electronic properties of DB defects. While in thick Si samples the neutral DB state is resonant with bulk valence bands, ultrathin samples (1-2 nm) lead to an isolated impurity state in the gap; similar behavior is seen for DB pairs and DB wires. Strain further isolates the DB from the valence band, with the response to strain heavily dependent on sample thickness. These findings suggest new methods for tuning the properties of defects on surfaces for electronic and quantum information applications. Finally, we present a consistent and unifying interpretation of many results presented in the literature for DB defects on hydrogenated silicon surfaces, rationalizing apparent discrepancies between different experiments and simulations.

  2. Towards atomic scale engineering of rare-earth-doped SiAlON ceramics through aberration-corrected scanning transmission electron microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yurdakul, Hilmi; Idrobo Tapia, Juan C; Pennycook, Stephen J

    2011-01-01

    Direct visualization of rare earths in {alpha}- and {beta}-SiAlON unit-cells is performed through Z-contrast imaging technique in an aberration-corrected scanning transmission electron microscope. The preferential occupation of Yb and Ce atoms in different interstitial locations of {beta}-SiAlON lattice is demonstrated, yielding higher solubility for Yb than Ce. The triangular-like host sites in {alpha}-SiAlON unit cell accommodate more Ce atoms than hexagonal sites in {beta}-SiAlON. We think that our results will be applicable as guidelines for many kinds of rare-earth-doped materials.

  3. Atomic-scale analysis of deposition and characterization of a-Si:H thin films grown from SiH radical precursor

    NASA Astrophysics Data System (ADS)

    Sriraman, Saravanapriyan; Aydil, Eray S.; Maroudas, Dimitrios

    2002-07-01

    Growth of hydrogenated amorphous silicon films (a-Si:H) on an initial H-terminated Si(001)(2 x1) substrate at T=500 K was studied through molecular-dynamics (MD) simulations of repeated impingement of SiH radicals to elucidate the effects of reactive minority species on the structural quality of the deposited films. The important reactions contributing to film growth were identified through detailed visualization of radical-surface interaction trajectories. These reactions include (i) insertion of SiH into Si-Si bonds, (ii) adsorption onto surface dangling bonds, (iii) surface H abstraction by impinging SiH radicals through an Eley-Rideal mechanism, (iv) surface adsorption by penetration into subsurface layers or dissociation leading to interstitial atomic hydrogen, (v) desorption of interstitial hydrogen into the gas phase, (vi) formation of higher surface hydrides through the exchange of hydrogen, and (vii) dangling-bond-mediated dissociation of surface hydrides into monohydrides. The MD simulations of a-Si:H film growth predict an overall surface reaction probability of 95% for the SiH radical that is in good agreement with experimental measurements. Structural and chemical characterization of the deposited films was based on the detailed analysis of evolution of the films' structure, surface morphology and roughness, surface reactivity, and surface composition. The analysis revealed that the deposited films exhibit high dangling bond densities and rough surface morphologies. In addition, the films are abundant in voids and columnar structures that are detrimental to producing device-quality a-Si:H thin films.

  4. Resolving mass spectral overlaps in atom probe tomography by isotopic substitutions - case of TiSi15N.

    PubMed

    Engberg, David L J; Johnson, Lars J S; Jensen, Jens; Thuvander, Mattias; Hultman, Lars

    2018-01-01

    Mass spectral overlaps in atom probe tomography (APT) analyses of complex compounds typically limit the identification of elements and microstructural analysis of a material. This study concerns the TiSiN system, chosen because of severe mass-to-charge-state ratio overlaps of the 14 N + and 28 Si 2+ peaks as well as the 14 N 2 + and 28 Si + peaks. By substituting 14 N with 15 N, mass spectrum peaks generated by ions composed of one or more N atoms will be shifted toward higher mass-to-charge-state ratios, thereby enabling the separation of N from the predominant Si isotope. We thus resolve thermodynamically driven Si segregation on the nanometer scale in cubic phase Ti 1- x Si x 15 N thin films for Si contents 0.08 ≤ x ≤ 0.19 by APT, as corroborated by transmission electron microscopy. The APT analysis yields a composition determination that is in good agreement with energy dispersive X-ray spectroscopy and elastic recoil detection analyses. Additionally, a method for determining good voxel sizes for visualizing small-scale fluctuations is presented and demonstrated for the TiSiN system. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  6. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback.

    PubMed

    Jesse, Stephen; Hudak, Bethany M; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C; Lupini, Andrew R; Borisevich, Albina Y; Kalinin, Sergei V

    2018-06-22

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore's law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  7. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback

    NASA Astrophysics Data System (ADS)

    Jesse, Stephen; Hudak, Bethany M.; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C.; Lupini, Andrew R.; Borisevich, Albina Y.; Kalinin, Sergei V.

    2018-06-01

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore’s law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  8. X-ray reflectometry and simulation of the parameters of SiC epitaxial films on Si(111), grown by the atomic substitution method

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Nussupov, K. Kh.; Osipov, A. V.; Beisenkhanov, N. B.; Bakranova, D. I.

    2017-05-01

    The structure and composition of SiC nanolayers are comprehensively studied by X-ray reflectometry, IR-spectroscopy, and atomic-force microscopy (AFM) methods for the first time. SiC films were synthesized by the new method of topochemical substitution of substrate atoms at various temperatures and pressure of CO active gas on the surface of high-resistivity low-dislocation single-crystal n-type silicon (111). Based on an analysis and generalization of experimental data obtained using X-ray reflectometry, IR spectroscopy, and AFM methods, a structural model of SiC films on Si was proposed. According to this model, silicon carbide film consists of a number of layers parallel to the substrate, reminiscent of a layer cake. The composition and thickness of each layer entering the film structure is experimentally determined. It was found that all samples contain superstoichiometric carbon; however, its structure is significantly different for the samples synthesized at temperatures of 1250 and 1330°C, respectively. In the former case, the film surface is saturated with silicon vacancies and carbon in the structurally loose form reminiscent of HOPG carbon. In the films grown at 1330°C, carbon is in a dense structure with a close-to-diamond density.

  9. Interaction transfer of silicon atoms forming Co silicide for Co/√(3)×√(3)R30°-Ag/Si(111) and related magnetic properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Cheng-Hsun-Tony; Fu, Tsu-Yi; Tsay, Jyh-Shen, E-mail: jstsay@phy.ntnu.edu.tw

    Combined scanning tunneling microscopy, Auger electron spectroscopy, and surface magneto-optic Kerr effect studies were employed to study the microscopic structures and magnetic properties for ultrathin Co/√(3)×√(3)R30°-Ag/Si(111). As the annealing temperature increases, the upward diffusion of Si atoms and formation of Co silicides occurs at temperature above 400 K. Below 600 K, the √(3)×√(3)R30°-Ag/Si(111) surface structure persists. We propose an interaction transferring mechanism of Si atoms across the √(3)×√(3)R30°-Ag layer. The upward transferred Si atoms react with Co atoms to form Co silicide. The step height across the edge of the island, a separation of 0.75 nm from the analysis of the 2 × 2 structure,more » and the calculations of the normalized Auger signal serve as strong evidences for the formation of CoSi{sub 2} at the interface. The interaction transferring mechanism for Si atoms enhances the possibility of interactions between Co and Si atoms. The smoothness of the surface is advantage for that the easy axis of magnetization for Co/√(3)×√(3)R30°-Ag/Si(111) is in the surface plane. This provides a possible way of growing flat magnetic layers on silicon substrate with controllable silicide formation and shows potential applications in spintronics devices.« less

  10. Gold atoms and dimers on amorphous SiO(2): calculation of optical properties and cavity ringdown spectroscopy measurements.

    PubMed

    Del Vitto, Annalisa; Pacchioni, Gianfranco; Lim, Kok Hwa; Rösch, Notker; Antonietti, Jean-Marie; Michalski, Marcin; Heiz, Ulrich; Jones, Harold

    2005-10-27

    We report on the optical absorption spectra of gold atoms and dimers deposited on amorphous silica in size-selected fashion. Experimental spectra were obtained by cavity ringdown spectroscopy. Issues on soft-landing, fragmentation, and thermal diffusion are discussed on the basis of the experimental results. In parallel, cluster and periodic supercell density functional theory (DFT) calculations were performed to model atoms and dimers trapped on various defect sites of amorphous silica. Optically allowed electronic transitions were calculated, and comparisons with the experimental spectra show that silicon dangling bonds [[triple bond]Si(.-)], nonbridging oxygen [[triple bond]Si-O(.-)], and the silanolate group [[triple bond]Si-O(-)] act as trapping centers for the gold particles. The results are not only important for understanding the chemical bonding of atoms and clusters on oxide surfaces, but they will also be of fundamental interest for photochemical studies of size-selected clusters on surfaces.

  11. Atomic structures of B20 FeGe thin films grown on the Si(111) surface

    NASA Astrophysics Data System (ADS)

    Kim, Wondong; Noh, Seungkyun; Yoon, Jisoo; Kim, Young Heon; Lee, Inho; Kim, Jae-Sung; Hwang, Chanyong

    We investigated the growth and atomic structures of FeGe thin films on the Si (111) surface by using scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). The 2 5nm- thick FeGe thin films were prepared on the clean Si(111) 7x7 surface by co-deposition of Fe and Ge from separated electron-beam evaporators. With direct deposition on the substrate at the temperature above 550 K, the surface of FeGe films was not smooth and consisted of coarse grains. By the combination of room-temperature annealing and post-annealing process around 800 K, the structure of FeGe thin films evolved into the well crystalized structures. Atom-resolved STM images revealed that there are at least four different surface terminations. We constructed atomic models for each surface terminations based on the bulk atomic arrangement of a B20 chiral structure and confirmed that the observed STM images are successfully reproduced by using computational simulations employing Vienna Ab Initio Simulation package (VASP) with a B20 chiral structure model. TEM cross-sectional images also support our atomic models by revealing clearly the characteristic zigzag features of B20 structures of FeGe(111) thin films.

  12. The atomic arrangement of iimoriite-(Y), Y2(SiO4)(CO3)

    USGS Publications Warehouse

    Hughes, J.M.; Foord, E.E.; Jai-Nhuknan, J.; Bell, J.M.

    1996-01-01

    Iimoriite-(Y) from Bokan Mountain, Prince of Wales Island, Alaska has been studied using single-crystal X-ray-diffraction techniques. The mineral, ideally Y2(SiO4)(CO3), crystallizes in space group P1, with a 6.5495(13), b 6.6291(14), c 6.4395(11)A??, ?? 116.364(15), ?? 92.556(15) and ?? 95.506(17)??. The atomic arrangement has been solved and refined to an R value of 0.019. The arrangement of atoms consists of alternating (011) slabs of orthosilicate groups and carbonate groups, with no sharing of oxygen atoms between anionic complexes in adjacent slabs. Y1 atoms separate adjacent tetrahedra along [100] within the orthosilicate slab, and Y2 atoms separate adjacent carbonate groups along [100] within the carbonate slab. Adjacent orthosilicate and carbonate slabs are linked in (100) by bonding Y atoms from each slab to oxygen atoms of adjacent slabs, in the form of YO8 polyhedra. The Y1 atoms exist in Y12O14 dimers in the orthosilicate slab, and the Y2 atoms exist in continuous [011] ribbons of edge-sharing Y2O8 polyhedra in the carbonate slab.

  13. Rapid Fabrication of Lightweight SiC Optics using Reactive Atom Plasma (RAP) Processing

    NASA Technical Reports Server (NTRS)

    Fiske, Peter S.

    2006-01-01

    Reactive Atom Plasma (RAP) processing is a non-contact, plasma-based processing technology that can be used to generate damage-free optical surfaces. We have developed tools and processes using RAP that allow us to shape extremely lightweight mirror Surfaces made from extremely hard-to-machine materials (e.g. SiC). We will describe our latest results using RAP in combination with other technologies to produce finished lightweight SiC mirrors and also discuss applications for RAP in the rapid fabrication of mirror segments for reflective and grazing incidence telescopes.

  14. The influence of coadsorbed sodium atoms on the chemisorption of benzoic acid on Si(100)-2×1

    NASA Astrophysics Data System (ADS)

    Bitzer, T.; Richardson, N. V.

    1999-06-01

    The adsorption of benzoic acid on Na-Si(100)-2×1 ( ΘNa=0.5) at room temperature leads to benzoate in a bidentate coordination. High-resolution electron energy loss spectroscopy spectra show an intense ν s(OCO) stretching vibration, which is characteristic for benzoate aligned perpendicular to the substrate surface. In contrast, we observe monodentate benzoate species following the exposure of Si(100)-2×1 to benzoic acid at room temperature. On both surfaces, the dissociated hydrogen atom bonds to one of the silicon surface atoms. Removal of benzoate from Na-Si(100)-2×1 is observed after heating the silicon substrate to 300°C for 1 min.

  15. Oxidation of atomically thin MoS2 on SiO2

    NASA Astrophysics Data System (ADS)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  16. Si-rich W silicide films composed of W-atom-encapsulated Si clusters deposited using gas-phase reactions of WF6 with SiH4.

    PubMed

    Okada, Naoya; Uchida, Noriyuki; Kanayama, Toshihiko

    2016-02-28

    We formed Si-rich W silicide films composed of Sin clusters, each of which encapsulates a W atom (WSi(n) clusters with 8 < n ≤ ∼ 12), by using a gas-phase reaction between WF6 and SiH4 in a hot-wall reactor. The hydrogenated WSi(n)H(x) clusters with reduced F concentration were synthesized in a heated gas phase and subsequently deposited on a substrate heated to 350-420 °C, where they dehydrogenated and coalesced into the film. Under a gas pressure of SiH4 high enough for the WSi(n)H(x) reactant to collide a sufficient number of times with SiH4 molecules before reaching the substrate, the resulting film was composed of WSi(n) clusters with a uniform n, which was determined by the gas temperature. The formed films were amorphous semiconductors with an optical gap of ∼0.8-1.5 eV and an electrical mobility gap of ∼0.05-0.12 eV, both of which increased as n increased from 8 to 12. We attribute this dependence to the reduction of randomness in the Si network as n increased, which decreased the densities of band tail states and localized states.

  17. Surface Modification of Poly(ethylene naphthalate) Substrate and Its Effect on SiNx Film Deposition by Atomic Hydrogen Annealing

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Matsuo, Naoto

    2007-07-01

    The surface modification of a plastic substrate by atomic hydrogen annealing (AHA) was investigated for flexible displays. In this method, the plastic substrate was exposed to atomic hydrogen generated by cracking hydrogen molecules on heated tungsten wire. Both surface roughness and contact angle of water droplet on poly(ethylene naphthalate) (PEN) substrates were increased by AHA. The surface of a PEN substrate was reduced by atomic hydrogen without optical transmittance degradation. In addition, the properties of a silicon nitride (SiNx) film deposited on a PEN substrate were changed by AHA, and the adhesion between the SiNx film and the PEN substrate was excellent for application to flexible displays.

  18. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  19. Correlation between morphology, electron band structure, and resistivity of Pb atomic chains on the Si(5 5 3)-Au surface

    NASA Astrophysics Data System (ADS)

    Jałochowski, M.; Kwapiński, T.; Łukasik, P.; Nita, P.; Kopciuszyński, M.

    2016-07-01

    Structural and electron transport properties of multiple Pb atomic chains fabricated on the Si(5 5 3)-Au surface are investigated using scanning tunneling spectroscopy, reflection high electron energy diffraction, angular resolved photoemission electron spectroscopy and in situ electrical resistance. The study shows that Pb atomic chains growth modulates the electron band structure of pristine Si(5 5 3)-Au surface and hence changes its sheet resistivity. Strong correlation between chains morphology, electron band structure and electron transport properties is found. To explain experimental findings a theoretical tight-binding model of multiple atomic chains interacting on effective substrate is proposed.

  20. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  1. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    NASA Astrophysics Data System (ADS)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  2. Tuning optical properties of magic number cluster (SiO2)4O2H4 by substitutional bonding with gold atoms.

    PubMed

    Cai, Xiulong; Zhang, Peng; Ma, Liuxue; Zhang, Wenxian; Ning, Xijing; Zhao, Li; Zhuang, Jun

    2009-04-30

    By bonding gold atoms to the magic number cluster (SiO(2))(4)O(2)H(4), two groups of Au-adsorbed shell-like clusters Au(n)(SiO(2))(4)O(2)H(4-n) (n = 1-4) and Au(n)(SiO(2))(4)O(2) (n = 5-8) were obtained, and their spectral properties were studied. The ground-state structures of these clusters were optimized by density functional theory, and the results show that in despite of the different numbers and types of the adsorbed Au atoms, the cluster core (SiO(2))(4)O(2) of T(d) point-group symmetry keeps almost unchanged. The absorption spectra were obtained by time-dependent density functional theory. From one group to the other, an extension of absorption wavelength from the UV-visible to the NIR region was observed, and in each group the absorption strengths vary linearly with the number of Au atoms. These features indicate their advantages for exploring novel materials with easily controlled tunable optical properties. Furthermore, due to the weak electronic charge transfer between the Au atoms, the clusters containing Au(2) dimers, especially Au(8)(SiO(2))(4)O(2), absorb strongly NIR light at 900 approximately 1200 nm. Such strong absorption suggests potential applications of these shell-like clusters in tumor cells thermal therapy, like the gold-coated silica nanoshells with larger sizes.

  3. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    NASA Astrophysics Data System (ADS)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  4. Revision of the Li13Si4 structure.

    PubMed

    Zeilinger, Michael; Fässler, Thomas F

    2013-11-06

    Besides Li17Si4, Li16.42Si4, and Li15Si4, another lithium-rich representative in the Li-Si system is the phase Li13Si4 (trideca-lithium tetra-silicide), the structure of which has been determined previously [Frank et al. (1975 ▶). Z. Naturforsch. Teil B, 30, 10-13]. A careful analysis of X-ray diffraction patterns of Li13Si4 revealed discrepancies between experimentally observed and calculated Bragg positions. Therefore, we redetermined the structure of Li13Si4 on the basis of single-crystal X-ray diffraction data. Compared to the previous structure report, decisive differences are (i) the introduction of a split position for one Li site [occupancy ratio 0.838 (7):0.162 (7)], (ii) the anisotropic refinement of atomic displacement parameters for all atoms, and (iii) a high accuracy of atom positions and unit-cell parameters. The asymmetric unit of Li13Si4 contains two Si and seven Li atoms. Except for one Li atom situated on a site with symmetry 2/m, all other atoms are on mirror planes. The structure consists of isolated Si atoms as well as Si-Si dumbbells surrounded by Li atoms. Each Si atom is either 12- or 13-coordinated. The isolated Si atoms are situated in the ab plane at z = 0 and are strictly separated from the Si-Si dumbbells at z = 0.5.

  5. Realisation of magnetically and atomically abrupt half-metal/semiconductor interface: Co2FeSi0.5Al0.5/Ge(111)

    PubMed Central

    Nedelkoski, Zlatko; Kuerbanjiang, Balati; Glover, Stephanie E.; Sanchez, Ana M.; Kepaptsoglou, Demie; Ghasemi, Arsham; Burrows, Christopher W.; Yamada, Shinya; Hamaya, Kohei; Ramasse, Quentin M.; Hasnip, Philip J.; Hase, Thomas; Bell, Gavin R.; Hirohata, Atsufumi; Lazarov, Vlado K.

    2016-01-01

    Halfmetal-semiconductor interfaces are crucial for hybrid spintronic devices. Atomically sharp interfaces with high spin polarisation are required for efficient spin injection. In this work we show that thin film of half-metallic full Heusler alloy Co2FeSi0.5Al0.5 with uniform thickness and B2 ordering can form structurally abrupt interface with Ge(111). Atomic resolution energy dispersive X-ray spectroscopy reveals that there is a small outdiffusion of Ge into specific atomic planes of the Co2FeSi0.5Al0.5 film, limited to a very narrow 1 nm interface region. First-principles calculations show that this selective outdiffusion along the Fe-Si/Al atomic planes does not change the magnetic moment of the film up to the very interface. Polarized neutron reflectivity, x-ray reflectivity and aberration-corrected electron microscopy confirm that this interface is both magnetically and structurally abrupt. Finally, using first-principles calculations we show that this experimentally realised interface structure, terminated by Co-Ge bonds, preserves the high spin polarization at the Co2FeSi0.5Al0.5/Ge interface, hence can be used as a model to study spin injection from half-metals into semiconductors. PMID:27869132

  6. Two-Dimensional Superconductor with a Giant Rashba Effect: One-Atom-Layer Tl-Pb Compound on Si(111).

    PubMed

    Matetskiy, A V; Ichinokura, S; Bondarenko, L V; Tupchaya, A Y; Gruznev, D V; Zotov, A V; Saranin, A A; Hobara, R; Takayama, A; Hasegawa, S

    2015-10-02

    A one-atom-layer compound made of one monolayer of Tl and one-third monolayer of Pb on a Si(111) surface having √3×√3 periodicity was found to exhibit a giant Rashba-type spin splitting of metallic surface-state bands together with two-dimensional superconducting transport properties. Temperature-dependent angle-resolved photoelectron spectroscopy revealed an enhanced electron-phonon coupling for one of the spin-split bands. In situ micro-four-point-probe conductivity measurements with and without magnetic field demonstrated that the (Tl, Pb)/Si(111) system transformed into the superconducting state at 2.25 K, followed by the Berezinskii-Kosterlitz-Thouless mechanism. The 2D Tl-Pb compound on Si(111) is believed to be the prototypical object for prospective studies of intriguing properties of the superconducting 2D system with lifted spin degeneracy, bearing in mind that its composition, atomic and electron band structures, and spin texture are already well established.

  7. Charge exchange cross sections in slow collisions of Si3+ with Hydrogen atom

    NASA Astrophysics Data System (ADS)

    Joseph, Dwayne; Quashie, Edwin; Saha, Bidhan

    2011-05-01

    In recent years both the experimental and theoretical studies of electron transfer in ion-atom collisions have progressed considerably. Accurate determination of the cross sections and an understanding of the dynamics of the electron-capture process by multiply charged ions from atomic hydrogen over a wide range of projectile velocities are important in various field ranging from fusion plasma to astrophysics. The soft X-ray emission from comets has been explained by charge transfer of solar wind ions, among them Si3+, with neutrals in the cometary gas vapor. The cross sections are evaluated using the (a) full quantum and (b) semi-classical molecular orbital close coupling (MOCC) methods. Adiabatic potentials and wave functions for relavent singlet and triplet states are generated using the MRDCI structure codes. Details will be presented at the conference. In recent years both the experimental and theoretical studies of electron transfer in ion-atom collisions have progressed considerably. Accurate determination of the cross sections and an understanding of the dynamics of the electron-capture process by multiply charged ions from atomic hydrogen over a wide range of projectile velocities are important in various field ranging from fusion plasma to astrophysics. The soft X-ray emission from comets has been explained by charge transfer of solar wind ions, among them Si3+, with neutrals in the cometary gas vapor. The cross sections are evaluated using the (a) full quantum and (b) semi-classical molecular orbital close coupling (MOCC) methods. Adiabatic potentials and wave functions for relavent singlet and triplet states are generated using the MRDCI structure codes. Details will be presented at the conference. Work supported by NSF CREST project (grant #0630370).

  8. Atomic and electronic structures of Si(1 1 1)-(√3 x √3)R30°-Au and (6 × 6)-Au surfaces.

    PubMed

    Patterson, C H

    2015-12-02

    Si(1 1 1)-Au surfaces with around one monolayer of Au exhibit many ordered structures and structures containing disordered domain walls. Hybrid density functional theory (DFT) calculations presented here reveal the origin of these complex structures and tendency to form domain walls. The conjugate honeycomb chain trimer (CHCT) structure of the [Formula: see text]-Au phase contains Si atoms with non-bonding surface states which can bind Au atoms in pairs in interstices of the CHCT structure and make this surface metallic. Si adatoms adsorbed on the [Formula: see text]-Au surface induce a gapped surface through interaction with the non-bonding states. Adsorption of extra Au atoms in interstitial sites of the [Formula: see text]-Au surface is stabilized by interaction with the non-bonding orbitals and leads to higher coverage ordered structures including the [Formula: see text]-Au phase. Extra Au atoms bound in interstitial sites of the [Formula: see text]-Au surface result in top layer Si atoms with an SiAu4 butterfly wing configuration. The structure of a [Formula: see text]-Au phase, whose in-plane top atomic layer positions were previously determined by an electron holography technique (Grozea et al 1998 Surf. Sci. 418 32), is calculated using total energy minimization. The Patterson function for this structure is calculated and is in good agreement with data from an in-plane x-ray diffraction study (Dornisch et al 1991 Phys. Rev. B 44 11221). Filled and empty state scanning tunneling microscopy (STM) images are calculated for domain walls and the [Formula: see text]-Au structure. The [Formula: see text]-Au phase is 2D chiral and this is evident in computed and actual STM images. [Formula: see text]-Au and domain wall structures contain the SiAu4 motif with a butterfly wing shape. Chemical bonding within the Si-Au top layers of the [Formula: see text]-Au and [Formula: see text]-Au surfaces is analyzed and an explanation for the SiAu4 motif structure is given.

  9. Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with Density Functional Theory.

    PubMed

    Filatova, Ekaterina A; Hausmann, Dennis; Elliott, Simon D

    2018-05-02

    Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH 3 and SiH 2 are calculated along with the energetics for the methane plasma fragments CH 3 and CH 2 . SiH 3 and SiH 2 fragments follow different mechanisms toward Si growth, of which the SiH 3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

  10. Controlled electron doping into metallic atomic wires: Si(111)4×1-In

    NASA Astrophysics Data System (ADS)

    Morikawa, Harumo; Hwang, C. C.; Yeom, Han Woong

    2010-02-01

    We demonstrate the controllable electron doping into metallic atomic wires, indium wires self-assembled on the Si(111) surface, which feature one-dimensional (1D) band structure and temperature-driven metal-insulator transition. The electron filling of 1D metallic bands is systematically increased by alkali-metal adsorption, which, in turn, tunes the macroscopic property, that is, suppresses the metal-insulator transition. On the other hand, the dopant atoms induce a local lattice distortion without a band-gap opening, leading to a microscopic phase separation on the surface. The distinct bifunctional, electronic and structural, roles of dopants in different length scales are thus disclosed.

  11. Revision of the Li13Si4 structure

    PubMed Central

    Zeilinger, Michael; Fässler, Thomas F.

    2013-01-01

    Besides Li17Si4, Li16.42Si4, and Li15Si4, another lithium-rich representative in the Li–Si system is the phase Li13Si4 (trideca­lithium tetra­silicide), the structure of which has been determined previously [Frank et al. (1975 ▶). Z. Naturforsch. Teil B, 30, 10–13]. A careful analysis of X-ray diffraction patterns of Li13Si4 revealed discrepancies between experimentally observed and calculated Bragg positions. Therefore, we redetermined the structure of Li13Si4 on the basis of single-crystal X-ray diffraction data. Compared to the previous structure report, decisive differences are (i) the introduction of a split position for one Li site [occupancy ratio 0.838 (7):0.162 (7)], (ii) the anisotropic refinement of atomic displacement parameters for all atoms, and (iii) a high accuracy of atom positions and unit-cell parameters. The asymmetric unit of Li13Si4 contains two Si and seven Li atoms. Except for one Li atom situated on a site with symmetry 2/m, all other atoms are on mirror planes. The structure consists of isolated Si atoms as well as Si–Si dumbbells surrounded by Li atoms. Each Si atom is either 12- or 13-coordinated. The isolated Si atoms are situated in the ab plane at z = 0 and are strictly separated from the Si–Si dumbbells at z = 0.5. PMID:24454148

  12. Evaluation of Solute Clusters Associated with Bake-Hardening Response in Isothermal Aged Al-Mg-Si Alloys Using a Three-Dimensional Atom Probe

    NASA Astrophysics Data System (ADS)

    Aruga, Yasuhiro; Kozuka, Masaya; Takaki, Yasuo; Sato, Tatsuo

    2014-12-01

    Temporal changes in the number density, size distribution, and chemical composition of clusters formed during natural aging at room temperature and pre-aging at 363 K (90 °C) in an Al-0.62Mg-0.93Si (mass pct) alloy were evaluated using atom probe tomography. More than 10 million atoms were examined in the cluster analysis, in which about 1000 clusters were obtained for each material after various aging treatments. The statistically proven records show that both number density and the average radius of clusters in pre-aged materials are larger than in naturally aged materials. It was revealed that the fraction of clusters with a low Mg/Si ratio after natural aging for a short time is higher than with other aging treatments, regardless of cluster size. This indicates that Si-rich clusters form more easily after short-period natural aging, and that Mg atoms can diffuse into the clusters or possibly form another type of Mg-Si cluster after prolonged natural aging. The formation of large clusters with a uniform Mg/Si ratio is encouraged by pre-aging. It can be concluded that an increase of small clusters with various Mg/Si ratios does not promote the bake-hardening (BH) response, whereas large clusters with a uniform Mg/Si ratio play an important role in hardening during the BH treatment at 443 K (170 °C).

  13. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    NASA Astrophysics Data System (ADS)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  14. First-principles calculations of orientation dependence of Si thermal oxidation based on Si emission model

    NASA Astrophysics Data System (ADS)

    Nagura, Takuya; Kawachi, Shingo; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Kageshima, Hiroyuki; Endoh, Tetsuo; Shiraishi, Kenji

    2018-04-01

    It is expected that the off-state leakage current of MOSFETs can be reduced by employing vertical body channel MOSFETs (V-MOSFETs). However, in fabricating these devices, the structure of the Si pillars sometimes cannot be maintained during oxidation, since Si atoms sometimes disappear from the Si/oxide interface (Si missing). Thus, in this study, we used first-principles calculations based on the density functional theory, and investigated the Si emission behavior at the various interfaces on the basis of the Si emission model including its atomistic structure and dependence on Si crystal orientation. The results show that the order in which Si atoms are more likely to be emitted during thermal oxidation is (111) > (110) > (310) > (100). Moreover, the emission of Si atoms is enhanced as the compressive strain increases. Therefore, the emission of Si atoms occurs more easily in V-MOSFETs than in planar MOSFETs. To reduce Si missing in V-MOSFETs, oxidation processes that induce less strain, such as wet or pyrogenic oxidation, are necessary.

  15. Mechanical property degradation of high crystalline SiC fiber–reinforced SiC matrix composite neutron irradiated to ~100 displacements per atom

    DOE PAGES

    Koyanagi, Takaaki; Nozawa, Takashi; Katoh, Yutai; ...

    2017-12-20

    For the development of silicon carbide (SiC) materials for next-generation nuclear structural applications, degradation of material properties under intense neutron irradiation is a critical feasibility issue. This paper evaluated the mechanical properties and microstructure of a chemical vapor infiltrated SiC matrix composite, reinforced with a multi-layer SiC/pyrolytic carbon–coated Hi-Nicalon TM Type S SiC fiber, following neutron irradiation at 319 and 629 °C to ~100 displacements per atom. Both the proportional limit stress and ultimate flexural strength were significantly degraded as a result of irradiation at both temperatures. After irradiation at 319 °C, the quasi-ductile fracture behavior of the nonirradiated compositemore » became brittle, a result that was explained by a loss of functionality of the fiber/matrix interface associated with the disappearance of the interphase due to irradiation. Finally, the specimens irradiated at 629 °C showed increased apparent failure strain because the fiber/matrix interphase was weakened by irradiation-induced partial debonding.« less

  16. Mechanical property degradation of high crystalline SiC fiber–reinforced SiC matrix composite neutron irradiated to ~100 displacements per atom

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koyanagi, Takaaki; Nozawa, Takashi; Katoh, Yutai

    For the development of silicon carbide (SiC) materials for next-generation nuclear structural applications, degradation of material properties under intense neutron irradiation is a critical feasibility issue. This paper evaluated the mechanical properties and microstructure of a chemical vapor infiltrated SiC matrix composite, reinforced with a multi-layer SiC/pyrolytic carbon–coated Hi-Nicalon TM Type S SiC fiber, following neutron irradiation at 319 and 629 °C to ~100 displacements per atom. Both the proportional limit stress and ultimate flexural strength were significantly degraded as a result of irradiation at both temperatures. After irradiation at 319 °C, the quasi-ductile fracture behavior of the nonirradiated compositemore » became brittle, a result that was explained by a loss of functionality of the fiber/matrix interface associated with the disappearance of the interphase due to irradiation. Finally, the specimens irradiated at 629 °C showed increased apparent failure strain because the fiber/matrix interphase was weakened by irradiation-induced partial debonding.« less

  17. AERIAL SHOWING COMPLETED REMOTE ANALYTICAL FACILITY (CPP627) ADJOINING FUEL PROCESSING ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    AERIAL SHOWING COMPLETED REMOTE ANALYTICAL FACILITY (CPP-627) ADJOINING FUEL PROCESSING BUILDING AND EXCAVATION FOR HOT PILOT PLANT TO RIGHT (CPP-640). INL PHOTO NUMBER NRTS-60-1221. J. Anderson, Photographer, 3/22/1960 - Idaho National Engineering Laboratory, Idaho Chemical Processing Plant, Fuel Reprocessing Complex, Scoville, Butte County, ID

  18. Electric field metrology for SI traceability: Systematic measurement uncertainties in electromagnetically induced transparency in atomic vapor

    NASA Astrophysics Data System (ADS)

    Holloway, Christopher L.; Simons, Matt T.; Gordon, Joshua A.; Dienstfrey, Andrew; Anderson, David A.; Raithel, Georg

    2017-06-01

    We investigate the relationship between the Rabi frequency (ΩRF, related to the applied electric field) and Autler-Townes (AT) splitting, when performing atom-based radio-frequency (RF) electric (E) field strength measurements using Rydberg states and electromagnetically induced transparency (EIT) in an atomic vapor. The AT splitting satisfies, under certain conditions, a well-defined linear relationship with the applied RF field amplitude. The EIT/AT-based E-field measurement approach derived from these principles is currently being investigated by several groups around the world as a means to develop a new SI-traceable RF E-field measurement technique. We establish conditions under which the measured AT-splitting is an approximately linear function of the RF electric field. A quantitative description of systematic deviations from the linear relationship is key to exploiting EIT/AT-based atomic-vapor spectroscopy for SI-traceable field measurement. We show that the linear relationship is valid and can be used to determine the E-field strength, with minimal error, as long as the EIT linewidth is small compared to the AT-splitting. We also discuss interesting aspects of the thermal dependence (i.e., hot- versus cold-atom) of this EIT-AT technique. An analysis of the transition from cold- to hot-atom EIT in a Doppler-mismatched cascade system reveals a significant change of the dependence of the EIT linewidth on the optical Rabi frequencies and of the AT-splitting on ΩRF.

  19. 40 CFR 312.27 - Visual inspections of the facility and of adjoining properties.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... inspection of adjoining properties, from the subject property line, public rights-of-way, or other vantage... accessible vantage point (such as the property line or public road for small properties); (2) Documentation...

  20. Sigma-phase packing of icosahedral clusters in 780-atom tetragonal crystals of Cr5Ni3Si2 and V15Ni10Si that by twinning achieve 8-fold rotational point-group symmetry

    PubMed Central

    Pauling, Linus

    1988-01-01

    A 780-atom primitive tetragonal unit with edges 27.3, 27.3, and 12.6 Å is assigned to rapidly solidified Cu5Ni3Si2 and V15Ni10Si by analysis of electron diffraction photographs with the assumption that the crystals contain icosahedral clusters. There are thirty 26-atom clusters at the sigma-phase positions. Apparent 8-fold symmetry results from 45° twinning on the basal plane. PMID:16593915

  1. Sigma-phase packing of icosahedral clusters in 780-atom tetragonal crystals of Cr(5)Ni(3)Si(2) and V(15)Ni(10)Si that by twinning achieve 8-fold rotational point-group symmetry.

    PubMed

    Pauling, L

    1988-04-01

    A 780-atom primitive tetragonal unit with edges 27.3, 27.3, and 12.6 A is assigned to rapidly solidified Cu(5)Ni(3)Si(2) and V(15)Ni(10)Si by analysis of electron diffraction photographs with the assumption that the crystals contain icosahedral clusters. There are thirty 26-atom clusters at the sigma-phase positions. Apparent 8-fold symmetry results from 45 degrees twinning on the basal plane.

  2. TESTING OF INDOOR RADON REDUCTION TECHNIQUES IN BASEMENT HOUSES HAVING ADJOINING WINGS

    EPA Science Inventory

    The report gives results of tests of indoor radon reduction techniques in 12 existing Maryland houses, with the objective of determining when basement houses with adjoining wings require active soil depressurization (ASD) treatment of both wings, and when treatment of the basemen...

  3. Restoring the lattice of Si-based atom probe reconstructions for enhanced information on dopant positioning.

    PubMed

    Breen, Andrew J; Moody, Michael P; Ceguerra, Anna V; Gault, Baptiste; Araullo-Peters, Vicente J; Ringer, Simon P

    2015-12-01

    The following manuscript presents a novel approach for creating lattice based models of Sb-doped Si directly from atom probe reconstructions for the purposes of improving information on dopant positioning and directly informing quantum mechanics based materials modeling approaches. Sophisticated crystallographic analysis techniques are used to detect latent crystal structure within the atom probe reconstructions with unprecedented accuracy. A distortion correction algorithm is then developed to precisely calibrate the detected crystal structure to the theoretically known diamond cubic lattice. The reconstructed atoms are then positioned on their most likely lattice positions. Simulations are then used to determine the accuracy of such an approach and show that improvements to short-range order measurements are possible for noise levels and detector efficiencies comparable with experimentally collected atom probe data. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.

    PubMed

    Mastari, M; Charles, M; Bogumilowicz, Y; Thai, Q M; Pimenta-Barros, P; Argoud, M; Papon, A M; Gergaud, P; Landru, D; Kim, Y; Hartmann, J M

    2018-07-06

    In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was investigated in a 300 mm industrial reduced pressure-chemical vapour deposition tool. An integration scheme based on diblock copolymer patterning was used to fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars. Results showed highly selective and uniform processes for the epitaxial growth of Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano-pillars and characterised by atomic force microscopy, x-ray diffraction and transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation were obtained in the 650 °C-700 °C range for 2D SiGe layers grown either on Si or SiGe nano-pillars.

  5. ODPEVP: A program for computing eigenvalues and eigenfunctions and their first derivatives with respect to the parameter of the parametric self-adjoined Sturm-Liouville problem

    NASA Astrophysics Data System (ADS)

    Chuluunbaatar, O.; Gusev, A. A.; Vinitsky, S. I.; Abrashkevich, A. G.

    2009-08-01

    A FORTRAN 77 program is presented for calculating with the given accuracy eigenvalues, eigenfunctions and their first derivatives with respect to the parameter of the parametric self-adjoined Sturm-Liouville problem with the parametric third type boundary conditions on the finite interval. The program calculates also potential matrix elements - integrals of the eigenfunctions multiplied by their first derivatives with respect to the parameter. Eigenvalues and matrix elements computed by the ODPEVP program can be used for solving the bound state and multi-channel scattering problems for a system of the coupled second-order ordinary differential equations with the help of the KANTBP programs [O. Chuluunbaatar, A.A. Gusev, A.G. Abrashkevich, A. Amaya-Tapia, M.S. Kaschiev, S.Y. Larsen, S.I. Vinitsky, Comput. Phys. Commun. 177 (2007) 649-675; O. Chuluunbaatar, A.A. Gusev, S.I. Vinitsky, A.G. Abrashkevich, Comput. Phys. Commun. 179 (2008) 685-693]. As a test desk, the program is applied to the calculation of the potential matrix elements for an integrable 2D-model of three identical particles on a line with pair zero-range potentials, a 3D-model of a hydrogen atom in a homogeneous magnetic field and a hydrogen atom on a three-dimensional sphere. Program summaryProgram title: ODPEVP Catalogue identifier: AEDV_v1_0 Program summary URL:http://cpc.cs.qub.ac.uk/summaries/AEDV_v1_0.html Program obtainable from: CPC Program Library, Queen's University, Belfast, N. Ireland Licensing provisions: Standard CPC license, http://cpc.cs.qub.ac.uk/licence/licence.html No. of lines in distributed program, including test data, etc.: 3001 No. of bytes in distributed program, including test data, etc.: 24 195 Distribution format: tar.gz Programming language: FORTRAN 77 Computer: Intel Xeon EM64T, Alpha 21264A, AMD Athlon MP, Pentium IV Xeon, Opteron 248, Intel Pentium IV Operating system: OC Linux, Unix AIX 5.3, SunOS 5.8, Solaris, Windows XP RAM: depends on the number and order of finite

  6. First-principles study on silicon atom doped monolayer graphene

    NASA Astrophysics Data System (ADS)

    Rafique, Muhammad; Shuai, Yong; Hussain, Nayyar

    2018-01-01

    This paper illustrates the structural, electronic and optical properties of individual silicon (Si) atom-doped single layer graphene using density functional theory method. Si atom forms tight bonding with graphene layer. The effect of doping has been investigated by varying the concentration of Si atoms from 3.125% to 9.37% (i.e. From one to three Si atoms in 4 × 4 pure graphene supercell containing 32 carbon atoms), respectively. Electronic structure, partial density of states (PDOS) and optical properties of pure and Si atom-doped graphene sheet were calculated using VASP (Vienna ab-initio Simulation Package). The calculated results for pure graphene sheet were then compared with Si atom doped graphene. It is revealed that upon Si doping in graphene, a finite band gap appears at the high symmetric K-point, thereby making graphene a direct band gap semiconductor. Moreover, the band gap value is directly proportional to the concentration of impurity Si atoms present in graphene lattice. Upon analyzing the optical properties of Si atom-doped graphene structures, it is found that, there is significant change in the refractive index of the graphene after Si atom substitution in graphene. In addition, the overall absorption spectrum of graphene is decreased after Si atom doping. Although a significant red shift in absorption is found to occur towards visible range of radiation when Si atom is substituted in its lattice. The reflectivity of graphene improves in low energy region after Si atom substitution in graphene. These results can be useful for tuning the electronic structure and to manipulate the optical properties of graphene layer in the visible region.

  7. Laser-assisted atom probe tomography of Ti/TiN films deposited on Si.

    PubMed

    Sanford, N A; Blanchard, P T; White, R; Vissers, M R; Diercks, D R; Davydov, A V; Pappas, D P

    2017-03-01

    Laser-assisted atom probe tomography (L-APT) was used to examine superconducting TiN/Ti/TiN trilayer films with nominal respective thicknesses of 5/5/5 (nm). Such materials are of interest for applications that require large arrays of microwave kinetic inductance detectors. The trilayers were deposited on Si substrates by reactive sputtering. Electron energy loss microscopy performed in a scanning transmission electron microscope (STEM/EELS) was used to corroborate the L-APT results and establish the overall thicknesses of the trilayers. Three separate batches were studied where the first (bottom) TiN layer was deposited at 500°C (for all batches) and the subsequent TiN/Ti bilayer was deposited at ambient temperature, 250°C, and 500°C, respectively. L-APT rendered an approximately planar TiN/Si interface by making use of plausible mass-spectral assignments to N 3 1+ , SiN 1+ , and SiO 1+ . This was necessary since ambiguities associated with the likely simultaneous occurrence of Si 1+ and N 2 1+ prevented their use in rendering the TiN/Si interface upon reconstruction. The non-superconducting Ti 2 N phase was also revealed by L-APT. Neither L-APT nor STEM/EELS rendered sharp Ti/TiN interfaces and the contrast between these layers diminished with increased film deposition temperature. L-APT also revealed that hydrogen was present in varying degrees in all samples including control samples that were composed of single layers of Ti or TiN. Published by Elsevier Ltd.

  8. Heavy metal contamination and ecological risk of farmland soils adjoining steel plants in Tangshan, Hebei, China.

    PubMed

    Yang, Liyun; Yang, Maomao; Wang, Liping; Peng, Fei; Li, Yuan; Bai, Hao

    2018-01-01

    The purpose of this study was to determine the heavy metal concentrations and ecological risks to farmland soils caused by atmospheric deposition adjoining five industrial steel districts in Tangshan, Hebei, China. A total of 39 topsoil samples from adjoining these plants were collected and analyzed for Pb, Zn, Cu, Cr, and As. The geo-accumulation index (Igeo) and potential ecological risk index (PERI) were calculated to assess the heavy metal pollution level in soils. The results showed that the levels of Pb and As in farmland soils adjoining all steel plants were more than the background value, with the As content being excessively high. The Cr and Cu contents of some samples were over the background values, but the Zn content was not. In all the research areas, the largest Igeo value of the heavy metals was for As, followed by Pb, and the largest monomial PERI ([Formula: see text]) was As, which showed that the pollution of As in farmland soils was significant and had considerable ecological risk. Additionally, the heavy metal sequential extraction experiments showed that Pb and Cr, which exceeded the background value, were present in about 20% of the exchangeable and carbonate-bound fractions in the soils surrounding some steel plants. This would imply the risk of these heavy metals being absorbed and accumulated by the crops. Therefore, the local government needs to control the pollution of heavy metals in the farmland soils adjoining the steel plant as soon as possible, in order to avoid possible ecological and food safety risks.

  9. Correlation of reaction sites during the chlorine extraction by hydrogen atom from Cl /Si(100)-2×1

    NASA Astrophysics Data System (ADS)

    Hsieh, Ming-Feng; Chung, Jen-Yang; Lin, Deng-Sung; Tsay, Shiow-Fon

    2007-07-01

    The Cl abstraction by gas-phase H atoms from a Cl-terminated Si(100) surface was investigated by scanning tunneling microscopy (STM), high-resolution core level photoemission spectroscopy, and computer simulation. The core level measurements indicate that some additional reactions occur besides the removal of Cl. The STM images show that the Cl-extracted sites disperse randomly in the initial phase of the reaction, but form small clusters as more Cl is removed, indicating a correlation between Cl-extracted sites. These results suggest that the hot-atom process may occur during the atom-adatom collision.

  10. A molecular dynamics study of the atomic structure of (CaO)x(SiO2)1-x glasses.

    PubMed

    Mead, Robert N; Mountjoy, Gavin

    2006-07-27

    The local atomic environment of Ca in (CaO)x(SiO2)1-x glasses is of interest because of the role of Ca in soda-lime glass, the application of calcium silicate glasses as biomaterials, and the previous experimental measurement of the Ca-Ca correlation in CaSiO(3) glass. Molecular dynamics has been used to obtain models of (CaO)x(SiO2)1-x glasses with x = 0, 0.1, 0.2, 0.3, 0.4, and 0.5, and with approximately 1000 atoms and size approximately 25 A. As expected, the models contain a tetrahedral silica network, the connectivity of which decreases as x increases. In the glass-forming region, i.e., x = 0.4 and 0.5, Ca has a mixture of 6- and 7-fold coordination. Bridging oxygen makes an important contribution to the coordination of Ca, with most bridging oxygens coordinated to 2 Si plus 1 Ca. The x = 0.5 model is in reasonable agreement with previous experimental studies, and does not substantiate the previous theory of cation ordering, which predicted Ca arranged in sheets. In the phase-separated region, i.e., x = 0.1 and 0.2, there is marked clustering of Ca.

  11. A DFT investigation on geometry and chemical bonding of isoelectronic Si8N6V-, Si8N6Cr, and Si8N6Mn+ clusters

    NASA Astrophysics Data System (ADS)

    Tam, Nguyen Minh; Pham, Hung Tan; Cuong, Ngo Tuan; Tung, Nguyen Thanh

    2017-10-01

    The geometric feature and chemical bonding of isoelectronic systems Si8N6Mq (M = V, Cr, Mn and q = -1, 0, 1, respectively) are investigated by means of density-functional-theory calculations. The encapsulated form is found for all ground-state structures, where the metal atom locates at the central site of the hollow Si8N6 cage. The Si8N6 cage is established by adding two Si atoms to a distorted Si6N6 prism, which is a combination of Si4N2 and Si2N4 strings. Chemical bonding of Si8N6Mq systems is explored by using the electron localization indicator and theory of atom in molecule, revealing the vital role of metal center in stabilizing the clusters.

  12. Carrier properties of B atomic-layer-doped Si films grown by ECR Ar plasma-enhanced CVD without substrate heating

    PubMed Central

    Sakuraba, Masao; Sugawara, Katsutoshi; Nosaka, Takayuki; Akima, Hisanao; Sato, Shigeo

    2017-01-01

    Abstract The atomic-layer (AL) doping technique in epitaxy has attracted attention as a low-resistive ultrathin semiconductor film as well as a two-dimensional (2-D) carrier transport system. In this paper, we report carrier properties for B AL-doped Si films with suppressed thermal diffusion. B AL-doped Si films were formed on Si(100) by B AL formation followed by Si cap layer deposition in low-energy Ar plasma-enhanced chemical-vapor deposition without substrate heating. After fabrication of Hall-effect devices with the B AL-doped Si films on unstrained and 0.8%-tensile-strained Si(100)-on-insulator substrates (maximum process temperature 350°C), carrier properties were electrically measured at room temperature. Typically for the initial B amount of 2 × 1014 cm−2 and 7 × 1014 cm−2, B concentration depth profiles showed a clear decay slope as steep as 1.3 nm/decade. Dominant carrier was a hole and the maximum sheet carrier densities as high as 4 × 1013 cm−2 and 2 × 1013 cm−2 (electrical activity ratio of about 7% and 3.5%) were measured respectively for the unstrained and 0.8%-tensile-strained Si with Hall mobility around 10–13 cm2 V−1 s−1. Moreover, mobility degradation was not observed even when sheet carrier density was increased by heat treatment at 500–700 °C. There is a possibility that the local carrier (ionized B atom) concentration around the B AL in Si reaches around 1021 cm−3 and 2-D impurity-band formation with strong Coulomb interaction is expected. The behavior of carrier properties for heat treatment at 500–700 °C implies that thermal diffusion causes broadening of the B AL in Si and decrease of local B concentration. PMID:28567175

  13. Carrier properties of B atomic-layer-doped Si films grown by ECR Ar plasma-enhanced CVD without substrate heating

    NASA Astrophysics Data System (ADS)

    Sakuraba, Masao; Sugawara, Katsutoshi; Nosaka, Takayuki; Akima, Hisanao; Sato, Shigeo

    2017-12-01

    The atomic-layer (AL) doping technique in epitaxy has attracted attention as a low-resistive ultrathin semiconductor film as well as a two-dimensional (2-D) carrier transport system. In this paper, we report carrier properties for B AL-doped Si films with suppressed thermal diffusion. B AL-doped Si films were formed on Si(100) by B AL formation followed by Si cap layer deposition in low-energy Ar plasma-enhanced chemical-vapor deposition without substrate heating. After fabrication of Hall-effect devices with the B AL-doped Si films on unstrained and 0.8%-tensile-strained Si(100)-on-insulator substrates (maximum process temperature 350°C), carrier properties were electrically measured at room temperature. Typically for the initial B amount of 2 × 1014 cm-2 and 7 × 1014 cm-2, B concentration depth profiles showed a clear decay slope as steep as 1.3 nm/decade. Dominant carrier was a hole and the maximum sheet carrier densities as high as 4 × 1013 cm-2 and 2 × 1013 cm-2 (electrical activity ratio of about 7% and 3.5%) were measured respectively for the unstrained and 0.8%-tensile-strained Si with Hall mobility around 10-13 cm2 V-1 s-1. Moreover, mobility degradation was not observed even when sheet carrier density was increased by heat treatment at 500-700 °C. There is a possibility that the local carrier (ionized B atom) concentration around the B AL in Si reaches around 1021 cm-3 and 2-D impurity-band formation with strong Coulomb interaction is expected. The behavior of carrier properties for heat treatment at 500-700 °C implies that thermal diffusion causes broadening of the B AL in Si and decrease of local B concentration.

  14. Charge Transfer Processes in Collisions of Si4+ Ions with He Atoms at Intermediate Energies

    NASA Astrophysics Data System (ADS)

    Suzuki, R.; Watanabe, A.; Sato, H.; Gu, J. P.; Hirsch, G.; Buenker, R. J.; Kimura, M.; Stancil, P. C.

    Charge transfer in collisions of Si4+ ions with He atoms below 100 keV/u is studied by using a molecular orbital representation within both the semiclassical and quantal representations. Single transfer reaction Si4++He →Si3++He+ has been studied by a number of theoretical investigations. In addition to the reaction (1), the first semiclassical MOCC calculations are performed for the double transfer channel Si4++HE→Si2++He2+ Nine molecular states that connect both with single and double electron transfer processes are considered in the present model. Electronic states and corresponding couplings are determined by the multireference single- and double- excitation configuration interaction method. The present cross sections tie well with the earlier calculations of Stancil et al., Phys. Rev. A 55, 1064 (1997) at lower energies, but show a rather different magnitude from those of Bacchus-Montabonel and Ceyzeriat, Phys. Rev. A 58, 1162 (1998). The present rate constant is found to be significantly different from the experimental finding of Fang and Kwong, Phys. Rev. A 59, 342 (1996) at 4,600 K, and hence does not support the experiment.

  15. Electrical properties of amorphous and epitaxial Si-rich silicide films composed of W-atom-encapsulated Si clusters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, Naoya, E-mail: okada-naoya@aist.go.jp; Nanoelectronics Research Institute, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, Ibaraki 305-8562; Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573

    We investigated the electrical properties and derived the energy band structures of amorphous Si-rich W silicide (a-WSi{sub n}) films and approximately 1-nm-thick crystalline WSi{sub n} epitaxial films (e-WSi{sub n}) on Si (100) substrates with composition n = 8–10, both composed of Si{sub n} clusters each of which encapsulates a W atom (WSi{sub n} clusters). The effect of annealing in the temperature range of 300–500 °C was also investigated. The Hall measurements at room temperature revealed that a-WSi{sub n} is a nearly intrinsic semiconductor, whereas e-WSi{sub n} is an n-type semiconductor with electron mobility of ∼8 cm{sup 2}/V s and high sheet electron density ofmore » ∼7 × 10{sup 12 }cm{sup −2}. According to the temperature dependence of the electrical properties, a-WSi{sub n} has a mobility gap of ∼0.1 eV and mid gap states in the region of 10{sup 19 }cm{sup −3} eV{sup −1} in an optical gap of ∼0.6 eV with considerable band tail states; e-WSi{sub n} has a donor level of ∼0.1 eV with sheet density in the region of 10{sup 12 }cm{sup −2} in a band gap of ∼0.3 eV. These semiconducting band structures are primarily attributed to the open band-gap properties of the constituting WSi{sub n} cluster. In a-WSi{sub n}, the random network of the clusters generates the band tail states, and the formation of Si dangling bonds results in the generation of mid gap states; in e-WSi{sub n}, the original cluster structure is highly distorted to accommodate the Si lattice, resulting in the formation of intrinsic defects responsible for the donor level.« less

  16. Revealing heterogeneous nucleation of primary Si and eutectic Si by AlP in hypereutectic Al-Si alloys.

    PubMed

    Li, Jiehua; Hage, Fredrik S; Liu, Xiangfa; Ramasse, Quentin; Schumacher, Peter

    2016-04-28

    The heterogeneous nucleation of primary Si and eutectic Si can be attributed to the presence of AlP. Although P, in the form of AlP particles, is usually observed in the centre of primary Si, there is still a lack of detailed investigations on the distribution of P within primary Si and eutectic Si in hypereutectic Al-Si alloys at the atomic scale. Here, we report an atomic-scale experimental investigation on the distribution of P in hypereutectic Al-Si alloys. P, in the form of AlP particles, was observed in the centre of primary Si. However, no significant amount of P was detected within primary Si, eutectic Si and the Al matrix. Instead, P was observed at the interface between the Al matrix and eutectic Si, strongly indicating that P, in the form of AlP particles (or AlP 'patch' dependent on the P concentration), may have nucleated on the surface of the Al matrix and thereby enhanced the heterogeneous nucleation of eutectic Si. The present investigation reveals some novel insights into heterogeneous nucleation of primary Si and eutectic Si by AlP in hypereutectic Al-Si alloys and can be used to further develop heterogeneous nucleation mechanisms based on adsorption.

  17. Si-centered capped trigonal prism ordering in liquid Pd 82Si 18 alloy study by first-principles calculations

    DOE PAGES

    Dong, F.; Yue, G. Q.; Ames Lab. and Iowa State Univ., Ames, IA; ...

    2017-03-24

    First-principles molecular dynamic (MD) simulation and X-ray diffraction were employed to study the local structures of Pd–Si liquid at the eutectic composition (Pd 82Si 18). Here, a strong repulsion is found between Si atoms, and Si atoms prefer to be evenly distributed in the liquid. The dominate local structures around Si atoms are found to be with of a trigonal prism capped by three half-octahedra and an archimedean anti-prism. The populations of these clusters increase significantly upon cooling, and may play an important role in the formation of Pd 82Si 18 alloy glass.

  18. Si-centered capped trigonal prism ordering in liquid Pd 82Si 18 alloy study by first-principles calculations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, F.; Yue, G. Q.; Ames Lab. and Iowa State Univ., Ames, IA

    First-principles molecular dynamic (MD) simulation and X-ray diffraction were employed to study the local structures of Pd–Si liquid at the eutectic composition (Pd 82Si 18). Here, a strong repulsion is found between Si atoms, and Si atoms prefer to be evenly distributed in the liquid. The dominate local structures around Si atoms are found to be with of a trigonal prism capped by three half-octahedra and an archimedean anti-prism. The populations of these clusters increase significantly upon cooling, and may play an important role in the formation of Pd 82Si 18 alloy glass.

  19. Polarizabilities and hyperpolarizabilities for the atoms Al, Si, P, S, Cl, and Ar: Coupled cluster calculations.

    PubMed

    Lupinetti, Concetta; Thakkar, Ajit J

    2005-01-22

    Accurate static dipole polarizabilities and hyperpolarizabilities are calculated for the ground states of the Al, Si, P, S, Cl, and Ar atoms. The finite-field computations use energies obtained with various ab initio methods including Moller-Plesset perturbation theory and the coupled cluster approach. Excellent agreement with experiment is found for argon. The experimental alpha for Al is likely to be in error. Only limited comparisons are possible for the other atoms because hyperpolarizabilities have not been reported previously for most of these atoms. Our recommended values of the mean dipole polarizability (in the order Al-Ar) are alpha/e(2)a(0) (2)E(h) (-1)=57.74, 37.17, 24.93, 19.37, 14.57, and 11.085 with an error estimate of +/-0.5%. The recommended values of the mean second dipole hyperpolarizability (in the order Al-Ar) are gamma/e(4)a(0) (4)E(h) (-3)=2.02 x 10(5), 4.31 x 10(4), 1.14 x 10(4), 6.51 x 10(3), 2.73 x 10(3), and 1.18 x 10(3) with an error estimate of +/-2%. Our recommended polarizability anisotropy values are Deltaalpha/e(2)a(0) (2)E(h) (-1)=-25.60, 8.41, -3.63, and 1.71 for Al, Si, S, and Cl respectively, with an error estimate of +/-1%. The recommended hyperpolarizability anisotropies are Deltagamma/e(4)a(0) (4)E(h) (-3)=-3.88 x 10(5), 4.16 x 10(4), -7.00 x 10(3), and 1.65 x 10(3) for Al, Si, S, and Cl, respectively, with an error estimate of +/-4%. (c) 2005 American Institute of Physics.

  20. Incorporating isolated molybdenum (Mo) atoms into Bilayer Epitaxial Graphene on 4H-SiC(0001)

    NASA Astrophysics Data System (ADS)

    Huang, Han; Wan, Wen; Li, Hui; Wong, Swee Liang; Lv, Lu; Gao, Yongli; Wee, Andrew T. S.

    2014-03-01

    The atomic structures and electronic properties of isolated Mo atoms in bilayer epitaxial graphene (BLEG) on 4H-SiC(0001) are investigated by low temperature scanning tunneling microscopy (LT-STM). LT-STM results reveal that isolated Mo dopants prefer to substitute C atoms at α-sites, and preferentially locate between the graphene bilayers. First-principles calculations confirm that the embedding of single Mo dopants within BLEG is energetically favorable as compared to monolayer graphene. The calculated bandstructures show that Mo-doped BLEG is n-doped, and each Mo atom introduces a local magnetic moment of 1.81 μB. Our findings demonstrate a simple and stable method to incorporate single transition metal dopants into the graphene lattice to tune its electronic and magnetic properties for possible use in graphene spin devices. NRF-CRP (Singapore) grants R-143-000-360-281and R-144-000-295-281. ``Shenghua Professorship'' startup funding from CSU and the support from the NSF of China (Grant No.11304398).

  1. Core-shell Si@TiO 2 nanosphere anode by atomic layer deposition for Li-ion batteries

    DOE PAGES

    Dai, Sheng

    2016-01-28

    Silicon (Si) is regarded as next-generation anode for high-energy lithium-ion batteries (LIBs) due to its high Li storage capacity (4200 mA h g -1). However, the mechanical degradation and resultant capacity fade critically hinder its practical application. In this regard, we demonstrate that nanocoating of Si spheres with a 3 nm titanium dioxide (TiO 2) layer via atomic layer deposition (ALD) can utmostly balance the high conductivity and the good structural stability to improve the cycling stability of Si core material. The resultant sample, Si@TiO 2-3 nm core–shell nanospheres, exhibits the best electrochemical performance of all with a highest initialmore » Coulombic efficiency and specific charge capacity retention after 50 cycles at 0.1C (82.39% and 1580.3 mA h g -1). In addition to making full advantage of the ALD technique, we believe that our strategy and comprehension in coating the electrode and the active material could provide a useful pathway towards enhancing Si anode material itself and community of LIBs.« less

  2. W and X Photoluminescence Centers in Crystalline Si: Chasing Candidates at Atomic Level Through Multiscale Simulations

    NASA Astrophysics Data System (ADS)

    Aboy, María; Santos, Iván; López, Pedro; Marqués, Luis A.; Pelaz, Lourdes

    2018-04-01

    Several atomistic techniques have been combined to identify the structure of defects responsible for X and W photoluminescence lines in crystalline Si. We used kinetic Monte Carlo simulations to reproduce irradiation and annealing conditions used in photoluminescence experiments. We found that W and X radiative centers are related to small Si self-interstitial clusters but coexist with larger Si self-interstitials clusters that can act as nonradiative centers. We used molecular dynamics simulations to explore the many different configurations of small Si self-interstitial clusters, and selected those having symmetry compatible with W and X photoluminescence centers. Using ab initio simulations, we calculated their formation energy, donor levels, and energy of local vibrational modes. On the basis of photoluminescence experiments and our multiscale theoretical calculations, we discuss the possible atomic configurations responsible for W and X photoluminescence centers in Si. Our simulations also reveal that the intensity of photoluminescence lines is the result of competition between radiative centers and nonradiative competitors, which can explain the experimental quenching of W and X lines even in the presence of the photoluminescence centers.

  3. Method for the hydrogenation of poly-si

    DOEpatents

    Wang, Qi

    2013-11-12

    A method for hydrogenating poly-si. Poly-si is placed into the interior of a chamber. A filament is placed into the interior of a chamber. The base pressure of the interior of the chamber is evacuated, preferably to 10.sup.-6 Torr or less. The poly-si is heated for a predetermined poly-si heating time. The filament is heated by providing an electrical power to the filament. Hydrogen is supplied into the pressurized interior of the chamber comprising the heated poly-si and the heated filament. Atomic hydrogen is produced by the filament at a rate whereby the atomic hydrogen surface density at the poly-si is less than the poly-si surface density. Preferably, the poly-si is covered from the atomic hydrogen produced by the heated filament for a first predetermined covering time. Preferably, the poly-si is then uncovered from the atomic hydrogen produced by the heated filament for a first hydrogenation time.

  4. Formation of atomically ordered and chemically selective Si-O-Ti monolayer on Si0.5Ge0.5(110) for a MIS structure via H2O2(g) functionalization.

    PubMed

    Park, Sang Wook; Choi, Jong Youn; Siddiqui, Shariq; Sahu, Bhagawan; Galatage, Rohit; Yoshida, Naomi; Kachian, Jessica; Kummel, Andrew C

    2017-02-07

    Si 0.5 Ge 0.5 (110) surfaces were passivated and functionalized using atomic H, hydrogen peroxide (H 2 O 2 ), and either tetrakis(dimethylamino)titanium (TDMAT) or titanium tetrachloride (TiCl 4 ) and studied in situ with multiple spectroscopic techniques. To passivate the dangling bonds, atomic H and H 2 O 2 (g) were utilized and scanning tunneling spectroscopy (STS) demonstrated unpinning of the surface Fermi level. The H 2 O 2 (g) could also be used to functionalize the surface for metal atomic layer deposition. After subsequent TDMAT or TiCl 4 dosing followed by a post-deposition annealing, scanning tunneling microscopy demonstrated that a thermally stable and well-ordered monolayer of TiO x was deposited on Si 0.5 Ge 0.5 (110), and X-ray photoelectron spectroscopy verified that the interfaces only contained Si-O-Ti bonds and a complete absence of GeO x . STS measurements confirmed a TiO x monolayer without mid-gap and conduction band edge states, which should be an ideal ultrathin insulating layer in a metal-insulator-semiconductor structure. Regardless of the Ti precursors, the final Ti density and electronic structure were identical since the Ti bonding is limited by the high coordination of Ti to O.

  5. Proton trapping in SiO 2 layers thermally grown on Si and SiC

    NASA Astrophysics Data System (ADS)

    Afanas'ev, V. V.; Ciobanu, F.; Pensl, G.; Stesmans, A.

    2002-11-01

    Positive charging of thermal SiO 2 layers on (1 0 0)Si and (0 0 0 1)6H-, 4H-SiC related to trapping of protons is studied using low-energy proton implantation into the oxide, and compared to the trapping of holes generated by 10-eV photons. Proton trapping has an initial probability close to 100% and shows little sensitivity to the annealing-induced oxygen deficiency of SiO 2. In contrast to protons, hole trapping in as-grown SiO 2 shows a much lower efficiency which increases upon oxide annealing, in qualitative correlation with the higher density of O 3Si• defects (E' centers) detected by electron spin resonance after hole injection. Despite these differences, the neutralization of positive charges induced by holes and protons has the same cross-section, and in both cases is accompanied by liberation of atomic H suggesting that protons account for positive charge in both cases. The rupture of Si-O bonds in the oxide observed upon proton injection suggests, as a first basic step, the bonding of a proton to a bridging oxygen atom in SiO 2 network.

  6. Visualizing buried silicon atoms at the Cd-Si(111)-7 ×7 interface with localized electrons

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Xiao, Hua-Fang; Sun, Kai; Tu, Yu-Bing; Yuan, Hong-Kuan; Xiong, Zu-Hong; Wang, Jun-Zhong; Xue, Qi-Kun

    2017-09-01

    We report the atomic-scale imaging of the buried Cd-Si(111)-7 ×7 interface with a low temperature scanning tunneling microscopy (STM). The Cd(0001) films grown on Si(111)-7 ×7 reveal the electronic growth mode, and manifest a series of quantum-well states. In the low-bias STM images, not only the 7 ×7 reconstruction but also individual Si adatoms buried by thick Cd islands are clearly visible. The two successive layers of Cd islands exhibit the distinct contrasts due to the quantum size effect. Moreover, we found a small gap appeared at Fermi level owing to the Anderson localization induced by interface scattering. The perfect transparency of Cd films can be attributed to the anisotropic electron motion, i.e., lateral electron localization and transverse motion like free-electron.

  7. First-principles study of twin grain boundaries in epitaxial BaSi{sub 2} on Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baba, Masakazu; Suemasu, Takashi, E-mail: suemasu@bk.tsukuba.ac.jp; Kohyama, Masanori

    2016-08-28

    Epitaxial films of BaSi{sub 2} on Si(111) for solar cell applications possess three epitaxial variants and exhibit a minority carrier diffusion length (ca. 9.4 μm) much larger than the domain size (ca. 0.2 μm); thus, the domain boundaries (DBs) between the variants do not act as carrier recombination centers. In this work, transmission electron microscopy (TEM) was used to observe the atomic arrangements around the DBs in BaSi{sub 2} epitaxial films on Si(111), and the most stable atomic configuration was determined by first-principles calculations based on density functional theory to provide possible interface models. Bright-field TEM along the a-axis of BaSi{sub 2}more » revealed that each DB was a twin boundary between two different epitaxial variants, and that Ba{sup (II)} atoms form hexagons containing central Ba{sup (I)} atoms in both the bulk and DB regions. Four possible interface models containing Ba{sup (I)}-atom interface layers were constructed, each consistent with TEM observations and distinguished by the relationship between the Si tetrahedron arrays in the two domains adjacent across the interface. This study assessed the structural relaxation of initial interface models constructed from surface slabs terminated by Ba{sup (I)} atoms or from zigzag surface slabs terminated by Si tetrahedra and Ba{sup (II)} atoms. In these models, the interactions or relative positions between Si tetrahedra appear to dominate the relaxation behavior and DB energies. One of the four interface models whose relationship between first-neighboring Si tetrahedra across the interface was the same as that in the bulk was particularly stable, with a DB energy of 95 mJ/m{sup 2}. There were no significant differences in the partial densities of states and band gaps between the bulk and DB regions, and it was therefore concluded that such DBs do not affect the minority carrier properties of BaSi{sub 2}.« less

  8. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  9. Ab initio and kinetic Monte Carlo study of lithium diffusion in LiSi, Li12Si7, Li13Si5 and Li15Si4

    NASA Astrophysics Data System (ADS)

    Moon, Janghyuk; Lee, Byeongchan; Cho, Maenghyo; Cho, Kyeongjae

    2016-10-01

    The kinetics of lithium atoms in various Li-Si binary compounds are investigated using density functional theory calculations and kinetic Monte Carlo calculations. The values of the Li migration energy barriers are identified by NEB calculations with vacancy-mediated, interstitial and exchange migration mechanisms in crystalline LiSi, Li12Si7, Li13Si4, and Li15Si4. A comparison of these NEB results shows that the vacancy-mediated Li migration is identified as the dominant diffusion mechanisms in Li-Si compounds. The diffusion coefficients of Li in Li-Si compounds at room temperature are determined by KMC simulation. From the KMC results, the recalculated migration energy barriers in LiSi, Li12Si7, Li13Si4, and Li15Si4 correspond to 0.306, 0.301, 0.367 and 0.320 eV, respectively. Compared to the Li migration energy barrier of 0.6 eV in crystalline Si, the drastic reduction in the Li migration energy barriers in the lithiated silicon indicates that the initial lithiation of the Si anode is the rate-limiting step. Furthermore, it is also found that Si migration is possible in Li-rich configurations. On the basis of these findings, the underlying mechanisms of kinetics on the atomic scale details are elucidated.

  10. Nonisovalent Si-III-V and Si-II-VI alloys: Covalent, ionic, and mixed phases

    DOE PAGES

    Kang, Joongoo; Park, Ji -Sang; Stradins, Pauls; ...

    2017-07-13

    In this paper, nonequilibrium growth of Si-III-V or Si-II-VI alloys is a promising approach to obtaining optically more active Si-based materials. We propose a new class of nonisovalent Si 2AlP (or Si 2ZnS) alloys in which the Al-P (or Zn-S) atomic chains are as densely packed as possible in the host Si matrix. As a hybrid of the lattice-matched parent phases, Si2AlP (or Si2ZnS) provides an ideal material system with tunable local chemical orders around Si atoms within the same composition and structural motif. Here, using first-principles hybrid functional calculations, we discuss how the local chemical orders affect the electronicmore » and optical properties of the nonisovalent alloys.« less

  11. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces.

    PubMed

    Hong, Ie-Hong; Liao, Yung-Cheng; Tsai, Yung-Feng

    2013-11-05

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process.

  12. Template-directed atomically precise self-organization of perfectly ordered parallel cerium silicide nanowire arrays on Si(110)-16 × 2 surfaces

    PubMed Central

    2013-01-01

    The perfectly ordered parallel arrays of periodic Ce silicide nanowires can self-organize with atomic precision on single-domain Si(110)-16 × 2 surfaces. The growth evolution of self-ordered parallel Ce silicide nanowire arrays is investigated over a broad range of Ce coverages on single-domain Si(110)-16 × 2 surfaces by scanning tunneling microscopy (STM). Three different types of well-ordered parallel arrays, consisting of uniformly spaced and atomically identical Ce silicide nanowires, are self-organized through the heteroepitaxial growth of Ce silicides on a long-range grating-like 16 × 2 reconstruction at the deposition of various Ce coverages. Each atomically precise Ce silicide nanowire consists of a bundle of chains and rows with different atomic structures. The atomic-resolution dual-polarity STM images reveal that the interchain coupling leads to the formation of the registry-aligned chain bundles within individual Ce silicide nanowire. The nanowire width and the interchain coupling can be adjusted systematically by varying the Ce coverage on a Si(110) surface. This natural template-directed self-organization of perfectly regular parallel nanowire arrays allows for the precise control of the feature size and positions within ±0.2 nm over a large area. Thus, it is a promising route to produce parallel nanowire arrays in a straightforward, low-cost, high-throughput process. PMID:24188092

  13. Phase separation in SiGe nanocrystals embedded in SiO{sub 2} matrix during high temperature annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mogaddam, N. A. P.; Turan, R.; Alagoz, A. S.

    2008-12-15

    SiGe nanocrystals have been formed in SiO{sub 2} matrix by cosputtering Si, Ge, and SiO{sub 2} independently on Si substrate. Effects of the annealing time and temperature on structural and compositional properties are studied by transmission electron microscopy, x-ray diffraction (XRD), and Raman spectroscopy measurements. It is observed that Ge-rich Si{sub (1-x)}Ge{sub x} nanocrystals do not hold their compositional uniformity when annealed at high temperatures for enough long time. A segregation process leading to separation of Ge and Si atoms from each other takes place. This process has been evidenced by a double peak formation in the XRD and Ramanmore » spectra. We attributed this phase separation to the differences in atomic size, surface energy, and surface diffusion disparity between Si and Ge atoms leading to the formation of nonhomogenous structure consist of a Si-rich SiGe core covered by a Ge-rich SiGe shell. This experimental observation is consistent with the result of reported theoretical and simulation methods.« less

  14. Manipulating Si(100) at 5 K using qPlus frequency modulated atomic force microscopy: Role of defects and dynamics in the mechanical switching of atoms

    NASA Astrophysics Data System (ADS)

    Sweetman, A.; Jarvis, S.; Danza, R.; Bamidele, J.; Kantorovich, L.; Moriarty, P.

    2011-08-01

    We use small-amplitude qPlus frequency modulated atomic force microscopy (FM-AFM), at 5 K, to investigate the atomic-scale mechanical stability of the Si(100) surface. By operating at zero applied bias the effect of tunneling electrons is eliminated, demonstrating that surface manipulation can be performed by solely mechanical means. Striking differences in surface response are observed between different regions of the surface, most likely due to variations in strain associated with the presence of surface defects. We investigate the variation in local energy surface by ab initio simulation, and comment on the dynamics observed during force spectroscopy.

  15. Ab initio density functional theory study on the atomic and electronic structure of GaP/Si(001) heterointerfaces

    NASA Astrophysics Data System (ADS)

    Romanyuk, O.; Supplie, O.; Susi, T.; May, M. M.; Hannappel, T.

    2016-10-01

    The atomic and electronic band structures of GaP/Si(001) heterointerfaces were investigated by ab initio density functional theory calculations. Relative total energies of abrupt interfaces and mixed interfaces with Si substitutional sites within a few GaP layers were derived. It was found that Si diffusion into GaP layers above the first interface layer is energetically unfavorable. An interface with Si/Ga substitution sites in the first layer above the Si substrate is energetically the most stable one in thermodynamic equilibrium. The electronic band structure of the epitaxial GaP/Si(001) heterostructure terminated by the (2 ×2 ) surface reconstruction consists of surface and interface electronic states in the common band gap of two semiconductors. The dispersion of the states is anisotropic and differs for the abrupt Si-Ga, Si-P, and mixed interfaces. Ga 2 p , P 2 p , and Si 2 p core-level binding-energy shifts were computed for the abrupt and the lowest-energy heterointerface structures. Negative and positive core-level shifts due to heterovalent bonds at the interface are predicted for the abrupt Si-Ga and Si-P interfaces, respectively. The distinct features in the heterointerface electronic structure and in the core-level shifts open new perspectives in the experimental characterization of buried polar-on-nonpolar semiconductor heterointerfaces.

  16. Direct Imaging and First Principles Studies of Si3N4/SiO2 Interface

    NASA Astrophysics Data System (ADS)

    Walkosz, Weronika; Klie, Robert; Ogut, Serdar; Mikijelj, Bilijana; Pennycook, Stephen; Idrobo, Juan C.

    2010-03-01

    It is well known that the composition of the integranular films (IGFs) in sintered polycrystalline silicon nitride (Si3N4) ceramics controls many of their physical and mechanical properties. A considerable effort has been made to characterize these films on the atomic scale using both experimental and theoretical methods. In this talk, we present results from a combined atomic-resolution Z-contrast and annular bright field imaging, electron energy-loss spectroscopy, as well as ab initio studies of the interface between β-Si3N4 (10-10) and SiO2 intergranular film. Our results show that O replaces N at the interface between the two materials in agreement with our theoretical calculations and that N is present in the SiO2 IGF. Moreover, they indicate the presence of atomic columns completing Si3N4 open rings, which have not been observed experimentally at the recently imaged Si3N4/rare-earth oxides interfaces, but have been predicted theoretically on bare Si3N4 surfaces. The structural and electronic variations at the Si3N4/SiO2 interface will be discussed in detail, focusing in particular on bonding characteristics.

  17. Conciliatory Inductive Model Explaining the Origin of Changes in the η(2)-SiH Bond Length Caused by Presence of Strongly Electronegative Atoms X (X = F, Cl) in Cp(OC)2Mn[η(2)-H(SiH3-nXn)] (n = 0-3) Complexes.

    PubMed

    Jabłoński, Mirosław

    2016-06-23

    Using three theoretical methods, QTAIM, IQA, and NCI, we analyze an influence of halogen atoms X (X = F, Cl) substituted at various positions in the -SiH3-nXn group on the charge density distribution within the η(2)-SiH bond and on the SiH bond energies in Cp(OC)2Mn[η(2)-H(SiH3-nXn)] complexes and isolated HSiH3-nXn molecules. It is shown that shortening of the η(2)-SiH bond in Cp(OC)2Mn[η(2)-H(SiH3-nXn)] complexes should be considered as a normal inductive result of halogenation. This η(2)-SiH bond's compression may, however, be overcome by a predominant elongation resulting from a contingent presence of a halogen atom at position trans to the η(2)-SiH bond. This trans effect is particularly large for bulky and highly polarizable chlorine. Moreover, peculiar properties of the trans chlorine atom are manifested in several ways. To explain the origin of all the observed changes in both the length and the electron charge distribution of the η(2)-SiH bond in investigated Cp(OC)2Mn[η(2)-H(SiH3-nXn)] complexes a new model, called the Conciliatory Inductive Model, is being proposed.

  18. Atom probe tomography of a Ti-Si-Al-C-N coating grown on a cemented carbide substrate.

    PubMed

    Thuvander, M; Östberg, G; Ahlgren, M; Falk, L K L

    2015-12-01

    The elemental distribution within a Ti-Si-Al-C-N coating grown by physical vapour deposition on a Cr-doped WC-Co cemented carbide substrate has been investigated by atom probe tomography. Special attention was paid to the coating/substrate interface region. The results indicated a diffusion of substrate binder phase elements into the Ti-N adhesion layer. The composition of this layer, and the Ti-Al-N interlayer present between the adhesion layer and the main Ti-Si-Al-C-N layer, appeared to be sub-stoichiometric. The analysis of the interlayer showed the presence of internal surfaces, possibly grain boundaries, depleted in Al. The composition of the main Ti-Al-Si-C-N layer varied periodically in the growth direction; layers enriched in Ti appeared with a periodicity of around 30 nm. Laser pulsing resulted in a good mass resolution that made it possible to distinguish between N(+) and Si(2+) at 14 Da. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  20. Synchrotron x-ray thermal diffuse scattering probes for phonons in Si/SiGe/Si trilayer nanomembranes

    DOE PAGES

    McElhinny, Kyle M.; Gopalakrishnan, Gokul; Savage, Donald E.; ...

    2016-05-17

    Nanostructures offer the opportunity to control the vibrational properties of via the scattering of phonons due to boundaries and mass disorder as well as through changes in the phonon dispersion due to spatial confinement. Advances in understanding these effects have the potential to lead to thermoelectrics with an improved figure of merit by lowering the thermal conductivity and to provide insight into electron-phonon scattering rates in nanoelectronics. However, characterizing the phonon population in nanomaterials has been challenging because of their small volume and because optical techniques probe only a small fraction of reciprocal space. Recent developments in x-ray scattering nowmore » allow the phonon population to be evaluated across all of reciprocal space in samples with volumes as small as several cubic micrometers. We apply this approach, synchrotron x-ray thermal diffuse scattering (TDS), to probe the population of phonons within a Si/SiGe/Si trilayer nanomembrane. The distributions of scattered intensity from Si/SiGe/Si trilayer nanomembranes and Si nanomembranes with uniform composition are qualitatively similar, with features arising from the elastic anisotropy of the diamond structure. The TDS signal for the Si/SiGe/Si nanomembrane, however, has higher intensity than the Si membrane of the same total thickness by approximately 3.75%. Possible origins of the enhancement in scattering from SiGe in comparison with Si include the larger atomic scattering factor of Ge atoms within the SiGe layer or reduced phonon frequencies due to alloying.« less

  1. Theoretical predictions of a bucky-diamond SiC cluster.

    PubMed

    Yu, Ming; Jayanthi, C S; Wu, S Y

    2012-06-15

    A study of structural relaxations of Si(n)C(m) clusters corresponding to different compositions, different relative arrangements of Si/C atoms, and different types of initial structure, reveals that the Si(n)C(m) bucky-diamond structure can be obtained for an initial network structure constructed from a truncated bulk 3C-SiC for a magic composition corresponding to n = 68 and m = 79. This study was performed using a semi-empirical Hamiltonian (SCED-LCAO) since it allowed an extensive search of different types of initial structures. However, the bucky-diamond structure predicted by this method was also confirmed by a more accurate density functional theory (DFT) based method. The bucky-diamond structure exhibited by a SiC-based system represents an interesting paradigm where a Si atom can form three-coordinated as well as four-coordinated networks with carbon atoms and vice versa and with both types of network co-existing in the same structure. Specifically, the bucky-diamond structure of the Si(68)C(79) cluster consists of a 35-atom diamond-like inner core (four-atom coordinations) suspended inside a 112-atom fullerene-like shell (three-atom coordinations).

  2. BARN IN SETTING FROM ADJOINING FIELD, LOOKING NORTHEAST. The photograph ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    BARN IN SETTING FROM ADJOINING FIELD, LOOKING NORTHEAST. The photograph was taken from the east side of the hedgerow along Fort Casey Road. Also shown are the mechanic’s shop, to the west of the barn; the tractor shed, directly south of the shop; and the monitor-roofed hay and lambing barn to the east. The Hugh Crockett house sat between the tractor shed and the hay and lambing barn. Only its chimney remains. - Boyer Farm, 711 South Fort Casey Road, Coupeville, Island County, WA

  3. Molecular dynamics modeling of atomic displacement cascades in 3C-SiC: Comparison of interatomic potentials

    NASA Astrophysics Data System (ADS)

    Samolyuk, G. D.; Osetsky, Y. N.; Stoller, R. E.

    2015-10-01

    We used molecular dynamics modeling of atomic displacement cascades to characterize the nature of primary radiation damage in 3C-SiC. We demonstrated that the most commonly used interatomic potentials are inconsistent with ab initio calculations of defect energetics. Both the Tersoff potential used in this work and a modified embedded-atom method potential reveal a barrier to recombination of the carbon interstitial and carbon vacancy which is much higher than the density functional theory (DFT) results. The barrier obtained with a newer potential by Gao and Weber is closer to the DFT result. This difference results in significant differences in the cascade production of point defects. We have completed both 10 keV and 50 keV cascade simulations in 3C-SiC at a range of temperatures. In contrast to the Tersoff potential, the Gao-Weber potential produces almost twice as many C vacancies and interstitials at the time of maximum disorder (∼0.2 ps) but only about 25% more stable defects at the end of the simulation. Only about 20% of the carbon defects produced with the Tersoff potential recombine during the in-cascade annealing phase, while about 60% recombine with the Gao-Weber potential. The Gao-Weber potential appears to give a more realistic description of cascade dynamics in SiC, but still has some shortcomings when the defect migration barriers are compared to the ab initio results.

  4. The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes

    NASA Astrophysics Data System (ADS)

    Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit

    2018-06-01

    In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.

  5. Plasmonic properties of Ag nanoparticles embedded in GeO2-SiO2 matrix by atom beam sputtering.

    PubMed

    Mohapatra, Satyabrata

    2016-02-07

    Nanocomposite thin films containing Ag nanoparticles embedded in the GeO2-SiO2 matrix were synthesized by the atom beam co-sputtering technique. The structural, optical and plasmonic properties and the chemical composition of the nanocomposite thin films were studied by transmission electron microscopy (TEM) with energy dispersive X-ray spectroscopy (EDX), UV-visible absorption spectroscopy and X-ray photoelectron spectroscopy (XPS). UV-visible absorption studies on Ag-SiO2 nanocomposites revealed the presence of a strong localized surface plasmon resonance (LSPR) peak characteristic of Ag nanoparticles at 413 nm, which showed a blue shift of 26 nm (413 to 387 nm) along with a significant broadening and drastic decrease in intensity with the incorporation of 16 at% of Ge into the SiO2 matrix. TEM studies on Ag-GeO2-SiO2 nanocomposite thin films confirmed the presence of Ag nanoparticles with an average size of 3.8 nm in addition to their aggregates with an average size of 16.2 nm. Thermal annealing in air resulted in strong enhancement in the intensity of the LSPR peak, which showed a regular red shift of 51 nm (from 387 to 438 nm) with the increase in annealing temperature up to 500 °C. XPS studies showed that annealing in air resulted in oxidation of excess Ge atoms in the nanocomposite into GeO2. Our work demonstrates the possibility of controllably tuning the LSPR of Ag nanoparticles embedded in the GeO2-SiO2 matrix by single-step thermal annealing, which is interesting for optical applications.

  6. Quasi free-standing epitaxial graphene fabrication on 3C-SiC/Si(111)

    NASA Astrophysics Data System (ADS)

    Amjadipour, Mojtaba; Tadich, Anton; Boeckl, John J.; Lipton-Duffin, Josh; MacLeod, Jennifer; Iacopi, Francesca; Motta, Nunzio

    2018-04-01

    Growing graphene on SiC thin films on Si is a cheaper alternative to the growth on bulk SiC, and for this reason it has been recently intensively investigated. Here we study the effect of hydrogen intercalation on epitaxial graphene obtained by high temperature annealing on 3C-SiC/Si(111) in ultra-high vacuum. By using a combination of core-level photoelectron spectroscopy, low energy electron diffraction, and near-edge x-ray absorption fine structure (NEXAFS) we find that hydrogen saturates the Si atoms at the topmost layer of the substrate, leading to free-standing graphene on 3C-SiC/Si(111). The intercalated hydrogen fully desorbs after heating the sample at 850 °C and the buffer layer appears again, similar to what has been reported for bulk SiC. However, the NEXAFS analysis sheds new light on the effect of hydrogen intercalation, showing an improvement of graphene’s flatness after annealing in atomic H at 600 °C. These results provide new insight into free-standing graphene fabrication on SiC/Si thin films.

  7. Photoactivated processes in optical fibers: generation and conversion mechanisms of twofold coordinated Si and Ge atoms

    NASA Astrophysics Data System (ADS)

    Giacomazzi, Luigi; Martin-Samos, L.; Boukenter, A.; Ouerdane, Y.; Girard, S.; Alessi, A.; de Gironcoli, S.; Richard, N.

    2017-05-01

    In this work we present an extensive investigation of nanoscale physical phenomena related to oxygen-deficient centers (ODCs) in silica and Ge-doped silica by means of first-principles calculations, including nudged-elastic band, electron paramagnetic resonance parameters calculations, and many-body perturbation theory (GW and Bethe-Salpeter equation) techniques. We show that by neutralizing positively charged oxygen monovacancies we can obtain model structures of twofold Si and Ge defects of which the calculated absorption spectra and singlet-to-triplet transitions are in excellent agreement with the experimental optical absorption and photo-luminescence data. In particular we provide an exhaustive analysis of the main exciton peaks related to the presence of twofold defects including long-range correlation effects. By calculating the reaction pathways and energy barriers necessary for the interconversion, we advance a double precursory origin of the {E}α \\prime and Ge(2) centers as due to the ionization of neutral oxygen monovacancies (Si-Si and Ge-Si dimers) and as due to the ionization of twofold Si and Ge defects. Furthermore two distinct structural conversion mechanisms are found to occur between the neutral oxygen monovacancy and the twofold Si (and Ge) atom configurations. Such conversion mechanisms allow to explain the radiation induced generation of the ODC(II) centers, their photobleaching, and also their generation during the drawing of optical fibers.

  8. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    NASA Astrophysics Data System (ADS)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  9. Method of using polysilane positive photoresist materials

    DOEpatents

    Harrah, L.A.; Zeigler, J.M.

    1986-05-06

    New polysilane copolymers comprise recurring units of --Si(X)(Y)-- and Si(A)(B)--, Si(X)(Y) being different from Si(A)(B), wherein X and Y together have 1-13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom, and wherein A and B together have 3-13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso (a) that when one of A and B is ethyl, the other is not methyl or ethyl, and (b) that when one of A ad B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.

  10. Polysilane positive photoresist materials and methods for their use

    DOEpatents

    Harrah, L.A.; Zeigler, J.M.

    1984-04-05

    New polysilane copolymers comprise recurring units of -Si(X)(Y)- and Si(A)(B)-, Si(X)(Y) being different from Si(A)(B). X and Y together have 1 to 13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom. A and B together have 3 to 13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso that when one of A and B is ethyl, the other is not methyl or ethyl, and that when one of A and B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.

  11. Method of using polysilane positive photoresist materials

    DOEpatents

    Harrah, Larry A.; Zeigler, John M.

    1986-01-01

    New polysilane copolymers comprise recurring units of --Si(X)(Y)-- and Si(A)(B)--, Si(X)(Y) being different from Si(A)(B), wherein X and Y together have 1-13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom, and wherein A and B together have 3-13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso (a) that when one of A and B is ethyl, the other is not methyl or ethyl, and (b) that when one of A ad B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.

  12. Polysilane positive photoresist materials and methods for their use

    DOEpatents

    Harrah, Larry A.; Zeigler, John M.

    1986-01-01

    New polysilane copolymers comprise recurring units of --Si(X)(Y)-- and Si(A)(B)--, Si(X)(Y) being different from Si(A)(B), wherein X and Y together have 1-13 carbon atoms, and X and Y each independently is hydrogen, alkyl, cycloalkyl, phenyl, alkylphenyl, or phenylalkyl, with the proviso that only one of X and Y contains a phenyl moiety, or together X and Y are an alkylene group forming a ring with the adjoining Si atom, and wherein A and B together have 3-13 carbon atoms, and A and B each independently is alkyl or cycloalkyl, with the proviso (a) that when one of A and B is ethyl, the other is not methyl or ethyl, and (b) that when one of A and B is n-propyl and the other is methyl, X and Y are not both methyl. Corresponding homopolysilanes are also provided. Upon ultraviolet irradiation, they photodepolymerize to form volatile products. As a result, they represent a new class of photoresists which enable direct formation of a positive image eliminating the heretofore required development step.

  13. Supercritical Fluid Atomic Layer Deposition: Base-Catalyzed Deposition of SiO2.

    PubMed

    Kalan, Roghi E; McCool, Benjamin A; Tripp, Carl P

    2016-07-19

    An in situ FTIR thin film technique was used to study the sequential atomic layer deposition (ALD) reactions of SiCl4, tetraethyl orthosilicate (TEOS) precursors, and water on nonporous silica powder using supercritical CO2 (sc-CO2) as the solvent. The IR work on nonporous powders was used to identify the reaction sequence for using a sc-CO2-based ALD to tune the pore size of a mesoporous silica. The IR studies showed that only trace adsorption of SiCl4 occurred on the silica, and this was due to the desiccating power of sc-CO2 to remove the adsorbed water from the surface. This was overcome by employing a three-step reaction scheme involving a first step of adsorption of triethylamine (TEA), followed by SiCl4 and then H2O. For TEOS, a three-step reaction sequence using TEA, TEOS, and then water offered no advantage, as the TEOS simply displaced the TEA from the silica surface. A two-step reaction involving the addition of TEOS followed by H2O in a second step did lead to silica film growth. However, higher growth rates were obtained when using a mixture of TEOS/TEA in the first step. The hydrolysis of the adsorbed TEOS was also much slower than that of the adsorbed SiCl4, and this was overcome by using a mixture of water/TEA during the second step. While the three-step process with SiCl4 showed a higher linear growth rate than obtained with two-step process using TEOS/TEA, its use was not practical, as the HCl generated led to corrosion of our sc-CO2 delivery system. However, when applying the two-step ALD reaction using TEOS on an MCM-41 powder, a 0.21 nm decrease in pore diameter was obtained after the first ALD cycle whereas further ALD cycles did not lead to further pore size reduction. This was attributed to the difficulty in removal of the H2O in the pores after the first cycle.

  14. Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires

    NASA Astrophysics Data System (ADS)

    Kagimura, R.; Nunes, R. W.; Chacham, H.

    2007-01-01

    We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.

  15. Thermally induced anchoring of fullerene in copolymers with Si-bridging atom: Spectroscopic evidences

    NASA Astrophysics Data System (ADS)

    Marchiori, Cleber F. N.; Garcia-Basabe, Yunier; de A. Ribeiro, Fabio; Koehler, Marlus; Roman, Lucimara S.; Rocco, Maria Luiza M.

    2017-01-01

    We use X-ray photoelectron spectroscopy (XPS), Near-edge X-ray absorption fine structure (NEXAFS), resonant Auger spectroscopy (RAS), Attenuation Total Reflection Infrared (ATR-IR) and Atomic Force Microscopy (AFM) to study the blend between the copolymer poly[2,7-(9,9-bis(2-ethylhexyl)-dibenzosilole)-alt-4,7-bis(thiophen-2-yl)benzo-2,1,3-thiadiazole] (PSiF-DBT) and the fullerene derivative PC71BM submitted to different annealing temperatures. Those measurements indicate that there is an incidental anchoring of a fullerene derivative to the Si-bridging atoms of a copolymer induced by thermal annealing of the film. Insights about the physical properties of one possible PSiF-DBT/PC71BM anchored structure are obtained using Density Functional Theory calculations. Since the performance of organic photovoltaic based on polymer-fullerene blends depends on the chemical structure of the blend components, the anchoring effect might affect the photovoltaic properties of those devices.

  16. Direct observation for atomically flat and ordered vertical {111} side-surfaces on three-dimensionally figured Si(110) substrate using scanning tunneling microscopy

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Hattori, Azusa N.; Ohata, Akinori; Takemoto, Shohei; Hattori, Ken; Daimon, Hiroshi; Tanaka, Hidekazu

    2017-11-01

    A three-dimensional Si{111} vertical side-surface structure on a Si(110) wafer was fabricated by reactive ion etching (RIE) followed by wet-etching and flash-annealing treatments. The side-surface was studied with scanning tunneling microscopy (STM) in atomic scale for the first time, in addition to atomic force microscopy (AFM), scanning electron microscopy (SEM), and low-energy electron diffraction (LEED). AFM and SEM showed flat and smooth vertical side-surfaces without scallops, and STM proved the realization of an atomically-flat 7 × 7-reconstructed structure, under optimized RIE and wet-etching conditions. STM also showed that a step-bunching occurred on the produced {111} side-surface corresponding to a reversely taped side-surface with a tilt angle of a few degrees, but did not show disordered structures. Characteristic LEED patterns from both side- and top-reconstructed surfaces were also demonstrated.

  17. Mechanism of atomic layer deposition of SiO2 on the silicon (100)-2×1 surface using SiCl4 and H2O as precursors

    NASA Astrophysics Data System (ADS)

    Kang, Jeung Ku; Musgrave, Charles B.

    2002-03-01

    We use density functional theory to investigate atomic layer deposition (ALD) mechanism of silicon dioxide on the Si(100)-2×1 surface from the precursors SiCl4 and H2O. First, we explore the reaction mechanism of water with the bare Si(100)-2×1 surface to produce surface hydroxyl groups. We find that this reaction proceeds through a two-step pathway with an overall barrier of 33.3 kcal/mol. Next, we investigate the ALD mechanism for the binary reaction sequence: the SiCl4 half reaction and the H2O half reaction. For the SiCl4 half reaction, SiCl4 first forms a σ-bond with the oxygen of the surface OH group and then releases an HCl molecule. The predicted barrier for this process is 15.8 kcal/mol. Next, adsorbed SiCl3 reacts with a neighboring OH group to form bridged SiCl2 with a barrier of 22.6 kcal/mol. The H2O half reaction also proceeds through two sequential steps with an overall barrier of 19.1 kcal/mol for the reaction of H2O with bridged SiCl2 to form bridged Si(OH)2. The predicted barrier of 22.6 kcal/mol for the rate-limiting step of the ALD binary reaction mechanism is consistent with the experimental value of 22.0 kcal/mol. In addition, we find that the calculated frequencies are in good agreement with the experimentally measured IR spectra.

  18. Effect of the tip state during qPlus noncontact atomic force microscopy of Si(100) at 5 K: Probing the probe

    PubMed Central

    Jarvis, Sam; Danza, Rosanna; Moriarty, Philip

    2012-01-01

    Summary Background: Noncontact atomic force microscopy (NC-AFM) now regularly produces atomic-resolution images on a wide range of surfaces, and has demonstrated the capability for atomic manipulation solely using chemical forces. Nonetheless, the role of the tip apex in both imaging and manipulation remains poorly understood and is an active area of research both experimentally and theoretically. Recent work employing specially functionalised tips has provided additional impetus to elucidating the role of the tip apex in the observed contrast. Results: We present an analysis of the influence of the tip apex during imaging of the Si(100) substrate in ultra-high vacuum (UHV) at 5 K using a qPlus sensor for noncontact atomic force microscopy (NC-AFM). Data demonstrating stable imaging with a range of tip apexes, each with a characteristic imaging signature, have been acquired. By imaging at close to zero applied bias we eliminate the influence of tunnel current on the force between tip and surface, and also the tunnel-current-induced excitation of silicon dimers, which is a key issue in scanning probe studies of Si(100). Conclusion: A wide range of novel imaging mechanisms are demonstrated on the Si(100) surface, which can only be explained by variations in the precise structural configuration at the apex of the tip. Such images provide a valuable resource for theoreticians working on the development of realistic tip structures for NC-AFM simulations. Force spectroscopy measurements show that the tip termination critically affects both the short-range force and dissipated energy. PMID:22428093

  19. Specific features of the atomic structure of metallic layers of multilayered (CoFeZr/SiO2)32 and (CoFeZr/ a-Si)40 nanostructures with different interlayers

    NASA Astrophysics Data System (ADS)

    Domashevskaya, E. P.; Guda, A. A.; Chernyshev, A. V.; Sitnikov, V. G.

    2017-02-01

    Multilayered nanostructures (MN) were prepared by ion-beam successive sputtering from two targets, one of which was a metallic Co45Fe45Zr10 alloy plate and another target was a quartz (SiO2) or silicon plate on the surface of a rotating glass-ceramic substrate in an argon atmosphere. The Co and Fe K edges X-ray absorption fine structure of XANES in the (CoFeZr/SiO2)32 sample with oxide interlayers was similar to XANES of metallic Fe foil. This indicated the existence in metallic layers of multilayered CoFeZr nanocrystals with a local environment similar to the atomic environment in solid solutions on the base of bcc Fe structure, which is also confirmed by XRD data. XANES near the Co and Fe K edges absorption in another multilayered nanostructure with silicon interlayers (CoFeZr/ a-Si)40 differs from XANES of MN with dielectric SiO2 interlayer, which demonstrates a dominant influence of the Fe-Si and Co-Si bonds in the local environment of 3 d Co and Fe metals when they form CoFeSi-type silicide phases in thinner bilayers of this MN.

  20. Pb chains on reconstructed Si(335) surface

    NASA Astrophysics Data System (ADS)

    Krawiec, Mariusz

    2009-04-01

    The structural and electronic properties of Si(335)-Au surface decorated with Pb atoms are studied by means of density-functional theory. The resulting structural model features Pb atoms bonded to neighboring Si and Au surface atoms, forming monoatomic chain located 0.2 nm above the surface. The presence of Pb chain leads to a strong rebonding of Si atoms at the step edge. The fact that Pb atoms occupy positions in the middle of terrace is consistent with scanning tunneling microscopy (STM) data and also confirmed by simulated STM images. The calculated band structure clearly shows one-dimensional metallic character. The calculated electronic bands remain in very good agreement with photoemission data.

  1. One-dimensional ordering of Ge nanoclusters along atomically straight steps of Si(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sekiguchi, Takeharu; Yoshida, Shunji; Itoh, Kohei M.

    2007-01-01

    Ge nanostructures grown by molecular beam epitaxy on a vicinal Si(111) surface with atomically well-defined steps are studied by means of scanning tunneling microscopy and spectroscopy. When the substrate temperature during deposition is around 250 degree sign C, Ge nanoclusters of diameters less than 2.0 nm form a one-dimensional array of the periodicity 2.7 nm along each step. This self-organization is due to preferential nucleation of Ge on the unfaulted 7x7 half-unit cells at the upper step edges. Scanning tunneling spectroscopy reveals localized electronic states of the nanoclusters.

  2. Interfaces in Si/Ge atomic layer superlattices on (001)Si: Effect of growth temperature and wafer misorientation

    NASA Astrophysics Data System (ADS)

    Baribeau, J.-M.; Lockwood, D. J.; Syme, R. W. G.

    1996-08-01

    We have used x-ray diffraction, specular reflectivity, and diffuse scattering, complemented by Raman spectroscopy, to study the interfaces in a series of (0.5 nm Ge/2 nm Si)50 atomic layer superlattices on (001)Si grown by molecular beam epitaxy in the temperature range 150-650 °C. X-ray specular reflectivity revealed that the structures have a well-defined periodicity with interface widths of about 0.2-0.3 nm in the 300-590 °C temperature range. Offset reflectivity scans showed that the diffuse scattering peaks at values of perpendicular wave vector transfer corresponding to the superlattice satellite peaks, indicating that the interfaces are vertically correlated. Transverse rocking scans of satellite peaks showed a diffuse component corresponding to an interface corrugation of typical length scale of ˜0.5 μm. The wavelength of the undulations is a minimum along the miscut direction and is typically 30-40 times larger than the surface average terrace width assuming monolayer steps, independently of the magnitude of the wafer misorientation. The amplitude of the undulation evolves with growth temperature and is minimum for growth at ˜460 °C and peaks at ˜520 °C. Raman scattering showed the chemical abruptness of the interfaces at low growth temperatures and indicated a change in the growth mode near 450 °C.

  3. Characterizing Fluorocarbon Assisted Atomic Layer Etching of Si Using Cyclic Ar/C 4F 8 and Ar/CHF 3 Plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2016-09-08

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching (ALE) processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO 2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C 4F 8 and CHF 3), and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J Vac Sci Technol A 32,more » 020603 (2014), and D. Metzler et al., J Vac Sci Technol A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO 2 and Si, but is limited with regard to control over material etching selectivity. Ion energy over the 20 to 30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF 3 has a lower FC deposition yield for both SiO 2 and Si, and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F 8. The thickness of deposited FC layers using CHF 3 is found to be greater for Si than for SiO 2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a

  4. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma

    NASA Astrophysics Data System (ADS)

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A.; Oehrlein, Gottlieb S.

    2017-02-01

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C4F8 and CHF3) and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J. Vac. Sci. Technol., A 32, 020603 (2014) and D. Metzler et al., J. Vac. Sci. Technol., A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO2 and Si but is limited with regard to control over material etching selectivity. Ion energy over the 20-30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF3 has a lower FC deposition yield for both SiO2 and Si and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F8. The thickness of deposited FC layers using CHF3 is found to be greater for Si than for SiO2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a cycle are seen

  5. Nonisovalent Si-III-V and Si-II-VI alloys: Covalent, ionic, and mixed phases

    NASA Astrophysics Data System (ADS)

    Kang, Joongoo; Park, Ji-Sang; Stradins, Pauls; Wei, Su-Huai

    2017-07-01

    Nonequilibrium growth of Si-III-V or Si-II-VI alloys is a promising approach to obtaining optically more active Si-based materials. We propose a new class of nonisovalent S i2AlP (or S i2ZnS ) alloys in which the Al-P (or Zn-S) atomic chains are as densely packed as possible in the host Si matrix. As a hybrid of the lattice-matched parent phases, S i2AlP (or S i2ZnS ) provides an ideal material system with tunable local chemical orders around Si atoms within the same composition and structural motif. Here, using first-principles hybrid functional calculations, we discuss how the local chemical orders affect the electronic and optical properties of the nonisovalent alloys.

  6. Disilane-based cyclic deposition/etch of Si, Si:P and Si1-yCy:P layers: I. The elementary process steps

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Deguet, C.

    2013-02-01

    We have benchmarked the 550 °C, 20 Torr growth of Si:P and Si1-yCy:P using SiH4 and Si2H6. P segregation has prevented us from reaching P+ ion concentrations in Si higher than a few 1019 cm-3 using SiH4; the resulting surface ‘poisoning’ led to a severe growth rate reduction. Meanwhile, [P+] increased linearly with the phosphine flow when using Si2H6 as the Si precursor; values as high as 1.7 × 1020 cm-3 were obtained. The Si:P growth rate using Si2H6 was initially stable then increased as the PH3 flow increased. Mono-methylsilane flows 6.5-10 times higher were needed with Si2H6 than with SiH4 to reach the same substitutional C concentrations in intrinsic Si1-yCy layers ([C]subst. up to 1.9%). Growth rates were approximately six times higher with Si2H6 than with SiH4, however. 30 nm thick Si1-yCy layers became rough as [C]subst. exceeded 1.6% (formation of increasing numbers of islands). We have also studied the structural and electrical properties of ‘low’ and ‘high’ C content Si1-yCy:P layers (˜ 1.5 and 1.8%, respectively) grown with Si2H6. Adding significant amounts of PH3 led to a reduction of the tensile strain in the films. This was due to the incorporation of P atoms (at the expense of C atoms) in the substitutional sites of the Si matrix. Si1-yCy:P layers otherwise became rough as the PH3 flow increased. Resistivities lower than 1 mΩ cm were nevertheless associated with those Si1-yCy:P layers, with P atomic concentrations at most 3.9 × 1020 cm-3. Finally, we have quantified the beneficial impact of adding GeH4 to HCl for the low-temperature etching of Si. Etch rates 12-36 times higher with HCl + GeH4 than with pure HCl were achieved at 20 Torr. Workable etch rates close to 1 nm min-1 were obtained at 600 °C (versus 750 °C for pure HCl), enabling low-temperature cyclic deposition/etch strategies for the selective epitaxial growth of Si, Si:P and Si1-yCy:P layers on patterned wafers.

  7. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  8. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  9. Low-energy charge transfer for collisions of Si3+ with atomic hydrogen

    NASA Astrophysics Data System (ADS)

    Bruhns, H.; Kreckel, H.; Savin, D. W.; Seely, D. G.; Havener, C. C.

    2008-06-01

    Cross sections of charge transfer for Si3+ ions with atomic hydrogen at collision energies of ≈40-2500eV/u were carried out using a merged-beam technique at the Multicharged Ion Research Facility at Oak Ridge National Laboratory. The data span an energy range in which both molecular orbital close coupling (MOCC) and classical trajectory Monte Carlo (CTMC) calculations are available. The influence of quantum mechanical effects of the ionic core as predicted by MOCC is clearly seen in our results. However, discrepancies between our experiment and MOCC results toward higher collision energies are observed. At energies above 1000 eV/u good agreement is found with CTMC results.

  10. Composition and conductance distributions of single GeSi quantum rings studied by conductive atomic force microscopy combined with selective chemical etching.

    PubMed

    Lv, Y; Cui, J; Jiang, Z M; Yang, X J

    2013-02-15

    Atomic force microscopy imaging combined with selective chemical etching is employed to quantitatively investigate three-dimensional (3D) composition distributions of single GeSi quantum rings (QRs). In addition, the 3D quantitative composition distributions and the corresponding conductance distributions are simultaneously obtained on the same single GeSi QRs by conductive atomic force microscopy combined with selective chemical etching, allowing us to investigate the correlations between the conductance and composition distributions of single QRs. The results show that the QRs' central holes have higher Ge content, but exhibit lower conductance, indicating that the QRs' conductance distribution is not consistent with their composition distribution. By comparing the topography, composition and conductance profiles of the same single QRs before and after different etching processes, it is found that the conductance distributions of GeSi QRs do not vary with the change of composition distribution. Instead, the QRs' conductance distributions are found to be consistent with their topographic shapes, which can be supposed to be due to the shape determined electronic structures.

  11. Sr-Al-Si co-segregated regions in eutectic Si phase of Sr-modified Al-10Si alloy.

    PubMed

    Timpel, M; Wanderka, N; Schlesiger, R; Yamamoto, T; Isheim, D; Schmitz, G; Matsumura, S; Banhart, J

    2013-09-01

    The addition of 200 ppm strontium to an Al-10 wt% Si casting alloy changes the morphology of the eutectic silicon phase from coarse plate-like to fine fibrous networks. In order to clarify this modification mechanism the location of Sr within the eutectic Si phase has been investigated by a combination of high-resolution methods. Whereas three-dimensional atom probe tomography allows us to visualise the distribution of Sr on the atomic scale and to analyse its local enrichment, transmission electron microscopy yields information about the crystallographic nature of segregated regions. Segregations with two kinds of morphologies were found at the intersections of Si twin lamellae: Sr-Al-Si co-segregations of rod-like morphology and Al-rich regions of spherical morphology. Both are responsible for the formation of a high density of multiple twins and promote the anisotropic growth of the eutectic Si phase in specific crystallographic directions during solidification. The experimental findings are related to the previously postulated mechanism of "impurity induced twinning". Copyright © 2012 Elsevier B.V. All rights reserved.

  12. Nucleation and atomic layer reaction in nickel silicide for defect-engineered Si nanochannels.

    PubMed

    Tang, Wei; Picraux, S Tom; Huang, Jian Yu; Gusak, Andriy M; Tu, King-Ning; Dayeh, Shadi A

    2013-06-12

    At the nanoscale, defects can significantly impact phase transformation processes and change materials properties. The material nickel silicide has been the industry standard electrical contact of silicon microelectronics for decades and is a rich platform for scientific innovation at the conjunction of materials and electronics. Its formation in nanoscale silicon devices that employ high levels of strain, intentional, and unintentional twins or grain boundaries can be dramatically different from the commonly conceived bulk processes. Here, using in situ high-resolution transmission electron microscopy (HRTEM), we capture single events during heterogeneous nucleation and atomic layer reaction of nickel silicide at various crystalline boundaries in Si nanochannels for the first time. We show through systematic experiments and analytical modeling that unlike other typical face-centered cubic materials such as copper or silicon the twin defects in NiSi2 have high interfacial energies. We observe that these twin defects dramatically change the behavior of new phase nucleation and can have direct implications for ultrascaled devices that are prone to defects or may utilize them to improve device performance.

  13. Metal-semiconductor interfacial reactions - Ni/Si system

    NASA Technical Reports Server (NTRS)

    Cheung, N. W.; Grunthaner, P. J.; Grunthaner, F. J.; Mayer, J. W.; Ullrich, B. M.

    1981-01-01

    X-ray photoelectron spectroscopy and channeling measurements with MeV He-4(+) ions have been used to probe the structure of the interface in the Ni/Si system. It is found that reactions occur where Ni is deposited on Si at 10 to the -10th torr: Si atoms are displaced from lattice sites, the Ni atoms are in an Si-rich environment, and the Ni/Si interface is graded in composition. Composition gradients are present at both interfaces in the Si/Ni2/Si/Ni system. For the Ni-Si system, cooling the substrate to 100 K slows down the reaction rate. The temperature dependence of the interfacial reactivity indicates the kinetic nature of metal-semiconductor interfaces.

  14. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  15. Atom-Level Understanding of the Sodiation Process in Silicon Anode Material.

    PubMed

    Jung, Sung Chul; Jung, Dae Soo; Choi, Jang Wook; Han, Young-Kyu

    2014-04-03

    Despite the exceptionally large capacities in Li ion batteries, Si has been considered inappropriate for applications in Na ion batteries. We report an atomic-level study on the applicability of a Si anode in Na ion batteries using ab initio molecular dynamics simulations. While crystalline Si is not suitable for alloying with Na atoms, amorphous Si can accommodate 0.76 Na atoms per Si atom, corresponding to a specific capacity of 725 mA h g(-1). Bader charge analyses reveal that the sodiation of an amorphous Si electrode continues until before the local Na-rich clusters containing neutral Na atoms are formed. The amorphous Na0.76Si phase undergoes a volume expansion of 114% and shows a Na diffusivity of 7 × 10(-10) cm(2) s(-1) at room temperature. Overall, the amorphous Si phase turns out quite attractive in performance compared to other alloy-type anode materials. This work suggests that amorphous Si might be a competitive candidate for Na ion battery anodes.

  16. Structure and properties of B20Si-/0/+ clusters

    NASA Astrophysics Data System (ADS)

    Lu, Qi Liang; Luo, Qi Quan; Li, Yi De; Huang, Shou Guo

    2018-06-01

    A global search for the lowest energy structure of B20Si-, B20Si0 and B20Si+ clusters is conducted. Structural transitions at different charge states are observed. B20Si- is a 2D planar configuration with no polygonal holes, and Si atom occupies a peripheral position. B20Si+ adopts a 3D tubular shape, and each Si is bonded with four B atoms. But for B20Si0, competition among quasi-planar, tubular and cage like structures is found. These structures differ greatly from that of pure B21 - cluster. The structural transition may result from changes in the framework of bonding, sp 2 hybridization, and structural mechanics. Some of the clusters' properties including frontier molecular orbital, on-site charge on Si atom, electron density, and magnetism are also discussed.

  17. Analysis conditions of an industrial Al-Mg-Si alloy by conventional and 3D atom probes.

    PubMed

    Danoix, F; Miller, M K; Bigot, A

    2001-10-01

    Industrial 6016 Al-Mg-Si(Cu) alloys are presently regarded as attractive candidates for heat treatable sheet materials. Their mechanical properties can be adjusted for a given application by age hardening of the alloys. The resulting microstructural evolution takes place at the nanometer scale, making the atom probe a well suited instrument to study it. Accuracy of atom probe analysis of these aluminium alloys is a key point for the understanding of the fine scale microstructural evolution. It is known to be strongly dependent on the analysis conditions (such as specimen temperature and pulse fraction) which have been widely studied for ID atom probes. The development of the 3D instruments, as well as the increase of the evaporation pulse repetition rate have led to different analysis conditions, in particular evaporation and detection rates. The influence of various experimental parameters on the accuracy of atom probe data, in particular with regard to hydride formation sensitivity, has been reinvestigated. It is shown that hydrogen contamination is strongly dependent on the electric field at the specimen surface, and that high evaporation rates are beneficial. Conversely, detection rate must be limited to smaller than 0.02 atoms/pulse in order to prevent drastic pile-up effect.

  18. Molecular dynamics modeling of atomic displacement cascades in 3C-SiC: Comparison of interatomic potentials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samolyuk, German D.; Osetskiy, Yury N.; Stoller, Roger E.

    We used molecular dynamics modeling of atomic displacement cascades to characterize the nature of primary radiation damage in 3C-SiC. We demonstrated that the most commonly used interatomic potentials are inconsistent with ab initio calculations of defect energetics. Both the Tersoff potential used in this work and a modified embedded-atom method potential reveal a barrier to recombination of the carbon interstitial and carbon vacancy which is much higher than the density functional theory (DFT) results. The barrier obtained with a newer potential by Gao and Weber is closer to the DFT result. This difference results in significant differences in the cascademore » production of point defects. We have completed both 10 keV and 50 keV cascade simulations in 3C-SiC at a range of temperatures. In contrast to the Tersoff potential, the Gao-Weber potential produces almost twice as many C vacancies and interstitials at the time of maximum disorder (~0.2 ps) but only about 25% more stable defects at the end of the simulation. Only about 20% of the carbon defects produced with the Tersoff potential recombine during the in-cascade annealing phase, while about 60% recombine with the Gao-Weber potential.« less

  19. Molecular dynamics modeling of atomic displacement cascades in 3C-SiC: Comparison of interatomic potentials

    DOE PAGES

    Samolyuk, German D.; Osetskiy, Yury N.; Stoller, Roger E.

    2015-06-03

    We used molecular dynamics modeling of atomic displacement cascades to characterize the nature of primary radiation damage in 3C-SiC. We demonstrated that the most commonly used interatomic potentials are inconsistent with ab initio calculations of defect energetics. Both the Tersoff potential used in this work and a modified embedded-atom method potential reveal a barrier to recombination of the carbon interstitial and carbon vacancy which is much higher than the density functional theory (DFT) results. The barrier obtained with a newer potential by Gao and Weber is closer to the DFT result. This difference results in significant differences in the cascademore » production of point defects. We have completed both 10 keV and 50 keV cascade simulations in 3C-SiC at a range of temperatures. In contrast to the Tersoff potential, the Gao-Weber potential produces almost twice as many C vacancies and interstitials at the time of maximum disorder (~0.2 ps) but only about 25% more stable defects at the end of the simulation. Only about 20% of the carbon defects produced with the Tersoff potential recombine during the in-cascade annealing phase, while about 60% recombine with the Gao-Weber potential.« less

  20. Electronic and thermoelectric properties of atomically thin C3Si3/C and C3Ge3/C superlattices.

    PubMed

    Ali, Muhammad; Pi, Xiaodong; Liu, Yong; Yang, Deren

    2017-12-01

    The nanostructuring of graphene into superlattices offers the possibility of tuning both the electronic and thermal properties of graphene. Using classical and quantum mechanical calculations, we have investigated the electronic and thermoelectric properties of the atomically thin superlattice of C3Si3/C (C3Ge3/C) formed by the incorporation of Si (Ge) atoms into graphene. The bandgap and phonon thermal conductivity of C3Si3/C (C3Ge3/C) are 0.54 (0.51) eV and 15.48 (12.64) Wm-1K-1, respectively, while the carrier mobility of C3Si3/C (C3Ge3/C) is 1.285 x 105 (1.311 x 105) cm2V-1s-1 at 300 K. The thermoelectric figure of merit for C3Si3/C (C3Ge3/C) can be optimized via the tuning of carrier concentration to obtain the prominent ZT value of 1.95 (2.72). © 2017 IOP Publishing Ltd.

  1. C incorporation and segregation during Si 1- yC y/Si( 0 0 1 ) gas-source molecular beam epitaxy from Si 2H 6 and CH 3SiH 3

    NASA Astrophysics Data System (ADS)

    Foo, Y. L.; Bratland, K. A.; Cho, B.; Soares, J. A. N. T.; Desjardins, P.; Greene, J. E.

    2002-08-01

    We have used in situ D 2 temperature-programmed desorption (TPD) to probe C incorporation and surface segregation kinetics, as well as hydrogen desorption pathways, during Si 1- yC y(0 0 1) gas-source molecular beam epitaxy from Si 2H 6/CH 3SiH 3 mixtures at temperatures Ts between 500 and 650 °C. Parallel D 2 TPD results from C-adsorbed Si(0 0 1) wafers exposed to varying CH 3SiH 3 doses serve as reference data. Si 1- yC y(0 0 1) layer spectra consist of three peaks: first-order β 1 at 515 °C and second-order β 2 at 405 °C, due to D 2 desorption from Si monodeuteride and dideuteride phases, as well as a new second-order C-induced γ 1 peak at 480 °C. C-adsorbed Si(0 0 1) samples with very high CH 3SiH 3 exposures yielded a higher-temperature TPD feature, corresponding to D 2 desorption from surface C atoms, which was never observed in Si 1- yC y(0 0 1) layer spectra. The Si 1- yC y(0 0 1) γ 1 peak arises due to desorption from Si monodeuteride species with C backbonds. γ 1 occurs at a lower temperature than β 1 reflecting the lower D-Si * bond strength, where Si * represents surface Si atoms bonded to second-layer C atoms, as a result of charge transfer from dangling bonds. The total integrated monohydride (β 1+γ 1) intensity, and hence the dangling bond density, remains constant with y indicating that C does not deactivate surface dangling bonds as it segregates to the second-layer during Si 1- yC y(0 0 1) growth. Si * coverages increase with y at constant Ts and with Ts at constant y. The positive Ts-dependence shows that C segregation is kinetically limited at Ts⩽650 °C. D 2 desorption activation energies from β 1, γ 1 and β 2 sites are 2.52, 2.22 and 1.88 eV.

  2. The localization and crystallographic dependence of Si suboxide species at the SiO2/Si interface

    NASA Technical Reports Server (NTRS)

    Grunthaner, P. J.; Hecht, M. H.; Grunthaner, F. J.; Johnson, N. M.

    1987-01-01

    X-ray photoemission spectroscopy has been used to examine the localization and crystallographic dependence of Si(+), Si(2+), and Si(3+) suboxide states at the SiO2/Si interface for (100)and (111)-oriented substrates with gate oxide quality thermal oxides. The Si(+) and Si(2+) states are localized within 6-10 A of the interface while the Si(3+) state extends about 30 A into the bulk SiO2. The distribution of Si(+) and Si(2+) states shows a strong crystallographic dependence with Si(2+) dominating on (100) substrates and Si(+) dominating on (111) substrates. This crystallographic dependence is anticipated from consideration of ideal unreconstructed (100) and (111) Si surfaces, suggesting that (1) the Si(+) and Si(2+) states are localized immediately within the first monolayer at the interface and (2) the first few monolayers of substrate Si atoms are not significantly displaced from the bulk. The total number of suboxide states observed at the SiO2/Si interface corresponds to 94 and 83 percent of a monolayer for these (100) and (111) substrates, respectively.

  3. Scanning tunneling microscopy of atomically precise graphene nanoribbons exfoliated onto H:Si(100)

    NASA Astrophysics Data System (ADS)

    Radocea, Adrian; Mehdi Pour, Mohammad; Vo, Timothy; Shekhirev, Mikhail; Sinitskii, Alexander; Lyding, Joseph

    Atomically precise graphene nanoribbons (GNRs) are promising materials for next generation transistors due to their well-controlled bandgaps and the high thermal conductivity of graphene. The solution synthesis of graphene nanoribbons offers a pathway towards scalable manufacturing. While scanning tunneling microscopy (STM) can access size scales required for characterization, solvent residue increases experimental difficulty and precludes band-gap determination via scanning tunneling spectroscopy (STS). Our work addresses this challenge through a dry contact transfer method that cleanly transfers solution-synthesized GNRs onto H:Si(100) under UHV using a fiberglass applicator. The semiconducting silicon surface avoids problems with image charge screening enabling intrinsic bandgap measurements. We characterize the nanoribbons using STM and STS. For chevron GNRs, we find a 1.6 eV bandgap, in agreement with computational modeling, and map the electronic structure spatially with detailed spectra lines and current imaging tunneling spectroscopy. Mapping the electronic structure of graphene nanoribbons is an important step towards taking advantage of the ability to form atomically precise nanoribbons and finely tune their properties.

  4. Universal behavior of surface-dangling bonds in hydrogen-terminated Si, Ge, and Si/Ge nanowires.

    NASA Astrophysics Data System (ADS)

    Nunes, Ricardo; Kagimura, Ricardo; Chacham, Hélio

    2007-03-01

    We report an ab initio study of the electronic properties of surface dangling bond (SDB) states in hydrogen-terminated Si, Ge, and Si/Ge nanowires with diameters between 1 and 2 nm. We find that the charge transition levels ɛ(+/-) of SDB states are deep in the bandgap for Si wires, and shallow (near the valence band edge) for Ge wires. In both Si and Ge wires, the SDB states are localized. We also find that the SDB ɛ(+/-) levels behave as a ``universal" energy reference level among Si, Ge, and Si/Ge wires within a precision of 0.1 eV. By computing the average bewteen the electron affinity and ionization energy in the atomi limit of several atoms from the III, IV and V columns, we conjecture that the universality is a periodic-table atomic property.

  5. Performance and Stability Enhancement of In-Sn-Zn-O TFTs Using SiO2 Gate Dielectrics Grown by Low Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Han, Ju-Hwan; Choi, Wan-Ho; Park, Jozeph; Park, Jin-Seong

    2017-12-13

    Silicon dioxide (SiO 2 ) films were synthesized by plasma-enhanced atomic layer deposition (PEALD) using BTBAS [bis(tertiarybutylamino) silane] as the precursor and O 2 plasma as the reactant, at a temperature range from 50 to 200 °C. While dielectric constant values larger than 3.7 are obtained at all deposition temperatures, the leakage current levels are drastically reduced to below 10 -12 A at temperatures above 150 °C, which are similar to those obtained in thermally oxidized and PECVD grown SiO 2 . Thin film transistors (TFTs) based on In-Sn-Zn-O (ITZO) semiconductors were fabricated using thermal SiO 2 , PECVD SiO 2 , and PEALD SiO 2 grown at 150 °C as the gate dielectrics, and superior device performance and stability are observed in the last case. A linear field effect mobility of 68.5 cm 2 /(V s) and a net threshold voltage shift (ΔV th ) of approximately 1.2 V under positive bias stress (PBS) are obtained using the PEALD SiO 2 as the gate insulator. The relatively high concentration of hydrogen in the PEALD SiO 2 is suggested to induce a high carrier density in the ITZO layer deposited onto it, which results in enhanced charge transport properties. Also, it is most likely that the hydrogen atoms have passivated the electron traps related to interstitial oxygen defects, thus resulting in improved stability under PBS. Although the PECVD SiO 2 contains a hydrogen concentration similar to that of PEALD SiO 2 , its relatively large surface roughness appears to induce scattering effects and the generation of electron traps, which result in inferior device performance and stability.

  6. Characterization of electrical properties in axial Si-Ge nanowire heterojunctions using off-axis electron holography and atom-probe tomography

    DOE PAGES

    Gan, Zhaofeng; Perea, Daniel E.; Yoo, Jinkyoung; ...

    2016-09-13

    Doped Si-Ge nanowire (NW) heterojunctions were grown using the vapor-liquid-solid method with AuGa and Au catalyst particles. Transmission electron microscopy and off-axis electron holography (EH) were used to characterize the nanostructure and to measure the electrostatic potential profile across the junction resulting from electrically active dopants, while atom-probe tomography (APT) was used to determine the Si, Ge and total (active and inactive) dopant concentration profiles. A comparison of the measured potential profile with simulations indicated that Ga dopants unintentionally introduced during AuGa catalyst growth were electronically inactive despite APT results that showed considerable amounts of Ga in the Si region.more » 10% P in Ge and 100% B in Si were estimated to be activated, which was corroborated by in situ electron-holography biasing experiments. This combination of EH, APT, in situ biasing and simulations allows a better knowledge and understanding of the electrically active dopant distributions in NWs.« less

  7. 570 mV photovoltage, stabilized n-Si/CoO x heterojunction photoanodes fabricated using atomic layer deposition

    DOE PAGES

    Zhou, Xinghao; Liu, Rui; Sun, Ke; ...

    2016-01-08

    Heterojunction photoanodes, consisting of n-type crystalline Si(100) substrates coated with a thin ~50 nm film of cobalt oxide fabricated using atomic-layer deposition (ALD), exhibited photocurrent-onset potentials of -205 ± 20 mV relative to the formal potential for the oxygen-evolution reaction (OER), ideal regenerative solar-to-O 2(g) conversion efficiencies of 1.42 ± 0.20%, and operated continuously for over 100 days (~2500 h) in 1.0 M KOH(aq) under simulated solar illumination. The ALD CoO x thin film: (i) formed a heterojunction with the n-Si(100) that provided a photovoltage of 575 mV under 1 Sun of simulated solar illumination; (ii) stabilized Si photoanodes thatmore » are otherwise unstable when operated in aqueous alkaline electrolytes; and, (iii) catalyzed the oxidation of water, thereby reducing the kinetic overpotential required for the reaction and increasing the overall efficiency relative to electrodes that do not have an inherently electrocatalytic coating. The process provides a simple, effective method for enabling the use of planar n-Si(100) substrates as efficient and durable photoanodes in fully integrated, photovoltaic-biased solar fuels generators.« less

  8. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    NASA Astrophysics Data System (ADS)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas; Fadil, Ahmed; Syväjärvi, Mikael; Petersen, Paul Michael; Ou, Haiyan

    2016-07-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface for TiO2 deposition, a three-step cleaning procedure was introduced after RIE etching. The morphology of anatase TiO2 indicates that the nano-textured substrate has a much higher surface nucleated grain density than a flat substrate at the beginning of the deposition process. The corresponding reflectance increases with TiO2 thickness due to increased surface diffuse reflection. The passivation effect of ALD TiO2 thin film on the nano-textured fluorescent 6H-SiC sample was also investigated and a PL intensity improvement of 8.05% was obtained due to the surface passivation.

  9. Excellent c-Si surface passivation by low-temperature atomic layer deposited titanium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Baochen, E-mail: liaobaochen@nus.edu.sg; Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576; A*STAR Institute of Materials Research and Engineering

    2014-06-23

    In this work, we demonstrate that thermal atomic layer deposited (ALD) titanium oxide (TiO{sub x}) films are able to provide a—up to now unprecedented—level of surface passivation on undiffused low-resistivity crystalline silicon (c-Si). The surface passivation provided by the ALD TiO{sub x} films is activated by a post-deposition anneal and subsequent light soaking treatment. Ultralow effective surface recombination velocities down to 2.8 cm/s and 8.3 cm/s, respectively, are achieved on n-type and p-type float-zone c-Si wafers. Detailed analysis confirms that the TiO{sub x} films are nearly stoichiometric, have no significant level of contaminants, and are of amorphous nature. The passivation is foundmore » to be stable after storage in the dark for eight months. These results demonstrate that TiO{sub x} films are also capable of providing excellent passivation of undiffused c-Si surfaces on a comparable level to thermal silicon oxide, silicon nitride, and aluminum oxide. In addition, it is well known that TiO{sub x} has an optimal refractive index of 2.4 in the visible range for glass encapsulated solar cells, as well as a low extinction coefficient. Thus, the results presented in this work could facilitate the re-emergence of TiO{sub x} in the field of high-efficiency silicon wafer solar cells.« less

  10. Atomic-order thermal nitridation of group IV semiconductors for ultra-large-scale integration

    NASA Astrophysics Data System (ADS)

    Murota, Junichi; Le Thanh, Vinh

    2015-03-01

    One of the main requirements for ultra-large-scale integration (ULSI) is atomic-order control of process technology. Our concept of atomically controlled processing for group IV semiconductors is based on atomic-order surface reaction control in Si-based CVD epitaxial growth. On the atomic-order surface nitridation of a few nm-thick Ge/about 4 nm-thick Si0.5Ge0.5/Si(100) by NH3, it is found that N atoms diffuse through nm-order thick Ge layer into Si0.5Ge0.5/Si(100) substrate and form Si nitride, even at 500 °C. By subsequent H2 heat treatment, although N atomic amount in Ge layer is reduced drastically, the reduction of the Si nitride is slight. It is suggested that N diffusion in Ge layer is suppressed by the formation of Si nitride and that Ge/atomic-order N layer/Si1-xGex/Si (100) heterostructure is formed. These results demonstrate the capability of CVD technology for atomically controlled nitridation of group IV semiconductors for ultra-large-scale integration. Invited talk at the 7th International Workshop on Advanced Materials Science and Nanotechnology IWAMSN2014, 2-6 November, 2014, Ha Long, Vietnam.

  11. The (2×2) reconstructions on the surface of cobalt silicides: Atomic configuration at the annealed Co/Si(111) interface

    NASA Astrophysics Data System (ADS)

    Kotlyar, V. G.; Alekseev, A. A.; Olyanich, D. A.; Utas, T. V.; Zotov, A. V.; Saranin, A. A.

    2017-08-01

    We have used scanning tunneling microscopy (STM) and ab initio total-energy calculations to characterize surface and interfacial structure of Co-Si(111) system. It has been found experimentally that two different types of the (2×2) surface structures occur. The coexistence of two phases is demonstrated by the example of STM image of the surface formed at the early stages of cobalt silicide formation under moderate annealing temperatures (500 °C). The measured height difference between the adjacent (2×2) reconstructed patches equal to about 1.0 Å (as determined from the filled-state STM images). In addition, the shift of the atomic rows by half of the row spacing is observed. Two adatom models of the (2×2) surface structures are developed. According to our data, these structures are assigned to CaF2-type CoSi2 and CsCl-type CoSi with a (2×2) array of Si adatoms on their surfaces. If the latter is the case, it has а coherent double interface CoSi/CoSi2/Si(111) with a two-layer CoSi2. Both of these interfaces are characterized by the eightfold cobalt coordination and incorporate a grown-in stacking fault.

  12. The denitrification properties of soils under three different shelterbelts and in adjoining cultivated fields

    NASA Astrophysics Data System (ADS)

    Szajdak, L.; Augustin, J.; Gaca, W.; Meysner, T.; Styla, K.

    2009-04-01

    The investigations were carried out in Agroecological Landscape Park in Turew (40 km South-West of Poznań). Intensively agricultural is observed in this region. Characteristic features of this landscape are shelterbelts created in the XIX century by general Dezydery Chlapowski. All shelterbelts and adjoining cultivated fields were introduced on Hapludalfs soils. Three shelterbelts and adjoining cultivated fields were selected for this experiment. Two of them were created approximately 200 years ago. The first shelterbelt consists mainly of Robinia pseudoacacia and small admixture Quercus robur and Quercus petraea. The second one consists of Crataegus monogyna. The third one - a young shelterbelt was created in 1993 and consists of several species of plants such as: Quercus petraea and Quercus robur, Larix deciduas, Pinus sylvestris, Sorbus aucuparia, Sorbus intermedia, Tilia cordata and some other tree species. On soils were determinated: activity of nitrate reductase, activity of peroxidase, activity of urease and activity of xantine oxidase, total iron, Fe+3, Fe+2, total nitrogen, N-NH4+, N-NO3-, total organic carbon (TOC), dissolved organic carbon (DOC), current N2O, N2 and CH4 flux rates, and pH (in 1M KCl). The contents of total organic carbon, dissolved organic carbon, total nitrogen, N-NO3- and N-NH4+ were higher in the soil under old shelterbelts (Robinia pseudoacacia and Crataegus monogyna) than under young one. It points out the highest accumulation of organic matter in soils under two old shelterbelts. The same also applied to the current N2O and N2 fluxes. Unlike this CH4exchange was just low everywhere. Nitrate reductase, urease, xantine oxidase and peroxidase activities participates in the cycle of nitrogen and are sensitive on redox potential in soil. The highest activity of nitrate reductase and xantine oxidase activity were observed in young shelterbelt. Activity of urease and activity of peroxidase were higher under two old shelterbelts Robinia

  13. A stable silicon(0) compound with a Si=Si double bond.

    PubMed

    Wang, Yuzhong; Xie, Yaoming; Wei, Pingrong; King, R Bruce; Schaefer, Henry F; von R Schleyer, Paul; Robinson, Gregory H

    2008-08-22

    Dative, or nonoxidative, ligand coordination is common in transition metal complexes; however, this bonding motif is rare in compounds of main group elements in the formal oxidation state of zero. Here, we report that the potassium graphite reduction of the neutral hypervalent silicon-carbene complex L:SiCl4 {where L: is:C[N(2,6-Pri2-C6H3)CH]2 and Pri is isopropyl} produces L:(Cl)Si-Si(Cl):L, a carbene-stabilized bis-silylene, and L:Si=Si:L, a carbene-stabilized diatomic silicon molecule with the Si atoms in the formal oxidation state of zero. The Si-Si bond distance of 2.2294 +/- 0.0011 (standard deviation) angstroms in L:Si=Si:L is consistent with a Si=Si double bond. Complementary computational studies confirm the nature of the bonding in L:(Cl)Si-Si(Cl):L and L:Si=Si:L.

  14. Location and Electronic Nature of Phosphorus in the Si Nanocrystal − SiO2 System

    PubMed Central

    König, Dirk; Gutsch, Sebastian; Gnaser, Hubert; Wahl, Michael; Kopnarski, Michael; Göttlicher, Jörg; Steininger, Ralph; Zacharias, Margit; Hiller, Daniel

    2015-01-01

    Up to now, no consensus exists about the electronic nature of phosphorus (P) as donor for SiO2-embedded silicon nanocrystals (SiNCs). Here, we report on hybrid density functional theory (h-DFT) calculations of P in the SiNC/SiO2 system matching our experimental findings. Relevant P configurations within SiNCs, at SiNC surfaces, within the sub-oxide interface shell and in the SiO2 matrix were evaluated. Atom probe tomography (APT) and its statistical evaluation provide detailed spatial P distributions. For the first time, we obtain ionisation states of P atoms in the SiNC/SiO2 system at room temperature using X-ray absorption near edge structure (XANES) spectroscopy, eliminating structural artefacts due to sputtering as occurring in XPS. K energies of P in SiO2 and SiNC/SiO2 superlattices (SLs) were calibrated with non-degenerate P-doped Si wafers. results confirm measured core level energies, connecting and explaining XANES spectra with h-DFT electronic structures. While P can diffuse into SiNCs and predominantly resides on interstitial sites, its ionization probability is extremely low, rendering P unsuitable for introducing electrons into SiNCs embedded in SiO2. Increased sample conductivity and photoluminescence (PL) quenching previously assigned to ionized P donors originate from deep defect levels due to P. PMID:25997696

  15. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    NASA Astrophysics Data System (ADS)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  16. Atomic and electronic structures of Si(1 1 1)-\\left(\\sqrt{\\mathbf{3}}\\times\\sqrt{\\mathbf{3}}\\right)\\text{R}\\mathbf{3}{{\\mathbf{0}}^{\\circ}} -Au and (6 × 6)-Au surfaces

    NASA Astrophysics Data System (ADS)

    Patterson, C. H.

    2015-12-01

    Si(1 1 1)-Au surfaces with around one monolayer of Au exhibit many ordered structures and structures containing disordered domain walls. Hybrid density functional theory (DFT) calculations presented here reveal the origin of these complex structures and tendency to form domain walls. The conjugate honeycomb chain trimer (CHCT) structure of the \\sqrt{3} -Au phase contains Si atoms with non-bonding surface states which can bind Au atoms in pairs in interstices of the CHCT structure and make this surface metallic. Si adatoms adsorbed on the \\sqrt{3} -Au surface induce a gapped surface through interaction with the non-bonding states. Adsorption of extra Au atoms in interstitial sites of the \\sqrt{3} -Au surface is stabilized by interaction with the non-bonding orbitals and leads to higher coverage ordered structures including the ≤ft(6× 6\\right) -Au phase. Extra Au atoms bound in interstitial sites of the \\sqrt{3} -Au surface result in top layer Si atoms with an SiAu4 butterfly wing configuration. The structure of a ≤ft(6× 6\\right) -Au phase, whose in-plane top atomic layer positions were previously determined by an electron holography technique (Grozea et al 1998 Surf. Sci. 418 32), is calculated using total energy minimization. The Patterson function for this structure is calculated and is in good agreement with data from an in-plane x-ray diffraction study (Dornisch et al 1991 Phys. Rev. B 44 11221). Filled and empty state scanning tunneling microscopy (STM) images are calculated for domain walls and the ≤ft(6× 6\\right) -Au structure. The ≤ft(6× 6\\right) -Au phase is 2D chiral and this is evident in computed and actual STM images. ≤ft(6× 6\\right) -Au and domain wall structures contain the SiAu4 motif with a butterfly wing shape. Chemical bonding within the Si-Au top layers of the \\sqrt{3} -Au and ≤ft(6× 6\\right) -Au surfaces is analyzed and an explanation for the SiAu4 motif structure is given.

  17. The roles of Eu during the growth of eutectic Si in Al-Si alloys

    PubMed Central

    Li, Jiehua; Hage, Fredrik; Wiessner, Manfred; Romaner, Lorenz; Scheiber, Daniel; Sartory, Bernhard; Ramasse, Quentin; Schumacher, Peter

    2015-01-01

    Controlling the growth of eutectic Si and thereby modifying the eutectic Si from flake-like to fibrous is a key factor in improving the properties of Al-Si alloys. To date, it is generally accepted that the impurity-induced twinning (IIT) mechanism and the twin plane re-entrant edge (TPRE) mechanism as well as poisoning of the TPRE mechanism are valid under certain conditions. However, IIT, TPRE or poisoning of the TPRE mechanism cannot be used to interpret all observations. Here, we report an atomic-scale experimental and theoretical investigation on the roles of Eu during the growth of eutectic Si in Al-Si alloys. Both experimental and theoretical investigations reveal three different roles: (i) the adsorption at the intersection of Si facets, inducing IIT mechanism, (ii) the adsorption at the twin plane re-entrant edge, inducing TPRE mechanism or poisoning of the TPRE mechanism, and (iii) the segregation ahead of the growing Si twins, inducing a solute entrainment within eutectic Si. This investigation not only demonstrates a direct experimental support to the well-accepted poisoning of the TPRE and IIT mechanisms, but also provides a full picture about the roles of Eu atoms during the growth of eutectic Si, including the solute entrainment within eutectic Si. PMID:26328541

  18. The roles of Eu during the growth of eutectic Si in Al-Si alloys.

    PubMed

    Li, Jiehua; Hage, Fredrik; Wiessner, Manfred; Romaner, Lorenz; Scheiber, Daniel; Sartory, Bernhard; Ramasse, Quentin; Schumacher, Peter

    2015-09-02

    Controlling the growth of eutectic Si and thereby modifying the eutectic Si from flake-like to fibrous is a key factor in improving the properties of Al-Si alloys. To date, it is generally accepted that the impurity-induced twinning (IIT) mechanism and the twin plane re-entrant edge (TPRE) mechanism as well as poisoning of the TPRE mechanism are valid under certain conditions. However, IIT, TPRE or poisoning of the TPRE mechanism cannot be used to interpret all observations. Here, we report an atomic-scale experimental and theoretical investigation on the roles of Eu during the growth of eutectic Si in Al-Si alloys. Both experimental and theoretical investigations reveal three different roles: (i) the adsorption at the intersection of Si facets, inducing IIT mechanism, (ii) the adsorption at the twin plane re-entrant edge, inducing TPRE mechanism or poisoning of the TPRE mechanism, and (iii) the segregation ahead of the growing Si twins, inducing a solute entrainment within eutectic Si. This investigation not only demonstrates a direct experimental support to the well-accepted poisoning of the TPRE and IIT mechanisms, but also provides a full picture about the roles of Eu atoms during the growth of eutectic Si, including the solute entrainment within eutectic Si.

  19. The roles of Eu during the growth of eutectic Si in Al-Si alloys

    NASA Astrophysics Data System (ADS)

    Li, Jiehua; Hage, Fredrik; Wiessner, Manfred; Romaner, Lorenz; Scheiber, Daniel; Sartory, Bernhard; Ramasse, Quentin; Schumacher, Peter

    2015-09-01

    Controlling the growth of eutectic Si and thereby modifying the eutectic Si from flake-like to fibrous is a key factor in improving the properties of Al-Si alloys. To date, it is generally accepted that the impurity-induced twinning (IIT) mechanism and the twin plane re-entrant edge (TPRE) mechanism as well as poisoning of the TPRE mechanism are valid under certain conditions. However, IIT, TPRE or poisoning of the TPRE mechanism cannot be used to interpret all observations. Here, we report an atomic-scale experimental and theoretical investigation on the roles of Eu during the growth of eutectic Si in Al-Si alloys. Both experimental and theoretical investigations reveal three different roles: (i) the adsorption at the intersection of Si facets, inducing IIT mechanism, (ii) the adsorption at the twin plane re-entrant edge, inducing TPRE mechanism or poisoning of the TPRE mechanism, and (iii) the segregation ahead of the growing Si twins, inducing a solute entrainment within eutectic Si. This investigation not only demonstrates a direct experimental support to the well-accepted poisoning of the TPRE and IIT mechanisms, but also provides a full picture about the roles of Eu atoms during the growth of eutectic Si, including the solute entrainment within eutectic Si.

  20. Dual-Beam Atom Laser Driven by Spinor Dynamics

    NASA Technical Reports Server (NTRS)

    Thompson, Robert; Lundblad, Nathan; Maleki, Lute; Aveline, David

    2007-01-01

    An atom laser now undergoing development simultaneously generates two pulsed beams of correlated Rb-87 atoms. (An atom laser is a source of atoms in beams characterized by coherent matter waves, analogous to a conventional laser, which is a source of coherent light waves.) The pumping mechanism of this atom laser is based on spinor dynamics in a Bose-Einstein condensate. By virtue of the angular-momentum conserving collisions that generate the two beams, the number of atoms in one beam is correlated with the number of atoms in the other beam. Such correlations are intimately linked to entanglement and squeezing in atomic ensembles, and atom lasers like this one could be used in exploring related aspects of Bose-Einstein condensates, and as components of future sensors relying on atom interferometry. In this atom-laser apparatus, a Bose-Einstein condensate of about 2 x 10(exp 6) Rb-87 atoms at a temperature of about 120 micro-K is first formed through all-optical means in a relatively weak singlebeam running-wave dipole trap that has been formed by focusing of a CO2-laser beam. By a technique that is established in the art, the trap is loaded from an ultrahigh-vacuum magnetooptical trap that is, itself, loaded via a cold atomic beam from an upstream two-dimensional magneto-optical trap that resides in a rubidium-vapor cell that is differentially pumped from an adjoining vacuum chamber, wherein are performed scientific observations of the beams ultimately generated by the atom laser.

  1. Vibrational spectra and structures of neutral Si(m)C(n) clusters (m + n = 6): sequential doping of silicon clusters with carbon atoms.

    PubMed

    Savoca, Marco; Lagutschenkov, Anita; Langer, Judith; Harding, Dan J; Fielicke, André; Dopfer, Otto

    2013-02-14

    Vibrational spectra of mixed silicon carbide clusters Si(m)C(n) with m + n = 6 in the gas phase are obtained by resonant infrared-vacuum-ultraviolet two-color ionization (IR-UV2CI for n ≤ 2) and density functional theory (DFT) calculations. Si(m)C(n) clusters are produced in a laser vaporization source, in which the silicon plasma reacts with methane. Subsequently, they are irradiated with tunable IR light from an IR free electron laser before they are ionized with UV photons from an F(2) laser. Resonant absorption of one or more IR photons leads to an enhanced ionization efficiency for Si(m)C(n) and provides the size-specific IR spectra. IR spectra measured for Si(6), Si(5)C, and Si(4)C(2) are assigned to their most stable isomers by comparison with calculated linear absorption spectra. The preferred Si(m)C(n) structures with m + n = 6 illustrate the systematic transition from chain-like geometries for bare C(6) to three-dimensional structures for bare Si(6). In contrast to bulk SiC, carbon atom segregation is observed already for the smallest n (n = 2).

  2. Highly contaminated areas as sources of pollution for adjoining ecosystems: The case of Augusta Bay (Central Mediterranean).

    PubMed

    Di Leonardo, R; Mazzola, A; Tramati, C D; Vaccaro, A; Vizzini, S

    2014-12-15

    An assessment of trace element and polycyclic aromatic hydrocarbon (PAH) contamination based on surface sediments collected in summer 2012 was carried out in Priolo Bay adjoining one of the most polluted areas of the Mediterranean Sea, the industrial Augusta harbour (Italy, Central Mediterranean). Inorganic and organic contaminants were generally not remarkable. Occasional elevated concentrations of Hg, Cd, Ni and PAHs exceeding sediment quality guidelines were detected in the northern sector of Priolo Bay, close to Augusta harbour, possibly as a result of water drainage of industrialised and urbanised areas and/or potential direct export of contaminated material from Augusta harbour, whose influence on the adjoining Priolo Bay ecosystem cannot be ruled out. By domino effect, Priolo sediments may therefore become a potential source of pollutants and may represent a threat to the biota. Copyright © 2014 Elsevier Ltd. All rights reserved.

  3. Redetermination of clinobaryl-ite, BaBe(2)Si(2)O(7).

    PubMed

    Domizio, Adrien J Di; Downs, Robert T; Yang, Hexiong

    2012-10-01

    Clinobaryl-ite, ideally BaBe(2)Si(2)O(7) (chemical name barium diberyllium disilicate), is a sorosilicate mineral and dimorphic with baryl-ite. It belongs to a group of compounds characterized by the general formula BaM(2+) (2)Si(2)O(7), with M(2+) = Be, Mg, Fe, Mn, Zn, Co, or Cu, among which the Be-, Fe-, and Cu-members have been found in nature. The crystal structure of clinobaryl-ite has been re-examined in this study based on single-crystal X-ray diffraction data collected from a natural sample from the type locality (Khibiny Massif, Kola Peninsula, Russia). The structure of clinobaryl-ite can be considered as a framework of BeO(4) and SiO(4) tetra-hedra, with one of the O atoms coordinated to two Be and one Si, one coordinated to two Si, and two O atoms coordinated to one Si and one Be atom. The BeO(4) tetra-hedra share corners, forming chains parallel to the c axis, which are inter-linked by the Si(2)O(7) units oriented parallel to the a axis. The Ba(2+) cations (site symmetry m..) are in the framework channels and are coordinated by eleven O atoms in form of an irregular polyhedron. The Si-O(br) (bridging O atom, at site symmetry m..) bond length, the Si-O(nbr) (non-bridging O atoms) bond lengths, and the Si-O-Si angle within the Si(2)O(7) unit are in marked contrast to the corresponding values determined in the previous study [Krivovichev et al. (2004 ▶). N. Jb. Miner. Mh. pp. 373-384].

  4. Grown from lithium flux, the ErCo5Si(3.17) silicide is a combination of disordered derivatives of the UCo5Si3 and Yb6Co30P19 structure types.

    PubMed

    Stetskiv, Andrij; Rozdzynska-Kielbik, Beata; Misztal, Renata; Pavlyuk, Volodymyr

    2015-06-01

    A ternary hexaerbium triacontacobalt enneakaidecasilicide, ErCo5Si(3.17), crystallizes as a combination of disordered variants of the hexagonal UCo5Si3 (P6₃/m) and Yb6Co30P19 (P6) structure types and is closely related to the Sc6Co30Si19 and Ce6Rh30Si19 types. The Er, Co and three of the Si atoms occupy sites of m.. symmetry and a fourth Si atom occupies a site of -6.. symmetry. The environment of the Er atom is a 21-vertex pseudo-Frank-Kasper polyhedron. Trigonal prismatic coordination is observed for the Si atoms. The Co atoms are enclosed in heavily deformed cuboctahedra and 11-vertex polyhedra. Crystallochemistry analysis and the data from electronic structure calculations (TB-LMTO-ASA) suggest that the Er atoms form positively charged cations which compensate the negative charge of the [Co12Si9](m-) polyanions.

  5. Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.

    PubMed

    Ferrari, S; Fanciulli, M

    2006-08-03

    We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.

  6. On the interplay between Si(110) epilayer atomic roughness and subsequent 3C-SiC growth direction

    NASA Astrophysics Data System (ADS)

    Khazaka, Rami; Michaud, Jean-François; Vennéguès, Philippe; Nguyen, Luan; Alquier, Daniel; Portail, Marc

    2016-11-01

    In this contribution, we performed the growth of a 3C-SiC/Si/3C-SiC layer stack on a Si(001) substrate by means of chemical vapor deposition. We show that, by tuning the growth conditions, the 3C-SiC epilayer can be grown along either the [111] direction or the [110] direction. The key parameter for the growth of the desired 3C-SiC orientation on the Si(110)/3C-SiC(001)/Si(001) heterostructure is highlighted and is linked to the Si epilayer surface morphology. The epitaxial relation between the layers has been identified using X-ray diffraction and transmission electron microscopy (TEM). We showed that, regardless of the top 3C-SiC epilayer orientation, domains rotated by 90° around the growth direction are present in the epilayer. Furthermore, the difference between the two 3C-SiC orientations was investigated by means of high magnification TEM. The results indicate that the faceted Si(110) epilayer surface morphology results in a (110)-oriented 3C-SiC epilayer, whereas a flat hetero-interface has been observed between 3C-SiC(111) and Si(110). The control of the top 3C-SiC growth direction can be advantageous for the development of new micro-electro-mechanical systems.

  7. Ripple formation on atomically flat cleaved Si surface with roughness of 0.038 nm rms by low-energy Ar{sup 1+} ion bombardment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pahlovy, Shahjada A.; Mahmud, S. F.; Yanagimoto, K.

    The authors have conducted research regarding ripple formation on an atomically flat cleaved Si surface by low-energy Ar{sup +} ion bombardment. The cleaved atomically flat and smooth plane of a Si wafer was obtained by cutting vertically against the orientation of a Si (100) wafer. Next, the cleaved surface was sputtered by a 1 keV Ar{sup +} ion beam at ion-incidence angles of 0 deg., 60 deg., 70 deg., and 80 deg. The results confirm the successful ripple formation at ion-incidence angles of 60 deg. - 80 deg. and that the wavelength of the ripples increases with the increase ofmore » the ion-incidence angle, as well as the inverse of ion doses. The direction of the ripple also changes from perpendicular to parallel to the projection of the ion-beam direction along the surface with the increasing ion-incidence angle. The authors have also observed the dose effects on surface roughness of cleaved Si surface at the ion-incidence angle of 60 deg., where the surface roughness increases with the increased ion dose. Finally, to understand the roughening mechanism, the authors studied the scaling behavior, measured the roughness exponent {alpha}, and compared the evolution of scaling regimes with Cuerno's one-dimensional simulation results.« less

  8. Crystal structure of the ternary silicide Gd2Re3Si5.

    PubMed

    Fedyna, Vitaliia; Kozak, Roksolana; Gladyshevskii, Roman

    2014-12-01

    A single crystal of the title compound, the ternary silicide digadolinium trirhenium penta-silicide, Gd2Re3Si5, was isolated from an alloy of nominal composition Gd20Re30Si50 synthesized by arc melting and investigated by X-ray single-crystal diffraction. Its crystal structure belongs to the U2Mn3Si5 structure type. All atoms in the asymmetric lie on special positions. The Gd site has site symmetry m..; the two Mn atoms have site symmetries m.. and 2.22; the three Si atoms have site symmetries m.., ..2 and 4.. . The coordination polyhedra of the Gd atoms have 21 vertices, while those of the Re atoms are cubo-octa-hedra and 13-vertex polyhedra. The Si atoms are arranged as tricapped trigonal prisms, bicapped square anti-prisms, or 11-vertex polyhedra. The crystal structure of the title compound is also related to the structure types CaBe2Ge2 and W5Si3. It can be represented as a stacking of Gd-centred polyhedra of composition [GdSi9]. The Re atoms form infinite chains with an Re-Re distance of 2.78163 (5) Å and isolated squares with an Re-Re distance of 2.9683 (6) Å.

  9. Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns

    NASA Astrophysics Data System (ADS)

    Miyano, Yumiko; Narasaki, Ryota; Ichikawa, Takashi; Fukumoto, Atsushi; Aiso, Fumiki; Tamaoki, Naoki

    2018-06-01

    A multiscale simulation model is developed for optimizing the parameters of SiO2 plasma-enhanced atomic layer deposition of high-aspect-ratio hole patterns in three-dimensional (3D) stacked memory. This model takes into account the diffusion of a precursor in a reactor, that in holes, and the adsorption onto the wafer. It is found that the change in the aperture ratio of the holes on the wafer affects the concentration of the precursor near the top of the wafer surface, hence the deposition profile in the hole. The simulation results reproduced well the experimental results of the deposition thickness for the various hole aperture ratios. By this multiscale simulation, we can predict the deposition profile in a high-aspect-ratio hole pattern in 3D stacked memory. The atomic layer deposition parameters for conformal deposition such as precursor feeding time and partial pressure of precursor for wafers with various hole aperture ratios can be estimated.

  10. Experimental demonstration of single electron transistors featuring SiO{sub 2} plasma-enhanced atomic layer deposition in Ni-SiO{sub 2}-Ni tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karbasian, Golnaz, E-mail: Golnaz.Karbasian.1@nd.edu; McConnell, Michael S.; Orlov, Alexei O.

    The authors report the use of plasma-enhanced atomic layer deposition (PEALD) to fabricate single-electron transistors (SETs) featuring ultrathin (≈1 nm) tunnel-transparent SiO{sub 2} in Ni-SiO{sub 2}-Ni tunnel junctions. They show that, as a result of the O{sub 2} plasma steps in PEALD of SiO{sub 2}, the top surface of the underlying Ni electrode is oxidized. Additionally, the bottom surface of the upper Ni layer is also oxidized where it is in contact with the deposited SiO{sub 2}, most likely as a result of oxygen-containing species on the surface of the SiO{sub 2}. Due to the presence of these surface parasitic layersmore » of NiO, which exhibit features typical of thermally activated transport, the resistance of Ni-SiO{sub 2}-Ni tunnel junctions is drastically increased. Moreover, the transport mechanism is changed from quantum tunneling through the dielectric barrier to one consistent with thermally activated resistors in series with tunnel junctions. The reduction of NiO to Ni is therefore required to restore the metal-insulator-metal (MIM) structure of the junctions. Rapid thermal annealing in a forming gas ambient at elevated temperatures is presented as a technique to reduce both parasitic oxide layers. This method is of great interest for devices that rely on MIM tunnel junctions with ultrathin barriers. Using this technique, the authors successfully fabricated MIM SETs with minimal trace of parasitic NiO component. They demonstrate that the properties of the tunnel barrier in nanoscale tunnel junctions (with <10{sup −15} m{sup 2} in area) can be evaluated by electrical characterization of SETs.« less

  11. Spatially confined synthesis of SiOx nano-rod with size-controlled Si quantum dots in nano-porous anodic aluminum oxide membrane.

    PubMed

    Pai, Yi-Hao; Lin, Gong-Ru

    2011-01-17

    By depositing Si-rich SiOx nano-rod in nano-porous anodic aluminum oxide (AAO) membrane using PECVD, the spatially confined synthesis of Si quantum-dots (Si-QDs) with ultra-bright photoluminescence spectra are demonstrated after low-temperature annealing. Spatially confined SiOx nano-rod in nano-porous AAO membrane greatly increases the density of nucleated positions for Si-QD precursors, which essentially impedes the route of thermally diffused Si atoms and confines the degree of atomic self-aggregation. The diffusion controlled growth mechanism is employed to determine the activation energy of 6.284 kJ mole(-1) and diffusion length of 2.84 nm for SiO1.5 nano-rod in nano-porous AAO membrane. HRTEM results verify that the reduced geometric dimension of the SiOx host matrix effectively constrain the buried Si-QD size at even lower annealing temperature. The spatially confined synthesis of Si-QD essentially contributes the intense PL with its spectral linewidth shrinking from 210 to 140 nm and its peak intensity enhancing by two orders of magnitude, corresponding to the reduction on both the average Si-QD size and its standard deviation from 2.6 to 2.0 nm and from 25% to 12.5%, respectively. The red-shifted PL wavelength of the Si-QD reveals an inverse exponential trend with increasing temperature of annealing, which is in good agree with the Si-QD size simulation via the atomic diffusion theory.

  12. The transformation of nitrogen in soil under Robinia Pseudacacia shelterbelt and in adjoining cultivated field

    NASA Astrophysics Data System (ADS)

    Szajdak, L.; Gaca, W.

    2009-04-01

    The shelterbelts perform more than twenty different functions favorable to the environment, human economy, health and culture. The most important for agricultural landscape is increase of water retention, purification of ground waters and prevent of pollution spread in the landscape, restriction of wind and water erosion effects, isolation of polluting elements in the landscape, preservation of biological diversity in agricultural areas and mitigation of effects of unfavorable climatic phenomena. Denitrification is defined as the reduction of nitrate or nitrite coupled to electron transport phosphorylation resulting in gaseous N either as molecular N2 or as an oxide of N. High content of moisture, low oxygen, neutral and basic pH favour the denitrification. Nitrate reductase is an important enzyme involved in the process of denitrification. The reduction of nitrate to nitrite is catalyzed by nitrate reductase. Nitrite reductase is catalyzed reduction nitrite to nitrous oxide. The conversion of N2O to N2 is catalyzed by nitrous oxide reductase. This process leads to the lost of nitrogen in soil mainly in the form of N2 and N2O. Nitrous oxide is a greenhouse gas which cause significant depletion of the Earth's stratospheric ozone layer. The investigations were carried out in Dezydery Chlapowski Agroecological Landscape Park in Turew (40 km South-West of Poznań, West Polish Lowland). Our investigations were focused on the soils under Robinia pseudacacia shelterbelt and in adjoining cultivated field. The afforestation was created 200 years ago and it is consist of mainly Robinia pseudacacia with admixture of Quercus petraea and Quercus robur. This shelterbelt and adjoining cultivated field are located on grey-brown podzolic soil. The aim of this study is to present information on the changes of nitrate reductase activity in soil with admixture urea (organic form of nitrogen) in two different concentrations 0,25% N and 0,5% N. Our results have shown that this process

  13. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  14. Homo-endotaxial one-dimensional Si nanostructures

    DOE PAGES

    Song, Jiaming; Hudak, Bethany M.; Sims, Hunter; ...

    2017-11-29

    One-dimensional (1D) nanostructures are highly sought after, both for their novel electronic properties as well as for their improved functionality. However, due to their nanoscale dimensions, these properties are significantly affected by the environment in which they are embedded. Here in this paper, we report on the creation of 1D homo-endotaxial Si nanostructures, i.e. 1D Si nanostructures with a lattice structure that is uniquely different from the Si diamond lattice in which they are embedded. We use scanning tunneling microscopy and spectroscopy, scanning transmission electron microscopy, density functional theory, and conductive atomic force microscopy to elucidate their formation and properties.more » Depending on kinetic constraints during growth, they can be prepared as endotaxial 1D Si nanostructures completely embedded in crystalline Si, or underneath a stripe of amorphous Si containing a large concentration of Bi atoms. Lastly, these homo-endotaxial 1D Si nanostructures have the potential to be useful components in nanoelectronic devices based on the technologically mature Si platform.« less

  15. LiBSi2: a tetrahedral semiconductor framework from boron and silicon atoms bearing lithium atoms in the channels.

    PubMed

    Zeilinger, Michael; van Wüllen, Leo; Benson, Daryn; Kranak, Verina F; Konar, Sumit; Fässler, Thomas F; Häussermann, Ulrich

    2013-06-03

    Silicon swallows up boron: The novel open tetrahedral framework structure (OTF) of the Zintl phase LiBSi2 was made by applying high pressure to a mixture of LiB and elemental silicon. The compound represents a new topology in the B-Si net (called tum), which hosts Li atoms in the channels (see picture). LiBSi2 is the first example where B and Si atoms form an ordered common framework structure with B engaged exclusively in heteronuclear B-Si contacts.

  16. In and Si adatoms on Si(111)5×2-Au : Scanning tunneling microscopy and first-principles density functional calculations

    NASA Astrophysics Data System (ADS)

    Stępniak, A.; Nita, P.; Krawiec, M.; Jałochowski, M.

    2009-09-01

    Structural properties of monatomic indium chains on Si(111)5×2-Au surface are investigated by scanning tunneling microscopy (STM) and first-principles density functional calculations (DFT). The STM topography data show that submonolayer coverage of indium leads to a well-ordered chain structure with the same periodicity as the Si adatoms form on Si(111)5×2-Au surface. Bias-dependent STM topography and spectroscopy reveal two different mechanisms of In-atoms adsorption on the surface: bonding to Si adatoms and substitution for Si atoms in the adatom positions. Those mechanisms are further corroborated by DFT calculations. The obtained structural model of In-modified Si(111)5×2-Au surface remains in good agreement with the experimental data.

  17. Improved adjoin-list for quality-guided phase unwrapping based on red-black trees

    NASA Astrophysics Data System (ADS)

    Cruz-Santos, William; López-García, Lourdes; Rueda-Paz, Juvenal; Redondo-Galvan, Arturo

    2016-08-01

    The quality-guide phase unwrapping is an important technique that is based on quality maps which guide the unwrapping process. The efficiency of this technique depends in the adjoin-list data structure implementation. There exists several proposals that improve the adjoin-list; Ming Zhao et. al. proposed an Indexed Interwoven Linked List (I2L2) that is based on dividing the quality values into intervals of equal size and inserting in a linked list those pixels with quality values within a certain interval. Ming Zhao and Qian Kemao proposed an improved I2L2 replacing each linked list in each interval by a heap data structure, which allows efficient procedures for insertion and deletion. In this paper, we propose an improved I2L2 which uses Red-Black trees (RBT) data structures for each interval. Our proposal has as main goal to avoid the unbalanced properties of the head and thus, reducing the time complexity of insertion. In order to maintain the same efficiency of the heap when deleting an element, we provide an efficient way to remove the pixel with the highest quality value in the RBT using a pointer to the rightmost element in the tree. We also provide a new partition strategy of the phase values that is based on a density criterion. Experimental results applied to phase shifting profilometry are shown for large images.

  18. Crystal structure of the ternary silicide Gd2Re3Si5

    PubMed Central

    Fedyna, Vitaliia; Kozak, Roksolana; Gladyshevskii, Roman

    2014-01-01

    A single crystal of the title compound, the ternary silicide digadolinium trirhenium penta­silicide, Gd2Re3Si5, was isolated from an alloy of nominal composition Gd20Re30Si50 synthesized by arc melting and investigated by X-ray single-crystal diffraction. Its crystal structure belongs to the U2Mn3Si5 structure type. All atoms in the asymmetric lie on special positions. The Gd site has site symmetry m..; the two Mn atoms have site symmetries m.. and 2.22; the three Si atoms have site symmetries m.., ..2 and 4.. . The coordination polyhedra of the Gd atoms have 21 vertices, while those of the Re atoms are cubo­octa­hedra and 13-vertex polyhedra. The Si atoms are arranged as tricapped trigonal prisms, bicapped square anti­prisms, or 11-vertex polyhedra. The crystal structure of the title compound is also related to the structure types CaBe2Ge2 and W5Si3. It can be represented as a stacking of Gd-centred polyhedra of composition [GdSi9]. The Re atoms form infinite chains with an Re—Re distance of 2.78163 (5) Å and isolated squares with an Re—Re distance of 2.9683 (6) Å. PMID:25552967

  19. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  20. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    NASA Astrophysics Data System (ADS)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  1. Double and Triple Si-H-M Bridge Bonds: Matrix Infrared Spectra and Theoretical Calculations for Reaction Products of Silane with Ti, Zr, and Hf Atoms.

    PubMed

    Xu, Bing; Shi, Peipei; Huang, Tengfei; Wang, Xuefeng; Andrews, Lester

    2017-05-25

    Infrared spectra of matrix isolated dibridged Si(μ-H) 2 MH 2 and tribridged Si(μ-H) 3 MH molecules (M = Zr and Hf) were observed following the laser-ablated metal atom reactions with SiH 4 during condensation in excess argon and neon, but only the latter species was observed with titanium. Assignments of the major vibrational modes, which included terminal MH, MH 2 and hydrogen bridge Si-H-M stretching modes, were confirmed by the appropriate SiD 4 isotopic shifts and density functional vibrational frequency calculations (B3LYP and BPW91). The Si-H-M hydrogen bridge bond is calculated as weak covalent interaction and compared with the C-H···M agostic interaction in terms of electron localization function (ELF) analysis and noncovalent interaction index (NCI) calculations. Furthermore, the different products of Ti, Zr, and Hf reactions with SiH 4 are discussed in detail.

  2. A Theoretical Simulation of the Radiation Responses of Si, Ge, and Si/Ge Superlattice to Low-Energy Irradiation.

    PubMed

    Jiang, Ming; Xiao, Haiyan; Peng, Shuming; Yang, Guixia; Liu, Zijiang; Qiao, Liang; Zu, Xiaotao

    2018-05-02

    In this study, the low-energy radiation responses of Si, Ge, and Si/Ge superlattice are investigated by an ab initio molecular dynamics method and the origins of their different radiation behaviors are explored. It is found that the radiation resistance of the Ge atoms that are around the interface of Si/Ge superlattice is comparable to bulk Ge, whereas the Si atoms around the interface are more difficult to be displaced than the bulk Si, showing enhanced radiation tolerance as compared with the bulk Si. The mechanisms for defect generation in the bulk and superlattice structures show somewhat different character, and the associated defects in the superlattice are more complex. Defect formation and migration calculations show that in the superlattice structure, the point defects are more difficult to form and the vacancies are less mobile. The enhanced radiation tolerance of the Si/Ge superlattice will benefit for its applications as electronic and optoelectronic devices under radiation environment.

  3. A Theoretical Simulation of the Radiation Responses of Si, Ge, and Si/Ge Superlattice to Low-Energy Irradiation

    NASA Astrophysics Data System (ADS)

    Jiang, Ming; Xiao, Haiyan; Peng, Shuming; Yang, Guixia; Liu, Zijiang; Qiao, Liang; Zu, Xiaotao

    2018-05-01

    In this study, the low-energy radiation responses of Si, Ge, and Si/Ge superlattice are investigated by an ab initio molecular dynamics method and the origins of their different radiation behaviors are explored. It is found that the radiation resistance of the Ge atoms that are around the interface of Si/Ge superlattice is comparable to bulk Ge, whereas the Si atoms around the interface are more difficult to be displaced than the bulk Si, showing enhanced radiation tolerance as compared with the bulk Si. The mechanisms for defect generation in the bulk and superlattice structures show somewhat different character, and the associated defects in the superlattice are more complex. Defect formation and migration calculations show that in the superlattice structure, the point defects are more difficult to form and the vacancies are less mobile. The enhanced radiation tolerance of the Si/Ge superlattice will benefit for its applications as electronic and optoelectronic devices under radiation environment.

  4. Identification of donor deactivation centers in heavily As-doped Si using time-of-flight medium-energy ion scattering spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Won Ja; Park, Kyungsu; Yu, Kyu-Sang

    2015-10-07

    Electrically-inactive arsenic (As) complexes in silicon are investigated using time-of-flight medium-energy ion scattering spectroscopy. In heavily As-doped Si, the As atoms that are segregated in the Si interface region just below the SiO{sub 2} are found to be in interstitial forms (As{sub i}), while the As atoms in the bulk Si region are found to be in the substitutional form (As{sub Si}). Despite the substitutional form of As, most of the As are found to be electrically inactive in the bulk region, and we identify the As to be in the form of a 〈111〉-oriented As{sub Si}-Si-vacancy (As{sub Si}-V{sub Si})more » complex. The As{sub i} atoms in the interface Si region are found to exist together with Si-interstitial atoms (Si{sub i}), suggesting that the As{sub i} atoms in the interface Si region accompany the Si{sub i} atoms.« less

  5. Reaction mechanisms at 4H-SiC/SiO2 interface during wet SiC oxidation

    NASA Astrophysics Data System (ADS)

    Akiyama, Toru; Hori, Shinsuke; Nakamura, Kohji; Ito, Tomonori; Kageshima, Hiroyuki; Uematsu, Masashi; Shiraishi, Kenji

    2018-04-01

    The reaction processes at the interface between SiC with 4H structure (4H-SiC) and SiO2 during wet oxidation are investigated by electronic structure calculations within the density functional theory. Our calculations for 4H-SiC/SiO2 interfaces with various orientations demonstrate characteristic features of the reaction depending on the crystal orientation of SiC: On the Si-face, the H2O molecule is stable in SiO2 and hardly reacts with the SiC substrate, while the O atom of H2O can form Si-O bonds at the C-face interface. Two OH groups are found to be at least necessary for forming new Si-O bonds at the Si-face interface, indicating that the oxidation rate on the Si-face is very low compared with that on the C-face. On the other hand, both the H2O molecule and the OH group are incorporated into the C-face interface, and the energy barrier for OH is similar to that for H2O. By comparing the calculated energy barriers for these reactants with the activation energies of oxide growth rate, we suggest the orientation-dependent rate-limiting processes during wet SiC oxidation.

  6. Predation of stink bugs (Hemiptera: Pentatomidae) by a complex of predators and adjoining soybean habitats in Georgia, USA

    USDA-ARS?s Scientific Manuscript database

    Molecular gut-content analysis was used to examine predation on stink bugs (Hemiptera: Pentatomidae) by arthropod predators in habitats of soybean with and without buckwheat and adjoining cotton. Nezara viridula (L.), Euschistus servus (Say), Chinavia hilaris (Say), and Euschistus quadrator Rolston,...

  7. Electroluminescent Yb2O3:Er and Yb2Si2O7:Er nanolaminate films fabricated by atomic layer deposition on silicon

    NASA Astrophysics Data System (ADS)

    Ouyang, Zhongtao; Yang, Yang; Sun, Jiaming

    2018-06-01

    Atomic layer doped Yb2O3:Er and Yb2Si2O7:Er nanolaminate films are fabricated on silicon by atomic layer deposition, and ∼1530 nm electroluminescence (EL) is obtained from the metal-oxide-semiconductor light-emitting devices (MOSLEDs) based on these films. The Yb2O3 films transfer to Yb2Si2O7 phase after annealing above 1000 °C. Intense photoluminescence from Yb2Si2O7 film confirms high efficiency and energy transfer under optical excitation, but the limited electron conduction restricts the EL performance. EL from the Yb2O3:Er MOSLED outperforms, presenting an external quantum efficiency up to 8.5% and the power efficiency of 1 × 10-3. The EL is derived to result from the impact excitation of Er3+ ions by hot electrons, which stem from Fowler-Nordheim tunneling mechanism under sufficient bias voltage. The critical distance for the cross relaxation of doped Er3+ ions in nanolaminate Yb2O3 matrix is experimentally determined to be ∼3 nm. Such devices manifest the technological potential of Er-doped Yb-oxides for applications in silicon-based optoelectronics.

  8. Atomic level structural modulation during the structural relaxation and its effect on magnetic properties of Fe81Si4B10P4Cu1 nanocrystalline alloy

    NASA Astrophysics Data System (ADS)

    Cao, C. C.; Zhu, L.; Meng, Y.; Zhai, X. B.; Wang, Y. G.

    2018-06-01

    The evolution of local structure and defects in the Fe81Si4B10P4Cu1 amorphous alloy during the structural relaxation has been investigated by Mössbauer spectroscopy, positron annihilation lifetime spectroscopy and transmission electron microscopy to explore their effects on magnetic properties of the nanocrystalline. The atomic rearrangements at the early stage of the structural relaxation cause the density increase of the amorphous matrix, but the subsequent atomic rearrangements contribute to the transformation of Fe3B-like atomic arrangements to FeB-like ones with the temperature increasing. As the structural relaxation processes, the released Fe atoms both from Fe3B- and Fe3P-like atomic arrangements result in the formation of new Fe clusters and the increase of Fe-Fe coordination number in the existing Fe clusters and the nucleation sites for α-Fe gradually increase, both of which promote the crystallization. However, the homogeneity of amorphous matrix will be finally destroyed under excessive relaxation temperature, which coarsens nanograins during the crystallization instead. Therefore, soft magnetic properties of the Fe81Si4B10P4Cu1 nanocrystalline alloy can be improved by pre-annealing the amorphous precursor at an appropriate temperature due to the atomic level structural optimization.

  9. Measurement of the absorption cross sections of SiCl4, SiCl3, SiCl2 and Cl at H Lyman-α wavelength

    NASA Astrophysics Data System (ADS)

    Mével, R.; Catoire, L.; Fikri, M.; Roth, P.

    2013-03-01

    Atomic resonance absorption spectroscopy coupled with a shock tube is a powerful technique for studying high temperature dynamics of reactive systems. Presently, high temperature pyrolysis of SiCl4-Ar mixtures has been studied behind reflected shock waves. Using time-resolved absorption profiles at 121.6 nm and a detailed reaction model, the absorption cross sections of SiCl, SiCl, SiCl and Cl have been measured. Results agree well with available data for SiCl and constitute, to our knowledge, the first measurements for SiCl, SiCl and Cl at the Lyman-α wavelength. These data are relevant to silica particle production from SiCl-oxidant mixtures combustion synthesis.

  10. Detection of subsurface core-level shifts in Si 2p core-level photoemission from Si(111)-(1x1):As

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paggel, J.J.; Hasselblatt, M.; Horn, K.

    1997-04-01

    The (7 x 7) reconstruction of the Si(111) surface arises from a lowering energy through the reduction of the number of dangling bonds. This reconstruction can be removed by the adsorption of atoms such as hydrogen which saturate the dangling bonds, or by the incorporation of atoms, such as arsenic which, because of the additional electron it possesses, can form three bonds and a nonreactive lone pair orbital from the remaining two electrons. Core and valence level photoemission and ion scattering data have shown that the As atoms replace the top silicon atoms. Previous core level spectra were interpreted inmore » terms of a bulk and a single surface doublet. The authors present results demonstrate that the core level spectrum contains two more lines. The authors assign these to subsurface silicon layers which also experience changes in the charge distribution when a silicon atom is replaced by an arsenic atom. Subsurface core level shifts are not unexpected since the modifications of the electronic structure and/or of photohole screening are likely to decay into the bulk and not just to affect the top-most substrate atoms. The detection of subsurface components suggests that the adsorption of arsenic leads to charge flow also in the second double layer of the Si(111) surface. In view of the difference in atomic radius between As and Si, it was suggested that the (1 x 1): As surface is strained. The presence of charge rearrangement up to the second double layer implies that the atomic coordinates also exhibit deviations from their ideal Si(111) counterparts, which might be detected through a LEED I/V or photoelectron diffraction analysis.« less

  11. Atomic Force Microscope Observation of Growth and Defects on As-Grown (111) 3C-SiC Mesa Surfaces

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.; Trunek, Andrew J.; Powell, J. Anthony

    2004-01-01

    This paper presents experimental atomic force microscope (AFM) observations of the surface morphology of as-grown (111) silicon-face 3C-SiC mesa heterofilms. Wide variations in 3C surface step structure are observed as a function of film growth conditions and film defect content. The vast majority of as-grown 3C-SiC surfaces consisted of trains of single bilayer height (0.25 nm) steps. Macrostep formation (i.e., step-bunching) was rarely observed, and then only on mesa heterofilms with extended crystal defects. As supersaturation is lowered by decreasing precursor concentration, terrace nucleation on the top (111) surface becomes suppressed, sometimes enabling the formation of thin 3C-SiC film surfaces completely free of steps. For thicker films, propagation of steps inward from mesa edges is sometimes observed, suggesting that enlarging 3C mesa sidewall facets begin to play an increasingly important role in film growth. The AFM observation of stacking faults (SF's) and 0.25 nm Burgers vector screw component growth spirals on the as-grown surface of defective 3C films is reported.

  12. Slow positron studies of hydrogen activation/passivation on SiO2/Si(100) interfaces

    NASA Astrophysics Data System (ADS)

    Lynn, K. G.; Asoka-Kumar, P.

    The hydrogen atoms are one of the most common impurity species found in semiconductor systems owing to its large diffusivity, and are easily incorporated either in a controlled process like in ion implantation or in an uncontrolled process like the one at the fabrication stage. Hydrogen can passivate dangling bonds and dislocations in these systems and hence can be used to enhance the electrical properties. In a SiO2/Si system, hydrogen can passivate electronic states at the interface and can alter the fixed or mobile charges in the oxide layer. Since hydrogen is present in almost all of the environments of SiO2/Si wafer fabrication, the activation energy of hydrogen atoms is of paramount importance to a proper understanding of SiO2/Si based devices and has not been measured on the technologically most important Si(100) face. There are no direct, nondestructive methods available to observe hydrogen injection into the oxide layer and subsequent diffusion. The positrons are used as a 'sensitive', nondestructive probe to observe hydrogen interaction in the oxide layer and the interface region. A new way is described of characterizing the changes in the density of the interface states under a low temperature annealing using positrons.

  13. Formation routes and structural details of the CaF1 layer on Si(111) from high-resolution noncontact atomic force microscopy data

    NASA Astrophysics Data System (ADS)

    Rahe, Philipp; Smith, Emily F.; Wollschläger, Joachim; Moriarty, Philip J.

    2018-03-01

    We investigate the CaF1/Si (111 ) interface using a combination of high-resolution scanning tunneling and noncontact atomic force microscopy operated at cryogenic temperature as well as x-ray photoelectron spectroscopy. Submonolayer CaF1 films grown at substrate temperatures between 550 and 600 ∘C on Si (111 ) surfaces reveal the existence of two island types that are distinguished by their edge topology, nucleation position, measured height, and inner defect structure. Our data suggest a growth model where the two island types are the result of two reaction pathways during CaF1 interface formation. A key difference between these two pathways is identified to arise from the excess species during the growth process, which can be either fluorine or silicon. Structural details as a result of this difference are identified by means of high-resolution noncontact atomic force microscopy and add insights into the growth mode of this heteroepitaxial insulator-on-semiconductor system.

  14. Examination of Short- and Long-Range Atomic Order Nanocrystalline SiC and Diamond by Powder Diffraction Methods

    NASA Technical Reports Server (NTRS)

    Palosz, B.; Grzanka, E.; Stelmakh, S.; Gierlotka, S.; Weber, H.-P.; Proffen, T.; Palosz, W.

    2002-01-01

    The real atomic structure of nanocrystals determines unique, key properties of the materials. Determination of the structure presents a challenge due to inherent limitations of standard powder diffraction techniques when applied to nanocrystals. Alternate methodology of the structural analysis of nanocrystals (several nanometers in size) based on Bragg-like scattering and called the "apparent lattice parameter" (alp) is proposed. Application of the alp methodology to examination of the core-shell model of nanocrystals will be presented. The results of application of the alp method to structural analysis of several nanopowders were complemented by those obtained by determination of the Atomic Pair Distribution Function, PDF. Based on synchrotron and neutron diffraction data measured in a large diffraction vector of up to Q = 25 Angstroms(exp -1), the surface stresses in nanocrystalline diamond and SiC were evaluated.

  15. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  16. Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4F 8 and Ar/CHF 3 plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2015-11-11

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C 4F 8 ALE based on steady-state Ar plasma in conjunction with periodic, precise C 4F 8 injection and synchronized plasma-based low energy Ar + ion bombardment has been established for SiO 2. 1 In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF 3 as a precursor is examined and compared to C 4F 8. CHF 3 is shown to enablemore » selective SiO 2/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and X-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. As a result, plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.« less

  17. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    NASA Astrophysics Data System (ADS)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  18. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Ê/hr for sputtered carbon and 40 Ê/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  19. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  20. On the clathrate form of elemental silicon, Si 136: preparation and characterisation of Na xSi 136 ( x→0)

    NASA Astrophysics Data System (ADS)

    Ammar, Abdelaziz; Cros, Christian; Pouchard, Michel; Jaussaud, Nicolas; Bassat, Jean-Marc; Villeneuve, Gérard; Duttine, Mathieu; Ménétrier, Michel; Reny, Edouard

    2004-05-01

    The clathrate form of silicon, Si 136 (otherwise known as Si 34), having a residual sodium content as low as 35 ppm (i.e., x˜0.0058 in Na xSi 136), has been prepared by thermal decomposition of NaSi under high vacuum, followed by several other treatments under vacuum, and completed by repeated reactions with iodine. The residual amount of sodium has been determined by a combination of analytic and spectroscopic methods involving XRD, electron probe microanalysis, atomic absorption, NMR and EPR. This latter technique proved to be very appropriate to the characterisation of very diluted sodium atoms in such clathrate structure and to the quantitative determination of its residual concentration.

  1. Mechanistic study of atomic layer deposition of Al{sub x}Si{sub y}O thin film via in-situ FTIR spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Jea; Kim, Taeseung; Seegmiller, Trevor

    2015-09-15

    A study of surface reaction mechanism on atomic layer deposition (ALD) of aluminum silicate (Al{sub x}Si{sub y}O) was conducted with trimethylaluminum (TMA) and tetraethoxysilane (TEOS) as precursors and H{sub 2}O as the oxidant. In-situ Fourier transform infrared spectroscopy (FTIR) was utilized to elucidate the underlying surface mechanism that enables the deposition of Al{sub x}Si{sub y}O by ALD. In-situ FTIR study revealed that ineffective hydroxylation of the surface ethoxy (–OCH{sub 2}CH{sub 3}) groups prohibits ALD of SiO{sub 2} by TEOS/H{sub 2}O. In contrast, effective desorption of the surface ethoxy group was observed in TEOS/H{sub 2}O/TMA/H{sub 2}O chemistry. The presence of Al-OH*more » group in vicinity of partially hydroxylated ethoxy (–OCH{sub 2}CH{sub 3}) group was found to propagate disproportionation reaction, which results in ALD of Al{sub x}Si{sub y}O. The maximum thickness from incorporation of SiO{sub x} from alternating exposures of TEOS/H{sub 2}O chemistry in Al{sub x}Si{sub y}O was found to be ∼2 Å, confirmed by high resolution transmission electron microscopy measurements.« less

  2. High-resolution imaging of silicene on an Ag(111) surface by atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Onoda, Jo; Yabuoshi, Keisuke; Miyazaki, Hiroki; Sugimoto, Yoshiaki

    2017-12-01

    Silicene, a two-dimensional (2D) honeycomb arrangement of Si atoms, is expected to have better electronic properties than graphene and has been mostly synthesized on Ag surfaces. Although scanning tunneling microscopy (STM) has been used for visualizing its atomic structure in real space, the interpretation of STM contrast is not straightforward and only the topmost Si atoms were observed on the (4 ×4 ) silicene/Ag(111) surface. Here, we demonstrate that high-resolution atomic force microscopy (AFM) can resolve all constituent Si atoms in the buckled honeycomb arrangement of the (4 ×4 ) silicene. Site-specific force spectroscopy attributes the origin of the high-resolution AFM images to chemical bonds between the AFM probe apex and the individual Si atoms on the (4 ×4 ) silicene. A detailed analysis of the geometric parameters suggests that the pulling up of lower-buckled Si atoms by the AFM tip could be a key for high-resolution AFM, implying a weakening of the Si-Ag interactions at the interface. We expect that high-resolution AFM will also unveil atomic structures of edges and defects of silicene, or other emerging 2D materials.

  3. Structure and superconductivity in the ternary silicide CaAlSi

    NASA Astrophysics Data System (ADS)

    Ma, Rong; Huang, Gui-Qin; Liu, Mei

    2007-06-01

    Using the linear response-linearized Muffin-tin orbital (LR-LMTO) method, we study the electronic band structure, phonon spectra, electron-phonon coupling and superconductivity for c-axis ferromagnetic-like (F-like) and antiferromagnetic-like (AF-like) structures in ternary silicide CaAlSi. The following conclusions are drawn from our calculations. If Al and Si atoms are assumed to arrange along the c axis in an F-like long-range ordering (-Al-Al-Al-and-Si-Si-Si-), one could obtain the ultrasoft B1g phonon mode and thus very strong electron-phonon coupling in CaAlSi. However, the appearance of imaginary frequency phonon modes indicates the instability of such a structure. For Al and Si atoms arranging along the c axis in an AF-like long-range ordering (-Al-Si-Al-), the calculated electron-phonon coupling constant is equal to 0.8 and the logarithmically averaged frequency is 146.8 K. This calculated result can correctly yield the superconducting transition temperature of CaAlSi by the standard BCS theory in the moderate electron-phonon coupling strength. We propose that an AF-like superlattice model for Al (or Si) atoms along the c direction may mediate the inconsistency estimated from theory and experiment, and explain the anomalous superconductivity in CaAlSi.

  4. Interfacial structure of two-dimensional epitaxial Er silicide on Si(111)

    NASA Astrophysics Data System (ADS)

    Tuilier, M. H.; Wetzel, P.; Pirri, C.; Bolmont, D.; Gewinner, G.

    1994-07-01

    Auger-electron diffraction (AED) and surface-extended x-ray-absorption fine structure (SEXAFS) have been used to obtain a complete description of the atomic structure of a two-dimensional epitaxial Er silicide layer on Si(111). AED reveals that a monolayer of Er is located underneath a buckled Si double layer. The relevant Er-Si interlayer spacings are determined by means of single scattering cluster simulations and a R-factor analysis to be 1.92+/-0.05 Å to the first and 2.70+/-0.05 Å to the second Si top layer. Er near-neighbor bond lengths and coordination numbers are obtained independently from polarization-dependent SEXAFS. The SEXAFS data, when combined with the Si top-layer geometry inferred from AED, permit the determination of the atomic positions at the silicide/Si(111) interface. The Er is found to reside in relaxed T4 sites of Si(111) with a single Er-Si distance of 3.09+/-0.04 Å to the first- and second-layer Si atoms of the substrate.

  5. Status of the atomized uranium silicide fuel development at KAERI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, C.K.; Kim, K.H.; Park, H.D.

    1997-08-01

    While developing KMRR fuel fabrication technology an atomizing technique has been applied in order to eliminate the difficulties relating to the tough property of U{sub 3}Si and to take advantage of the rapid solidification effect of atomization. The comparison between the conventionally comminuted powder dispersion fuel and the atomized powder dispersion fuel has been made. As the result, the processes, uranium silicide powdering and heat treatment for U{sub 3}Si transformation, become simplified. The workability, the thermal conductivity and the thermal compatibility of fuel meat have been investigated and found to be improved due to the spherical shape of atomized powder.more » In this presentation the overall developments of atomized U{sub 3}Si dispersion fuel and the planned activities for applying the atomizing technique to the real fuel fabrication are described.« less

  6. Ab-initio study of the segregation and electronic properties of neutral and charged B and P dopants in Si and Si/SiO{sub 2} nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schoeters, Bob, E-mail: bob.schoeters@uantwerpen.be; IMEC, Kapeldreef 75, B-3001 Leuven; Leenaerts, Ortwin, E-mail: ortwin.leenaerts@uantwerpen.be

    We perform first-principles calculations to investigate the preferred positions of B and P dopants, both neutral and in their preferred charge state, in Si and Si/SiO{sub 2} core-shell nanowires (NWs). In order to understand the observed trends in the formation energy, we isolate the different effects that determine these formation energies. By making the distinction between the unrelaxed and the relaxed formation energy, we separate the impact of the relaxation from that of the chemical environment. The unrelaxed formation energies are determined by three effects: (i) the effect of strain caused by size mismatch between the dopant and the hostmore » atoms, (ii) the local position of the band edges, and (iii) a screening effect. In the case of the SiNW (Si/SiO{sub 2} NW), these effects result in an increase of the formation energy away from the center (interface). The effect of relaxation depends on the relative size mismatch between the dopant and host atoms. A large size mismatch causes substantial relaxation that reduces the formation energy considerably, with the relaxation being more pronounced towards the edge of the wires. These effects explain the surface segregation of the B dopants in a SiNW, since the atomic relaxation induces a continuous drop of the formation energy towards the edge. However, for the P dopants, the formation energy starts to rise when moving from the center but drops to a minimum just next to the surface, indicating a different type of behavior. It also explains that the preferential location for B dopants in Si/SiO{sub 2} core-shell NWs is inside the oxide shell just next to the interface, whereas the P dopants prefer the positions next to the interface inside the Si core, which is in agreement with recent experiments. These preferred locations have an important impact on the electronic properties of these core-shell NWs. Our simulations indicate the possibility of hole gas formation when B segregates into the oxide shell.« less

  7. FI-STM study of hydrogen adsorption on Si(100) surface

    NASA Astrophysics Data System (ADS)

    Hua, Lu; Xiang-dong, Wang; Motai, K.; Hashizume, T.; Sakurai, T.

    1992-11-01

    Chemisorption of atomic hydrogen on the Si(100)2 × 1 surface has been investigated in detail by using a field ion-scanning tunneling microscope (FI-STM). The results showed that the adsorption geometry changed from the 2 × 1 monohydride phase to the 1 × 1 dihydride phase with increasing exposure of hydrogen. The data of desorption of the hydrogen-saturated Si surface showed that on annealing at 670 K the surface becomes highly disordered: the 1 × 1 dihydride structure is eliminated and the 2 × 1 reconstructed monohydride is also hardly to identify. When the temperature rises to as high as 730 K, the surface is dominated by the 2 × 1 structure with missing dimer rows, and some adatom chains occur on the Si substrate terraces. We attribute the formation of these atomic chains to an epitaxial growth of Si atoms which are formed by the dissociation of SiHx (x = 1, 2, 3 or 4) compounds on the Si surface.

  8. Worse survival after curative resection in patients with pathological stage I non-small cell lung cancer adjoining pulmonary cavity formation

    PubMed Central

    Kimura, Hiroyuki; Miyazawa, Tomoyuki; Sakai, Hiroki; Tsuda, Masataka; Wakiyama, Yoichi; Marushima, Hideki; Kojima, Koji; Nakamura, Haruhiko

    2017-01-01

    Background A few investigators have suggested an association between lung cancer and pulmonary cavity. However, this clinical association and its carcinogenic correlations are not well recognized. This study aimed to clarify the clinical features and to demonstrate the associated survival outcomes after curative surgery in patients with early non-small cell lung cancer (NSCLC) adjoining pulmonary cavity formation. Methods We retrospectively reviewed 275 patients with pathological stage I NSCLC by re-evaluating their chest computed tomography images. Among them, we detected NSCLC adjoining pulmonary cavity formation in 12 (4.4%) patients. Results The median follow-up period for all 275 patients was 43.2 (range, 6.0–86.0) months. Of these patients, 6 (50.0%) in group CF (patients with NSCLC adjoining pulmonary cavity formation) and 19 (7.2%) in group C (the control group, n=263) died during the study period. Besides, 6 (50.0%) and 32 (12.2%) patients in groups CF and C, respectively, exhibited recurrence of the primary lung cancer. The cumulative overall survival (OS) in groups CF and C at 5 years was 37.0% and 91.7%, respectively (P<0.0001); the recurrence-free survival (RFS) in these groups at 5 years was 55.0% and 86.7%, respectively (P=0.001). Univariate analysis showed that male sex, smoking habits, non-adenocarcinoma, and presence of pulmonary cavity formation were associated with poor OS (P=0.008, P=0.001, P<0.0001, and P<0.0001, respectively). Multivariate analysis demonstrated that smoking, non-adenocarcinoma, and pulmonary cavity formation were independent prognostic factors predicting poor survival (P=0.043, P=0.004 and P<0.0001, respectively). Conclusions Our results suggest that patients with early-stage NSCLC adjoining pulmonary cavity formation have an increased risk of poor OS and RFS after surgical resection. Further prospective, multi-institutional investigations and substantial clinical studies are warranted. PMID:29221277

  9. Electronic sputtering of vitreous SiO2: Experimental and modeling results

    NASA Astrophysics Data System (ADS)

    Toulemonde, M.; Assmann, W.; Trautmann, C.

    2016-07-01

    The irradiation of solids with swift heavy ions leads to pronounced surface and bulk effects controlled by the electronic energy loss of the projectiles. In contrast to the formation of ion tracks in bulk materials, the concomitant emission of atoms from the surface is much less investigated. Sputtering experiments with different ions (58Ni, 127I and 197Au) at energies around 1.2 MeV/u were performed on vitreous SiO2 (a-SiO2) in order to quantify the emission rates and compare them with data for crystalline SiO2 quartz. Stoichiometry of the sputtering process was verified by monitoring the thickness decreases of a thin SiO2 film deposited on a Si substrate. Angular distributions of the emitted atoms were measured by collecting sputtered atoms on arc-shaped Cu catcher foils. Subsequent analysis of the number of Si atoms deposited on the catcher foils was quantified by elastic recoil detection analysis providing differential as well as total sputtering yields. Compared to existing data for crystalline SiO2, the total sputtering yields for vitreous SiO2 are by a factor of about five larger. Differences in the sputtering rate and track formation characteristics between amorphous and crystalline SiO2 are discussed within the frame of the inelastic thermal spike model.

  10. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    PubMed

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  11. X-ray absorption spectroscopy study on SiC-side interface structure of SiO2–SiC formed by thermal oxidation in dry oxygen

    NASA Astrophysics Data System (ADS)

    Isomura, Noritake; Kosaka, Satoru; Kataoka, Keita; Watanabe, Yukihiko; Kimoto, Yasuji

    2018-06-01

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is demonstrated to measure the fine atomic structure of SiO2–SiC interfaces. The SiC-side of the interface can be measured by fabricating thin SiO2 films and using SiC-selective EXAFS measurements. Fourier transforms of the oscillations of the EXAFS spectra correspond to radial-structure functions and reveal a new peak of the first nearest neighbor of Si for m-face SiC, which does not appear in measurements of the Si-face. This finding suggests that the m-face interface could include a structure with shorter Si–C distances. Numerical calculations provide additional support for this finding.

  12. Ni-silicide growth kinetics in Si and Si/SiO2 core/shell nanowires.

    PubMed

    Ogata, K; Sutter, E; Zhu, X; Hofmann, S

    2011-09-07

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from ∼ 10 to 100 nm is presented. For temperatures between 300 and 440 °C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for (111) orientated SiNWs. In situ TEM silicidation experiments show that NiSi(2) is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.

  13. Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hofmann, S.; Sutter, E.; Ogata, K.

    A systematic study of the kinetics of axial Ni silicidation of as-grown and oxidized Si nanowires (SiNWs) with different crystallographic orientations and core diameters ranging from {approx} 10 to 100 nm is presented. For temperatures between 300 and 440 C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is diffusion of Ni through the growing silicide phase(s). A retardation of Ni-silicide formation for oxidized SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Nimore » flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In situ TEM silicidation experiments show that NiSi{sub 2} is the first forming phase for as-grown and oxidized SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni reservoir, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.« less

  14. Self-Assembly of Parallel Atomic Wires and Periodic Clusters of Silicon on a Vicinal Si(111) Surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sekiguchi, Takeharu; Yoshida, Shunji; Itoh, Kohei M.

    2005-09-02

    Silicon self-assembly at step edges in the initial stage of homoepitaxial growth on a vicinal Si(111) surface is studied by scanning tunneling microscopy. The resulting atomic structures change dramatically from a parallel array of 0.7 nm wide wires to one-dimensionally aligned periodic clusters of diameter {approx}2 nm and periodicity 2.7 nm in the very narrow range of growth temperatures between 400 and 300 deg. C. These nanostructures are expected to play important roles in future developments of silicon quantum computers. Mechanisms leading to such distinct structures are discussed.

  15. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    NASA Astrophysics Data System (ADS)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  16. Conformal SiO2 coating of sub-100 nm diameter channels of polycarbonate etched ion-track channels by atomic layer deposition

    PubMed Central

    Sobel, Nicolas; Lukas, Manuela; Spende, Anne; Stühn, Bernd; Trautmann, Christina

    2015-01-01

    Summary Polycarbonate etched ion-track membranes with about 30 µm long and 50 nm wide cylindrical channels were conformally coated with SiO2 by atomic layer deposition (ALD). The process was performed at 50 °C to avoid thermal damage to the polymer membrane. Analysis of the coated membranes by small angle X-ray scattering (SAXS) reveals a homogeneous, conformal layer of SiO2 in the channels at a deposition rate of 1.7–1.8 Å per ALD cycle. Characterization by infrared and X-ray photoelectron spectroscopy (XPS) confirms the stoichiometric composition of the SiO2 films. Detailed XPS analysis reveals that the mechanism of SiO2 formation is based on subsurface crystal growth. By dissolving the polymer, the silica nanotubes are released from the ion-track membrane. The thickness of the tube wall is well controlled by the ALD process. Because the track-etched channels exhibited diameters in the range of nanometres and lengths in the range of micrometres, cylindrical tubes with an aspect ratio as large as 3000 have been produced. PMID:25821688

  17. First principles study of the effect of hydrogen annealing on SiC MOSFETs

    NASA Astrophysics Data System (ADS)

    Chokawa, Kenta; Shiraishi, Kenji

    2018-04-01

    The high interfacial defect density at SiC/SiO2 interfaces formed by thermal oxidation is a crucial problem. Although post-oxidation annealing with H2 can reduce the defect density, some defects still remain at the interface. We investigate the termination of vacancy defects by H atoms at the 4H-SiC(0001)/SiO2 interface and discuss the stability of these H termination structures. Si vacancy defects can be terminated with H atoms to reduce the defect density, and the termination structure is stable even at high temperatures. On the other hand, it is difficult to terminate C vacancy defects with H atoms because the H atoms desorb from the dangling bonds and form H2 molecules below room temperature. However, we confirm that N atoms are effective for reducing the C vacancy defect states. Therefore, a defect-less interface can be achieved by post-oxidation annealing with H2 and N2.

  18. Bond-length relaxation in crystalline Si1-xGex alloys: An extended x-ray-absorption fine-structure study

    NASA Astrophysics Data System (ADS)

    Kajiyama, Hiroshi; Muramatsu, Shin-Ichi; Shimada, Toshikazu; Nishino, Yoichi

    1992-06-01

    Extended x-ray-absorption fine-structure spectra for crystalline Si1-xGex alloys, measured at the K edge of Ge at room temperature, are analyzed with a curve-fitting method based on the spherical-wave approximation. The Ge-Ge and Ge-Si bond lengths, coordination numbers of Ge and Si atoms around a Ge atom, and Debye-Waller factors of Ge and Si atoms are obtained. It is shown that Ge-Ge and Ge-Si bonds relax completely, for all Ge concentrations of their study, while the lattice constant varies monotonically, following Vegard's law. As noted by Bragg and later by Pauling and Huggins, the Ge-Ge and Ge-Si bond lengths are close to the sum of their constituent-element atomic radii: nearly 2.45 Å for Ge-Ge bonds and 2.40 Å for Ge-Si bonds. A study on the coordination around a Ge atom in the alloys revealed that Ge and Si atoms mix randomly throughout the compositional range studied.

  19. Restoring defect structures in 3C-SiC/Si (001) from spherical aberration-corrected high-resolution transmission electron microscope images by means of deconvolution processing.

    PubMed

    Wen, C; Wan, W; Li, F H; Tang, D

    2015-04-01

    The [110] cross-sectional samples of 3C-SiC/Si (001) were observed with a spherical aberration-corrected 300 kV high-resolution transmission electron microscope. Two images taken not close to the Scherzer focus condition and not representing the projected structures intuitively were utilized for performing the deconvolution. The principle and procedure of image deconvolution and atomic sort recognition are summarized. The defect structure restoration together with the recognition of Si and C atoms from the experimental images has been illustrated. The structure maps of an intrinsic stacking fault in the area of SiC, and of Lomer and 60° shuffle dislocations at the interface have been obtained at atomic level. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules. PMID:28850774

  1. Phase transformation in SiOx/SiO₂ multilayers for optoelectronics and microelectronics applications.

    PubMed

    Roussel, M; Talbot, E; Pratibha Nalini, R; Gourbilleau, F; Pareige, P

    2013-09-01

    Due to the quantum confinement, silicon nanoclusters (Si-ncs) embedded in a dielectric matrix are of prime interest for new optoelectronics and microelectronics applications. In this context, SiO(x)/SiO₂ multilayers have been prepared by magnetron sputtering and subsequently annealed to induce phase separation and Si clusters growth. The aim of this paper is to study phase separation processes and formation of nanoclusters in SiO(x)/SiO₂ multilayers by atom probe tomography. Influences of the silicon supersaturation, annealing temperature and SiO(x) and SiO₂ layer thicknesses on the final microstructure have been investigated. It is shown that supersaturation directly determines phase separation regime between nucleation/classical growth and spinodal decomposition. Annealing temperature controls size of the particles and interface with the surrounding matrix. Layer thicknesses directly control Si-nc shapes from spherical to spinodal-like structures. Copyright © 2012 Elsevier B.V. All rights reserved.

  2. Real time dynamics of Si magic clusters mediating phase transformation: Si(111)-(1 × 1) to (7 × 7) reconstruction revisited

    NASA Astrophysics Data System (ADS)

    Ong, Wei Jie; Tok, Eng Soon

    2012-07-01

    Using Scanning Tunneling Microscope (STM), we show that the surface undergoes phase transformation from disordered "1 × 1" to (7 × 7) reconstruction which is mediated by the formation of Si magic clusters. Mono-disperse Si magic clusters of size ~ 13.5 ± 0.5 Å can be formed by heating the Si(111) surface to 1200 °C and quenching it to room temperature at cooling rates of at least 100 °C/min. The structure consists of 3 tetra-clusters of size ~ 4.5 Ǻ similar to the Si magic clusters that were formed from Si adatoms deposited by Si solid source on Si(111)-(7 × 7) [1]. Using real time STM scanning to probe the surface at ~ 400 °C, we show that Si magic clusters pop up from the (1 × 1) surface and form spontaneously during the phase transformation. This is attributed to the difference in atomic density between "disordered 1 × 1" and (7 × 7) surface structures which lead to the release of excess Si atoms onto the surface as magic clusters.

  3. Impurity-doped Si10 cluster: Understanding the structural and electronic properties from first-principles calculations

    NASA Astrophysics Data System (ADS)

    Majumder, Chiranjib; Kulshreshtha, S. K.

    2004-12-01

    Structural and electronic properties of metal-doped silicon clusters ( MSi10 , M=Li , Be, B, C, Na, Mg, Al, and Si) have been investigated via ab initio molecular dynamics simulation under the formalism of the density functional theory. The exchange-correlation energy has been calculated using the generalized gradient approximation method. Several stable isomers of MSi10 clusters have been identified based on different initial configurations and their relative stabilities have been analyzed. From the results it is revealed that the location of the impurity atom depends on the nature of interaction between the impurity atom and the host cluster and the size of the impurty atom. Whereas Be and B atoms form stable isomers, the impurity atom being placed at the center of the bicapped tetragonal antiprism structure of the Si10 cluster, all other elements diffuse outside the cage of Si10 cluster. Further, to understand the stability and the chemical bonding, the LCAO-MO based all electron calculations have been carried out for the lowest energy isomers using the hybrid B3LYP energy functional. Based on the interaction energy of the M atoms with Si10 clusters it is found that p-p interaction dominates over the s-p interaction and smaller size atoms interact more strongly. Based on the binding energy, the relative stability of MSi10 clusters is found to follow the order of CSi10>BSi10>BeSi10>Si11>AlSi10>LiSi10>NaSi10>MgSi10 , leading one to infer that while the substitution of C, B and Be enhances the stability of the Si11 cluster, others have an opposite effect. The extra stability of the BeSi10 clusters is due to its encapsulated close packed structure and large energy gap between the HOMO and LUMO energy levels.

  4. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    NASA Astrophysics Data System (ADS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  5. Atomic scale study of nanocontacts

    NASA Astrophysics Data System (ADS)

    Buldum, A.; Ciraci, S.; Batra, Inder P.; Fong, C. Y.

    1998-03-01

    Nanocontact and subsequent pulling off a sharp Ni(111) tip on a Cu(110) surface are investigated by using molecular dynamics method with embedded atom model. As the contact is formed, the sharp tip experiences multiple jump to contact in the attractive force range. The contact interface develops discontinuously mainly due to disorder-order transformations which lead to disappearance of a layer and hence abrupt changes in the normal force variation. Atom exchange occurs in the repulsive range. The connective neck is reduced also discontinuously by pulling off the tip. The novel atomic structure of the neck under the tensile force is analyzed. We also presented a comperative study for the contact by a Si(111) tip on Si(111)-(2x1) surface.

  6. A New Ordered Si/SiO2 phase: Infrared Spectroscopy Analysis and Modeling

    NASA Astrophysics Data System (ADS)

    Bradley, J.; Herbots, N.; Shaw, J.; Atluri, V.; Queeney, K. T.; Chabal, Y. J.

    2003-10-01

    A new ordered Si/SiO2 phase is grown by conventional oxidation on ordered, OH-terminated (1x1)Si(100) surfaces formed at room temperature in ambient using a wet chemical cleaning method [1, 2] combined with conventional oxidation. Si atoms within 1-2.5 nm thick SiO2 are found to be in registry with respect to Si atoms in the Si(100). The degree of ordering is characterized by combining ion channeling with nuclear resonance analysis, as well as Reflective High Energy Electron Diffraction (RHEED), and High Resolution Transmission Electron Microscopy (HRTRM) and is found to be confined to a 2nm region in the SiO2[1]. Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS) and Elastic Recoil Deflection (ERD) were used to profile silicon, oxygen, carbon, and hydrogen coverage within the ordered interphase. Most recently, infrared spectroscopy [2] was employed to investigate the bonding at the ordered Si/SiO2 interface and compare the suboxides region to conventional thermal oxides. Infrared spectroscopy shows that the TO red-shift due to SiOx cross-bonding at the Si/SiO2 interface is 50 % smaller and occurs more abruptly than in conventional thermal oxides. This indicates a more homogeneous bonding environment between Si and SiO2, which is consistent with the presence of an ordered phase. Using these results, we are modeling the structure of the 2 nm interphase with 3DSTRING [3]. This Monte Carlo Simulation enables us to compare the channeling spectra with the experimental data for the possible phase configuration in ordered SiOx on Si. [1] N. Herbots, V. Atluri, J. D. Bradley, J. Xiang, S. Banerjee, Q.Hurst, US Patent #6,613,677, Granted 9/2/2003 [2] N. Herbots, J. M. Shaw, Q. B. Hurst, M. P. Grams, R. J. Culbertson, D. J. Smith, V. Atluri, P. Zimmerman, and K. T. Queeney, Mat. Sci. Eng. B B87, 303-316 (2001). [3] K. T. Queeney, N. Herbots, Justin, M. Shaw, V. Atluri, Y. J. Chabal (to be published)

  7. Atomically Flat Surfaces Developed for Improved Semiconductor Devices

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony

    2001-01-01

    New wide bandgap semiconductor materials are being developed to meet the diverse high temperature, -power, and -frequency demands of the aerospace industry. Two of the most promising emerging materials are silicon carbide (SiC) for high-temperature and high power applications and gallium nitride (GaN) for high-frequency and optical (blue-light-emitting diodes and lasers) applications. This past year Glenn scientists implemented a NASA-patented crystal growth process for producing arrays of device-size mesas whose tops are atomically flat (i.e., step-free). It is expected that these mesas can be used for fabricating SiC and GaN devices with major improvements in performance and lifetime. The promising new SiC and GaN devices are fabricated in thin-crystal films (known as epi films) that are grown on commercial single-crystal SiC wafers. At this time, no commercial GaN wafers exist. Crystal defects, known as screw defects and micropipes, that are present in the commercial SiC wafers propagate into the epi films and degrade the performance and lifetime of subsequently fabricated devices. The new technology isolates the screw defects in a small percentage of small device-size mesas on the surface of commercial SiC wafers. This enables atomically flat surfaces to be grown on the remaining defect-free mesas. We believe that the atomically flat mesas can also be used to grow GaN epi films with a much lower defect density than in the GaN epi films currently being grown. Much improved devices are expected from these improved low-defect epi films. Surface-sensitive SiC devices such as Schottky diodes and field effect transistors should benefit from atomically flat substrates. Also, we believe that the atomically flat SiC surface will be an ideal surface on which to fabricate nanoscale sensors and devices. The process for achieving atomically flat surfaces is illustrated. The surface steps present on the "as-received" commercial SiC wafer is also illustrated. because of the

  8. Li15Al3Si6 (Li14.6Al3.4Si6), a compound displaying a heterographite-like anionic framework.

    PubMed

    Spina, Laurent; Tillard, Monique; Belin, Claude

    2003-02-01

    The title compound, lithium aluminium silicide (15/3/6), crystallizes in the hexagonal centrosymmetric space group P6(3)/m. The three-dimensional structure of this ternary compound may be depicted as two interpenetrating lattices, namely a graphite-like Li(3)Al(3)Si(6) layer and a distorted diamond-like lithium lattice. As is commonly found for LiAl alloys, the Li and Al atoms are found to share some crystallographic sites. The diamond-like lattice is built up of Li cations, and the graphite-like anionic layer is composed of Si, Al and Li atoms in which Si and Al are covalently bonded [Si-Al = 2.4672 (4) A].

  9. Redetermination of clinobaryl­ite, BaBe2Si2O7

    PubMed Central

    Domizio, Adrien J. Di; Downs, Robert T.; Yang, Hexiong

    2012-01-01

    Clinobaryl­ite, ideally BaBe2Si2O7 (chemical name barium diberyllium disilicate), is a sorosilicate mineral and dimorphic with baryl­ite. It belongs to a group of compounds characterized by the general formula BaM 2+ 2Si2O7, with M 2+ = Be, Mg, Fe, Mn, Zn, Co, or Cu, among which the Be-, Fe-, and Cu-members have been found in nature. The crystal structure of clinobaryl­ite has been re-examined in this study based on single-crystal X-ray diffraction data collected from a natural sample from the type locality (Khibiny Massif, Kola Peninsula, Russia). The structure of clinobaryl­ite can be considered as a framework of BeO4 and SiO4 tetra­hedra, with one of the O atoms coordinated to two Be and one Si, one coordinated to two Si, and two O atoms coordinated to one Si and one Be atom. The BeO4 tetra­hedra share corners, forming chains parallel to the c axis, which are inter­linked by the Si2O7 units oriented parallel to the a axis. The Ba2+ cations (site symmetry m..) are in the framework channels and are coordinated by eleven O atoms in form of an irregular polyhedron. The Si—Obr (bridging O atom, at site symmetry m..) bond length, the Si—Onbr (non-bridging O atoms) bond lengths, and the Si—O—Si angle within the Si2O7 unit are in marked contrast to the corresponding values determined in the previous study [Krivovichev et al. (2004 ▶). N. Jb. Miner. Mh. pp. 373–384]. PMID:23125568

  10. Buckling reversal of the Si(111) bilayer termination of 2-dimensional ErSi2 upon H dosing

    NASA Astrophysics Data System (ADS)

    Wetzel, P.; Pirri, C.; Gewinner, G.

    1997-05-01

    Hydrogen-induced reconstruction of 2-dimensional (2D) ErSi2 epitaxially grown on Si(111) is studied by Auger-electron diffraction (AED) and low-energy electron diffraction (LEED). The intensity of the Er MNN Auger line is measured vs. polar angle along the [1 - 2 1] and [- 1 2 - 1] azimuths for clean and H-saturated (1 × 1) ErSi2 silicides. The atomic structure of clean 2D silicide, previously established by AED as well as other techniques, consists of a hexagonal monolayer of Er located underneath a buckled Si layer comparable to the Si(111) substrate double layers. Moreover, for clean 2D ErSi2 only the B-type orientation is observed, i.e. the buckled Si top layer is always rotated by 180° around the surface normal relative to the relevant double layers of the substrate. After atomic H saturation, AED reveals drastic changes in the silicide structure involving a major most remarkable reconstruction of the Si bilayer termination. The latter is found to switch from B-type to A-type orientation upon H dosing, i.e. H-saturated 2D ErSi2 exhibits a buckled Si top layer oriented in the same way as the substrate double layers. A comparison with single scattering cluster simulations demonstrates that the latter phenomenon is accompanied by a large expansion of the Er-Si interlayer spacing close to 0.3 Å.

  11. Hydrogen passivation of poly-Si/SiOx contacts for Si solar cells using Al2O3 studied with deuterium

    NASA Astrophysics Data System (ADS)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; Macco, Bart; Stradins, Paul; Kessels, W. M. M.; Young, David L.

    2018-05-01

    The interplay between hydrogenation and passivation of poly-Si/SiOx contacts to n-type Si wafers is studied using atomic layer deposited Al2O3 and anneals in forming gas and nitrogen. The poly-Si/SiOx stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiOx contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al2O3 is derived from its role as a hydrogen source for chemically passivating defects at SiOx; Al2O3 layers are found to hydrogenate poly-Si/SiOx much better than a forming gas anneal. By labelling Al2O3 and the subsequent anneal with different hydrogen isotopes, it is found that Al2O3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.

  12. Interaction of sodium atoms with stacking faults in silicon with different Fermi levels

    NASA Astrophysics Data System (ADS)

    Ohno, Yutaka; Morito, Haruhiko; Kutsukake, Kentaro; Yonenaga, Ichiro; Yokoi, Tatsuya; Nakamura, Atsutomo; Matsunaga, Katsuyuki

    2018-06-01

    Variation in the formation energy of stacking faults (SFs) with the contamination of Na atoms was examined in Si crystals with different Fermi levels. Na atoms agglomerated at SFs under an electronic interaction, reducing the SF formation energy. The energy decreased with the decrease of the Fermi level: it was reduced by more than 10 mJ/m2 in p-type Si, whereas it was barely reduced in n-type Si. Owing to the energy reduction, Na atoms agglomerating at SFs in p-type Si are stable compared with those in n-type Si, and this hypothesis was supported by ab initio calculations.

  13. Synthesis of micro-sized interconnected Si-C composites

    DOEpatents

    Wang, Donghai; Yi, Ran; Dai, Fang

    2016-02-23

    Embodiments provide a method of producing micro-sized Si--C composites or doped Si--C and Si alloy-C with interconnected nanoscle Si and C building blocks through converting commercially available SiO.sub.x (0atoms.

  14. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  15. Selective growth of Pb islands on graphene/SiC buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X. T.; Miao, Y. P.; Ma, D. Y.

    2015-02-14

    Graphene is fabricated by thermal decomposition of silicon carbide (SiC) and Pb islands are deposited by Pb flux in molecular beam epitaxy chamber. It is found that graphene domains and SiC buffer layer coexist. Selective growth of Pb islands on SiC buffer layer rather than on graphene domains is observed. It can be ascribed to the higher adsorption energy of Pb atoms on the 6√(3) reconstruction of SiC. However, once Pb islands nucleate on graphene domains, they will grow very large owing to the lower diffusion barrier of Pb atoms on graphene. The results are consistent with first-principle calculations. Sincemore » Pb atoms on graphene are nearly free-standing, Pb islands grow in even-number mode.« less

  16. Selected AB4 2−/− (A = C, Si, Ge; B = Al, Ga, In) ions: a battle between covalency and aromaticity, and prediction of square planar Si in SiIn4 2−/−†

    PubMed Central

    Alexandrova, Anastassia N.; Nayhouse, Michael J.; Huynh, Mioy T.; Kuo, Jonathan L.; Melkonian, Arek V.; Chavez, Gerardo; Hernando, Nina M.; Kowal, Matthew D.; Liu, Chi-Ping

    2012-01-01

    CAl4 2−/− (D4h, 1A1g) is a cluster ion that has been established to be planar, aromatic, and contain a tetracoordinate planar C atom. Valence isoelectronic substitution of C with Si and Ge in this cluster leads to a radical change of structure toward distorted pentagonal species. We find that this structural change goes together with the cluster acquiring partial covalency of bonding between Si/Ge and Al4, facilitated by hybridization of the atomic orbitals (AOs). Counter intuitively, for the AAl4 2−/− (A = C, Si, Ge) clusters, hybridization in the dopant atom is strengthened from C, to Si, and to Ge, even though typically AOs are more likely to hybridize if they are closer in energy (i.e. in earlier elements in the Periodic Table). The trend is explained by the better overlap of the hybrids of the heavier dopants with the orbitals of Al4. From the thus understood trend, it is inferred that covalency in such clusters can be switched off, by varying the relative sizes of the AOs of the main element and the dopant. Using this mechanism, we then successfully killed covalency in Si, and predicted a new aromatic cluster ion containing a tetracoordinate square planar Si, SiIn4 2−/−. PMID:22868353

  17. Electronic structure engineering in silicene via atom substitution and a new two-dimensional Dirac structure Si3C

    NASA Astrophysics Data System (ADS)

    Yin, Na; Dai, Ying; Wei, Wei; Huang, Baibiao

    2018-04-01

    A lot of efforts have been made towards the band gap opening in two-dimensional silicene, the silicon version of graphene. In the present work, the electronic structures of single atom doped (B, N, Al and P) and codoped (B/N and Al/P) silicene monolayers are systematically examined on the base of density functional electronic calculations. Our results demonstrate that single atom doping can realize electron or hole doping in the silicene; while codoping, due to the syergistic effects, results in finite band gap in silicene at the Dirac point without significantly degrading the electronic properties. In addition, the characteristic of band gap shows dependence on the doping concentration. Importantly, we predict a new two-dimensional Dirac structure, the graphene-like Si3C, which also shows linear band dispersion relation around the Fermi level. Our results demonstrates an important perspective to engineer the electronic and optical properties of silicene.

  18. Molecular cascade Auger decays following Si KL23L23 Auger transitions in SiCl4

    NASA Astrophysics Data System (ADS)

    Suzuki, I. H.; Bandoh, Y.; Mochizuki, T.; Fukuzawa, H.; Tachibana, T.; Yamada, S.; Takanashi, T.; Ueda, K.; Tamenori, Y.; Nagaoka, S.

    2016-08-01

    Cascade Si LVV Auger electron spectra at the photoexcitation of the Si 1s electron in a SiCl4 molecule have been measured using an electron spectrometer combined with monochromatized undulator radiation. In the instance of the resonant excitation of the Si 1s electron into the vacant molecular orbital a peak with high yield is observed at about 106 eV, an energy considerably higher than the energies of the normal LVV Auger electron. This peak is presumed to originate from the participator decay from the state with two 2p holes and one excited electron into the state with one 2p hole and one valence hole. Following the normal KL23L23 Auger transition, the cascade spectrum shows several peak structures, e.g. 63 eV, 76 eV and 91 eV. The peak at 91 eV is probably assigned to the second step Auger decay into states having a 2p hole together with two valence holes. These findings are similar to experimental results of SiF4. The former two peaks (63 eV and 76 eV) are ascribed to Auger transitions of Si atomic ions produced through molecular ion dissociation after the first step cascade decays, although the peak heights of atomic ions are lower than those of SiF4.

  19. High attenuation in MgSiO3 post-perovskite due to [100] dislocation glide under D'' conditions: an atomic scale study

    NASA Astrophysics Data System (ADS)

    Cordier, P.; Goryaeva, A.; Carrez, P.

    2016-12-01

    Dislocation motion in crystalline materials represents one of the most efficient mechanisms to produce plastic shear, the key mechanism for CPO development. Previous atomistic simulations show that MgSiO3 ppv is characterized by remarkably low lattice friction opposed to the glide of straight [100] screw dislocations in (010), while glide in (001) requires one order of magnitude larger stress values [1]. At finite temperature, dislocation glide occurs through nucleation and propagation of kink-pairs, i.e. dislocation does not move as a straight line, but partly bows out over the Peierls potential. We propose a theoretical study of a kink-pair formation mechanism for [100] screw dislocations in MgSiO3 ppv employing the line tension (LT) model [2] in conjunction with ab-initio atomic-scale modeling. The dislocation line tension, which plays a key role in dislocation dynamics, is computed at atomic scale as the energy increase resulting from individual atomic displacements due to the nucleation of a bow out. The estimated kink-pair formation enthalpy gives an access to evolution of critical resolved shear stress (CRSS) with temperature. Our results clearly demonstrate that at the lower mantle conditions, lattice friction in ppv vanishes for temperatures above ca. 600 K, i.e. ppv deforms in the athermal regime in contrast to the high-lattice friction bridgmanite [3]. Moreover, in the Earth's mantle, high-pressure Mg-ppv can be expected to be as ductile as MgO. Our simulations demonstrate that ppv contributes to a weak layer at the base of the mantle which is likely to promote alignment of (010) planes. In addition to that, we show that the high mobility of [100] dislocations results in a decrease of the apparent shear modulus (up to 15%) which contributes to a decrease of the shear wave velocity of about 7% and suggest that ppv induces energy dissipation and strong seismic attenuation in the D" layer. References[1] Goryaeva A, Carrez Ph & Cordier P (2015) Modeling

  20. Nanoscale charge transfer and diffusion at the MoS2/SiO2 interface by atomic force microscopy: contact injection versus triboelectrification.

    PubMed

    Xu, Rui; Ye, Shili; Xu, Kunqi; Lei, Le; Hussain, Sabir; Zheng, Zhiyue; Pang, Fei; Xing, Shuya; Liu, Xinmeng; Ji, Wei; Cheng, Zhihai

    2018-08-31

    Understanding the process of charge generation, transfer, and diffusion between two-dimensional (2D) materials and their supporting substrates is very important for potential applications of 2D materials. Compared with the systematic studies of triboelectric charging in a bulk sample, a fundamental understanding of the triboelectrification of the 2D material/insulator system is rather limited. Here, the charge transfer and diffusion of both the SiO 2 surface and MoS 2 /SiO 2 interface through contact electrification and frictional electrification are investigated systematically in situ by scanning Kelvin probe microscopy and dual-harmonic electrostatic force microscopy. Different from the simple static charge transfer between SiO 2 and the PtSi alloy atomic force microscope (AFM) tip, the charge transfer between the tip and the MoS 2 /SiO 2 system is complicated. Triboelectric charges, generated by contact or frictional electrification with the AFM tip, are trapped at the MoS 2 /SiO 2 interface and act as floating gates. The local charge discharge processes can be obtained by monitoring the surface potential. The charge decay time (τ) of the MoS 2 /SiO 2 interface is one (or two) orders of magnitude larger than the decay time τ of the SiO 2 surface. This work facilitates an understanding of the triboelectric and de-electrification of the interface between 2D materials and substrates. In addition to the charge transfer and diffusion, we demonstrate the nanopatterns of surface and interfacial charges, which have great potential for the application of self-assembly of charged nanostructures.

  1. Ultralow-Noise Atomic-Scale Structures for Quantum Circuitry in Silicon.

    PubMed

    Shamim, Saquib; Weber, Bent; Thompson, Daniel W; Simmons, Michelle Y; Ghosh, Arindam

    2016-09-14

    The atomically precise doping of silicon with phosphorus (Si:P) using scanning tunneling microscopy (STM) promises ultimate miniaturization of field effect transistors. The one-dimensional (1D) Si:P nanowires are of particular interest, retaining exceptional conductivity down to the atomic scale, and are predicted as interconnects for a scalable silicon-based quantum computer. Here, we show that ultrathin Si:P nanowires form one of the most-stable electrical conductors, with the phenomenological Hooge parameter of low-frequency noise being as low as ≈10(-8) at 4.2 K, nearly 3 orders of magnitude lower than even carbon-nanotube-based 1D conductors. A in-built isolation from the surface charge fluctuations due to encapsulation of the wires within the epitaxial Si matrix is the dominant cause for the observed suppression of noise. Apart from quantum information technology, our results confirm the promising prospects for precision-doped Si:P structures in atomic-scale circuitry for the 11 nm technology node and beyond.

  2. Combined wet and dry cleaning of SiGe(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Sang Wook; Kaufman-Osborn, Tobin; Kim, Hyonwoong

    Combined wet and dry cleaning via hydrofluoric acid (HF) and atomic hydrogen on Si{sub 0.6}Ge{sub 0.4}(001) surface was studied at the atomic level using ultrahigh vacuum scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and x-ray photoelectron spectroscopy to understand the chemical transformations of the surface. Aqueous HF removes native oxide, but residual carbon and oxygen are still observed on Si{sub 0.6}Ge{sub 0.4}(001) due to hydrocarbon contamination from post HF exposure to ambient. The oxygen contamination can be eliminated by shielding the sample from ambient via covering the sample in the HF cleaning solution until the sample is introduced tomore » the vacuum chamber or by transferring the sample in an inert environment; however, both processes still leave carbon contaminant. Dry in-situ atomic hydrogen cleaning above 330 °C removes the carbon contamination on the surface consistent with a thermally activated atomic hydrogen reaction with surface hydrocarbon. A postdeposition anneal at 550 °C induces formation of an atomically flat and ordered SiGe surface observed by STM. STS verifies that the wet and dry cleaned surface has an unpinned Fermi level with no states between the conduction and valence band edge comparable to sputter cleaned SiGe surfaces.« less

  3. Origin of Si(LMM) Auger Electron Emission from Silicon and Si-Alloys by keV Ar+ Ion Bombardment

    NASA Astrophysics Data System (ADS)

    Iwami, Motohiro; Kim, Su Chol; Kataoka, Yoshihide; Imura, Takeshi; Hiraki, Akio; Fujimoto, Fuminori

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar+ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  4. Geometric structure of thin SiO xN y films on Si(100)

    NASA Astrophysics Data System (ADS)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  5. Dopant distributions in n-MOSFET structure observed by atom probe tomography.

    PubMed

    Inoue, K; Yano, F; Nishida, A; Takamizawa, H; Tsunomura, T; Nagai, Y; Hasegawa, M

    2009-11-01

    The dopant distributions in an n-type metal-oxide-semiconductor field effect transistor (MOSFET) structure were analyzed by atom probe tomography. The dopant distributions of As, P, and B atoms in a MOSFET structure (gate, gate oxide, channel, source/drain extension, and halo) were obtained. P atoms were segregated at the interface between the poly-Si gate and the gate oxide, and on the grain boundaries of the poly-Si gate, which had an elongated grain structure along the gate height direction. The concentration of B atoms was enriched near the edge of the source/drain extension where the As atoms were implanted.

  6. Thermal decomposition of silane to form hydrogenated amorphous Si

    DOEpatents

    Strongin, M.; Ghosh, A.K.; Wiesmann, H.J.; Rock, E.B.; Lutz, H.A. III

    Hydrogenated amorphous silicon is produced by thermally decomposing silane (SiH/sub 4/) or other gases comprising H and Si, at elevated temperatures of about 1700 to 2300/sup 0/C, in a vacuum of about 10/sup -8/ to 10/sup -4/ torr. A gaseous mixture is formed of atomic hydrogen and atomic silicon. The gaseous mixture is deposited onto a substrate to form hydrogenated amorphous silicon.

  7. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  8. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE PAGES

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; ...

    2018-05-14

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  9. Dimensional stability and anisotropy of SiC and SiC-based composites in transition swelling regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Katoh, Yutai; Koyanagi, Takaaki; McDuffee, Joel L.

    Swelling, or volumetric expansion, is an inevitable consequence of the atomic displacement damage in crystalline silicon carbide (SiC) caused by energetic neutron irradiation. Because of its steep temperature and dose dependence, understanding swelling is essential for designing SiC-based components for nuclear applications. Here in this study, swelling behaviors of monolithic CVD SiC and nuclear grade SiC fiber – SiC matrix (SiC/SiC) composites were accurately determined, supported by the irradiation temperature determination for individual samples, following neutron irradiation within the lower transition swelling temperature regime. Slightly anisotropic swelling behaviors were found for the SiC/SiC samples and attributed primarily to the combinedmore » effects of the pre-existing microcracking, fiber architecture, and specimen dimension. A semi-empirical model of SiC swelling was calibrated and presented. Finally, implications of the refined model to selected swelling-related issues for SiC-based nuclar reactor components are discussed.« less

  10. Dimensional stability and anisotropy of SiC and SiC-based composites in transition swelling regime

    DOE PAGES

    Katoh, Yutai; Koyanagi, Takaaki; McDuffee, Joel L.; ...

    2017-12-08

    Swelling, or volumetric expansion, is an inevitable consequence of the atomic displacement damage in crystalline silicon carbide (SiC) caused by energetic neutron irradiation. Because of its steep temperature and dose dependence, understanding swelling is essential for designing SiC-based components for nuclear applications. Here in this study, swelling behaviors of monolithic CVD SiC and nuclear grade SiC fiber – SiC matrix (SiC/SiC) composites were accurately determined, supported by the irradiation temperature determination for individual samples, following neutron irradiation within the lower transition swelling temperature regime. Slightly anisotropic swelling behaviors were found for the SiC/SiC samples and attributed primarily to the combinedmore » effects of the pre-existing microcracking, fiber architecture, and specimen dimension. A semi-empirical model of SiC swelling was calibrated and presented. Finally, implications of the refined model to selected swelling-related issues for SiC-based nuclar reactor components are discussed.« less

  11. Relativistic potential energy surfaces of initial oxidations of Si(100) by atomic oxygen: The importance of surface dimer triplet state

    NASA Astrophysics Data System (ADS)

    Kim, Tae-Rae; Shin, Seokmin; Choi, Cheol Ho

    2012-06-01

    The non-relativistic and relativistic potential energy surfaces (PESs) of the symmetric and asymmetric reaction paths of Si(100)-2×1 oxidations by atomic oxygen were theoretically explored. Although only the singlet PES turned out to exist as a major channel leading to "on-dimer" product, both the singlet and triplet PESs leading to "on-top" products are attractive. The singlet PESs leading to the two surface products were found to be the singlet combinations (open-shell singlet) of the low-lying triplet state of surface silicon dimer and the ground 3P state of atomic oxygen. The triplet state of the "on-top" product can also be formed by the ground singlet state of the surface silicon dimer and the same 3P oxygen. The attractive singlet PESs leading to the "on-dimer" and "on-top" products made neither the intersystem crossings from triplet to singlet PES nor high energy 1D of atomic oxygen necessary. Rather, the low-lying triplet state of surface silicon dimer plays an important role in the initial oxidations of silicon surface.

  12. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  13. Effect of Thermal Budget on the Electrical Characterization of Atomic Layer Deposited HfSiO/TiN Gate Stack MOSCAP Structure

    PubMed Central

    Khan, Z. N.; Ahmed, S.; Ali, M.

    2016-01-01

    Metal Oxide Semiconductor (MOS) capacitors (MOSCAP) have been instrumental in making CMOS nano-electronics realized for back-to-back technology nodes. High-k gate stacks including the desirable metal gate processing and its integration into CMOS technology remain an active research area projecting the solution to address the requirements of technology roadmaps. Screening, selection and deposition of high-k gate dielectrics, post-deposition thermal processing, choice of metal gate structure and its post-metal deposition annealing are important parameters to optimize the process and possibly address the energy efficiency of CMOS electronics at nano scales. Atomic layer deposition technique is used throughout this work because of its known deposition kinetics resulting in excellent electrical properties and conformal structure of the device. The dynamics of annealing greatly influence the electrical properties of the gate stack and consequently the reliability of the process as well as manufacturable device. Again, the choice of the annealing technique (migration of thermal flux into the layer), time-temperature cycle and sequence are key parameters influencing the device’s output characteristics. This work presents a careful selection of annealing process parameters to provide sufficient thermal budget to Si MOSCAP with atomic layer deposited HfSiO high-k gate dielectric and TiN gate metal. The post-process annealing temperatures in the range of 600°C -1000°C with rapid dwell time provide a better trade-off between the desirable performance of Capacitance-Voltage hysteresis and the leakage current. The defect dynamics is thought to be responsible for the evolution of electrical characteristics in this Si MOSCAP structure specifically designed to tune the trade-off at low frequency for device application. PMID:27571412

  14. Three-Dimensional Intercalated Porous Graphene on Si(111)

    NASA Astrophysics Data System (ADS)

    Pham, Trung T.; Sporken, Robert

    2018-02-01

    Three-dimensional intercalated porous graphene has been formed on Si(111) by electron beam evaporation under appropriate conditions and its structural and electronic properties investigated in detail by reflection high-energy electron diffraction, x-ray photoemission spectroscopy, Raman spectroscopy, high-resolution scanning electron microscopy, atomic force microscopy, and scanning tunneling microscopy. The results show that the crystalline quality of the porous graphene depended not only on the substrate temperature but also on the SiC layer thickness during carbon atom deposition.

  15. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    NASA Astrophysics Data System (ADS)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  16. A computational study on the adsorption configurations and reactions of SiHx(x = 1-4) on clean and H-covered Si(100) surfaces

    NASA Astrophysics Data System (ADS)

    Le, Thong N.-M.; Raghunath, P.; Huynh, Lam K.; Lin, M. C.

    2016-11-01

    Possible adsorption configurations of H and SiHx (x = 1 - 4) on clean and H-covered Si(100) surfaces are determined by using spin-polarized DFT calculations. The results show that, on the clean surface, the gas-phase hydrogen atom and SiH3 radicals effectively adsorb on the top sites, while SiH and SiH2 prefer the bridge sites of the first layer. Another possibility for SiH is to reside on the hollow sites with a triple-bond configuration. For a partially H-coverd Si(100) surface, the mechanism is similar but with higher adsorption energies in most cases. This suggests that the surface species become more stable in the presence of surface hydrogens. The minimum energy paths for the adsorption/migration and reactions of H/SiHx species on the surfaces are explored using the climbing image-nudged elastic band method. The competitive surface processes for Si thin-film formation from SiHx precursors are also predicted. The study reveals that the migration of hydrogen adatom is unimportant with respect to leaving open surface sites because of its high barriers (>29.0 kcal/mol). Alternatively, the abstraction of hydrogen adatoms by H/SiHx radicals is more favorable. Moreover, the removal of hydrogen atoms from adsorbed SiHx, an essential step for forming Si layers, is dominated by abstraction rather than the decomposition processes.

  17. Application of Powder Diffraction Methods to the Analysis of Short- and Long-Range Atomic Order in Nanocrystalline Diamond and SiC: The Concept of the Apparent Lattice Parameter (alp)

    NASA Technical Reports Server (NTRS)

    Palosz, B.; Grzanka, E.; Gierlotka, S.; Stelmakh, S.; Pielaszek, R.; Bismayer, U.; Weber, H.-P.; Palosz, W.

    2003-01-01

    Two methods of the analysis of powder diffraction patterns of diamond and SiC nanocrystals are presented: (a) examination of changes of the lattice parameters with diffraction vector Q ('apparent lattice parameter', alp) which refers to Bragg scattering, and (b), examination of changes of inter-atomic distances based on the analysis of the atomic Pair Distribution Function, PDF. Application of these methods was studied based on the theoretical diffraction patterns computed for models of nanocrystals having (i) a perfect crystal lattice, and (ii), a core-shell structure, i.e. constituting a two-phase system. The models are defined by the lattice parameter of the grain core, thickness of the surface shell, and the magnitude and distribution of the strain field in the shell. X-ray and neutron experimental diffraction data of nanocrystalline SiC and diamond powders of the grain diameter from 4 nm up to micrometers were used. The effects of the internal pressure and strain at the grain surface on the structure are discussed based on the experimentally determined dependence of the alp values on the Q-vector, and changes of the interatomic distances with the grain size determined experimentally by the atomic Pair Distribution Function (PDF) analysis. The experimental results lend a strong support to the concept of a two-phase, core and the surface shell structure of nanocrystalline diamond and SiC.

  18. Atomic Chain Electronics

    NASA Technical Reports Server (NTRS)

    Yamada, Toshishige; Saini, Subhash (Technical Monitor)

    1998-01-01

    Adatom chains, precise structures artificially created on an atomically regulated surface, are the smallest possible candidates for future nanoelectronics. Since all the devices are created by combining adatom chains precisely prepared with atomic precision, device characteristics are predictable, and free from deviations due to accidental structural defects. In this atomic dimension, however, an analogy to the current semiconductor devices may not work. For example, Si structures are not always semiconducting. Adatom states do not always localize at the substrate surface when adatoms form chemical bonds to the substrate atoms. Transport properties are often determined for the entire system of the chain and electrodes, and not for chains only. These fundamental issues are discussed, which will be useful for future device considerations.

  19. Structure determination of the ordered (2 × 1) phase of NiSi surface alloy on Ni(111) using low-energy electron diffraction

    NASA Astrophysics Data System (ADS)

    Sazzadur Rahman, Md.; Amirul Islam, Md.; Saha, Bidyut Baran; Nakagawa, Takeshi; Mizuno, Seigi

    2015-12-01

    The (2 × 1) structure of the two-dimensional nickel silicide surface alloy on Ni(111) was investigated using quantitative low-energy electron diffraction analysis. The unit cell of the determined silicide structure contains one Si and one Ni atom, corresponding to a chemical formula of NiSi. The Si atoms adopt substitutional face-centered cubic hollow sites on the Ni(111) substrate. The Ni-Si bond lengths were determined to be 2.37 and 2.34 Å. Both the alloy surface and the underlying first layers of Ni atoms exhibit slight corrugation. The Ni-Si interlayer distance is smaller than the Ni-Ni interlayer distance, which indicates that Si atoms and underlying Ni atoms strongly interact.

  20. First-Principles Investigation of Radiation Induced Defects in SiC and Si.

    NASA Astrophysics Data System (ADS)

    Windl, Wolfgang; Lenosky, Thomas J.; Kress, Joel D.; Voter, Arthur F.

    1997-03-01

    SiC shows promise as a structural material for fusion reactors, partly because of its low activation under neutron irradiation. This radiation, however, can cause damage to its crystal structure, thereby degrading its properties. The focus of this work is the understanding of this neutron-induced radiation damage to SiC. Neutrons interact with matter primarily by scattering off nuclei, an event which suddenly imparts energy and momentum to an atom. If enough energy is transferred, this scattering event creates structural damage, such as displacement of the impacted atom from its original position to an interstitial site. We performed quantum molecular dynamics simulations to determine the displacement energy threshold, i.e., the minimum energy transfer required to create damage. To do this, we used the self-consistent Demkov-Ortega-Grumbach-Sankey (DOGS) extension(A. A. Demkov et al.), Phys. Rev. B 52, 1618 (1995). of the Harris-functional local orbital LDA method of Sankey et al. In order to benchmark the quality of our methodology for studying radiation damage, we compare our results to those of calculations employing classical interatomic potentials; furthermore, we performed similar simulations for Si, where experimental data exist.

  1. Abiologic silicon isotope fractionation between aqueous Si and Fe(III)-Si gel in simulated Archean seawater: Implications for Si isotope records in Precambrian sedimentary rocks

    NASA Astrophysics Data System (ADS)

    Zheng, Xin-Yuan; Beard, Brian L.; Reddy, Thiruchelvi R.; Roden, Eric E.; Johnson, Clark M.

    2016-08-01

    Precambrian Si-rich sedimentary rocks, including cherts and banded iron formations (BIFs), record a >7‰ spread in 30Si/28Si ratios (δ30Si values), yet interpretation of this large variability has been hindered by the paucity of data on Si isotope exchange kinetics and equilibrium fractionation factors in systems that are pertinent to Precambrian marine conditions. Using the three-isotope method and an enriched 29Si tracer, a series of experiments were conducted to constrain Si isotope exchange kinetics and fractionation factors between amorphous Fe(III)-Si gel, a likely precursor to Precambrian jaspers and BIFs, and aqueous Si in artificial Archean seawater under anoxic conditions. Experiments were conducted at room temperature, and in the presence and absence of aqueous Fe(II) (Fe(II)aq). Results of this study demonstrate that Si solubility is significantly lower for Fe-Si gel than that of amorphous Si, indicating that seawater Si concentrations in the Precambrian may have been lower than previous estimates. The experiments reached ∼70-90% Si isotope exchange after a period of 53-126 days, and the highest extents of exchange were obtained where Fe(II)aq was present, suggesting that Fe(II)-Fe(III) electron-transfer and atom-exchange reactions catalyze Si isotope exchange through breakage of Fe-Si bonds. All experiments except one showed little change in the instantaneous solid-aqueous Si isotope fractionation factor with time, allowing extraction of equilibrium Si isotope fractionation factors through extrapolation to 100% isotope exchange. The equilibrium 30Si/28Si fractionation between Fe(III)-Si gel and aqueous Si (Δ30Sigel-aqueous) is -2.30 ± 0.25‰ (2σ) in the absence of Fe(II)aq. In the case where Fe(II)aq was present, which resulted in addition of ∼10% Fe(II) in the final solid, creating a mixed Fe(II)-Fe(III) Si gel, the equilibrium fractionation between Fe(II)-Fe(III)-Si gel and aqueous Si (Δ30Sigel-aqueous) is -3.23 ± 0.37‰ (2

  2. New multicell model for describing the atomic structure of La{sub 3}Ga{sub 5}SiO{sub 14} piezoelectric crystal: Unit cells of different compositions in the same single crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dudka, A. P., E-mail: dudka@ns.crys.ras.ru

    2017-03-15

    Accurate X-ray diffraction study of langasite (La{sub 3}Ga{sub 5}SiO{sub 14}) single crystal has been performed using the data obtained on a diffractometer equipped with a CCD area detector at 295 and 90.5 K. Within the known La{sub 3}Ga{sub 5}SiO{sub 14} model, Ga and Si cations jointly occupy the 2d site. A new model of a “multicell” consisting of two different unit cells is proposed. Gallium atoms occupy the 2d site in one of these cells, and silicon atoms occupy this site in the other cell; all other atoms correspondingly coordinate these cations. This structure implements various physical properties exhibited bymore » langasite family crystals. The conclusions are based on processing four data sets obtained with a high resolution (sin θ/λ ≤ 1.35 Å{sup –1}), the results reproduced in repeated experiments, and the high relative precision of the study (sp. gr. P321, Z = 1; at 295 K, a = 8.1652(6) Å, c = 5.0958(5) Å, R/wR = 0.68/0.68%, 3927 independent reflections; at 90.5 K, a = 8.1559(4) Å, c = 5.0913(6) Å, R/wR = 0.92/0.93%, 3928 reflections).« less

  3. Sintering and crystallization behavior of CaMgSi{sub 2}O{sub 6}-NaFeSi{sub 2}O{sub 6} based glass-ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goel, Ashutosh; Kansal, Ishu; Dipartimento di Ingegneria dei Materiali e dell'Ambiente, Facolta di Ingegneria, Universita di Modena e Reggio Emilia, 41100 Modena

    2009-11-01

    We report on the synthesis, sintering, and crystallization behaviors of a glass with a composition corresponding to 90 mol % CaMgSi{sub 2}O{sub 6}-10 mol % NaFeSi{sub 2}O{sub 6}. The investigated glass composition crystallized superficially immediately after casting of the melt and needs a high cooling rate (rapid quenching) in order to produce an amorphous glass. Differential thermal analysis and hot-stage microscopy were employed to investigate the glass forming ability, sintering behavior, relative nucleation rate, and crystallization behavior of the glass composition. The crystalline phase assemblage in the glass-ceramics was studied under nonisothermal heating conditions in the temperature range of 850-950more » deg. C in both air and N{sub 2} atmosphere. X-ray diffraction studies adjoined with the Rietveld-reference intensity ratio method were employed to quantify the amount of crystalline phases, while electron microscopy was used to shed some light on the microstructure of the resultant glass-ceramics. Well sintered glass-ceramics with diopside as the primary crystalline phase were obtained where the amount of diopside varied with the heating conditions.« less

  4. Quasi-2D silicon structures based on ultrathin Me2Si (Me = Mg, Ca, Sr, Ba) films

    NASA Astrophysics Data System (ADS)

    Migas, D. B.; Bogorodz, V. O.; Filonov, A. B.; Borisenko, V. E.; Skorodumova, N. V.

    2018-04-01

    By means of ab initio calculations with hybrid functionals we show a possibility for quasi-2D silicon structures originated from semiconducting Mg2Si, Ca2Si, Sr2Si and Ba2Si silicides to exist. Such a 2D structure is similar to the one of transition metal chalcogenides where silicon atoms form a layer in between of metal atoms aligned in surface layers. These metal surface atoms act as pseudo passivation species stabilizing crystal structure and providing semiconducting properties. Considered 2D Mg2Si, Ca2Si, Sr2Si and Ba2Si have band gaps of 1.14 eV, 0.69 eV, 0.33 eV and 0.19 eV, respectively, while the former one is also characterized by a direct transition with appreciable oscillator strength. Electronic states of the surface atoms are found to suppress an influence of the quantum confinement on the band gaps. Additionally, we report Sr2Si bulk in the cubic structure to have a direct band gap of 0.85 eV as well as sizable oscillator strength of the first direct transition.

  5. Microstructure and high-temperature tribological properties of Si-doped hydrogenated diamond-like carbon films

    NASA Astrophysics Data System (ADS)

    Zhang, Teng Fei; Wan, Zhi Xin; Ding, Ji Cheng; Zhang, Shihong; Wang, Qi Min; Kim, Kwang Ho

    2018-03-01

    Si-doped DLC films have attracted great attention for use in tribological applications. However, their high-temperature tribological properties remain less investigated, especially in harsh oxidative working conditions. In this study, Si-doped hydrogenated DLC films with various Si content were synthesized and the effects of the addition of Si on the microstructural, mechanical and high-temperature tribological properties of the films were investigated. The results indicate that Si doping leads to an obvious increase in the sp3/sp2 ratio of DLC films, likely due to the silicon atoms preferentially substitute the sp2-hybridized carbon atoms and augment the number of sp3 sites. With Si doping, the mechanical properties, including hardness and adhesion strength, were improved, while the residual stress of the DLC films was reduced. The addition of Si leads to higher thermal and mechanical stability of DLC films because the Si atoms inhibit the graphitization of the films at an elevated temperature. Better high-temperature tribological properties of the Si-DLC films under oxidative conditions were observed, which can be attributed to the enhanced thermal stability and formation of a Si-containing lubricant layer on the surfaces of the wear tracks. The nano-wear resistance of the DLC films was also improved by Si doping.

  6. Reaction of Si(100) with NH3: Rate-limiting steps and reactivity enhancement via electronic excitation

    NASA Astrophysics Data System (ADS)

    Bozso, F.; Avouris, Ph.

    1986-09-01

    We report on the low-temperature reaction of ammonia with Si(100)-(2×1). The dangling bonds in the clean Si surface promote NH3 dissociation even at temperatures as low as 90 K. The N atoms thus produced occupy subsurface sites, while the H atoms bind to surface Si atoms, tie up the dangling bonds, and inactivate the surface. Thermal or electronic-excitation-induced hydrogen desorption restores the dangling bonds and the reactivity of the surface. Silicon nitride film growth is achieved at 90 K by simultaneous exposure of the Si surface to NH3 and an electron beam.

  7. Area-selective atomic layer deposition of Ru on electron-beam-written Pt(C) patterns versus SiO2 substratum

    NASA Astrophysics Data System (ADS)

    Junige, Marcel; Löffler, Markus; Geidel, Marion; Albert, Matthias; Bartha, Johann W.; Zschech, Ehrenfried; Rellinghaus, Bernd; van Dorp, Willem F.

    2017-09-01

    Area selectivity is an emerging sub-topic in the field of atomic layer deposition (ALD), which employs opposite nucleation phenomena to distinct heterogeneous starting materials on a surface. In this paper, we intend to grow Ru exclusively on locally pre-defined Pt patterns, while keeping a SiO2 substratum free from any deposition. In a first step, we study in detail the Ru ALD nucleation on SiO2 and clarify the impact of the set-point temperature. An initial incubation period with actually no growth was revealed before a formation of minor, isolated RuO x islands; clearly no continuous Ru layer formed on SiO2. A lower temperature was beneficial in facilitating a longer incubation and consequently a wider window for (inherent) selectivity. In a second step, we write C-rich Pt micro-patterns on SiO2 by focused electron-beam-induced deposition (FEBID), varying the number of FEBID scans at two electron beam acceleration voltages. Subsequently, the localized Pt(C) deposits are pre-cleaned in O2 and overgrown by Ru ALD. Already sub-nanometer-thin Pt(C) patterns, which were supposedly purified into some form of Pt(O x ), acted as very effective activation for the locally restricted, thus area-selective ALD growth of a pure, continuous Ru covering, whereas the SiO2 substratum sufficiently inhibited towards no growth. FEBID at lower electron energy reduced unwanted stray deposition and achieved well-resolved pattern features. We access the nucleation phenomena by utilizing a hybrid metrology approach, which uniquely combines in-situ real-time spectroscopic ellipsometry, in-vacuo x-ray photoelectron spectroscopy, ex-situ high-resolution scanning electron microscopy, and mapping energy-dispersive x-ray spectroscopy.

  8. Density functional study of the decomposition pathways of SiH₃ and GeH₃ at the Si(100) and Ge(100) surfaces.

    PubMed

    Ceriotti, M; Montalenti, F; Bernasconi, M

    2012-03-14

    By means of first-principles calculations we studied the decomposition pathways of SiH₃ on Ge(100) and of GeH₃ on Si(100), of interest for the growth of crystalline SiGe alloys and Si/Ge heterostructures by plasma-enhanced chemical vapor deposition. We also investigated H desorption via reaction of two adsorbed SiH₂/GeH₂ species (β₂ reaction) or via Eley-Rideal abstraction of surface H atoms from the impinging SiH₃ and GeH₃ species. The calculated activation energies for the different processes suggest that the rate-limiting step for the growth of Si/Ge systems is still the β₂ reaction of two SiH₂ as in the growth of crystalline Si.

  9. Electrical characteristics and thermal stability of n+ polycrystalline- Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lim, Kwan-Yong; Park, Dae-Gyu; Cho, Heung-Jae; Kim, Joong-Jung; Yang, Jun-Mo; Ii, Choi-Sang; Yeo, In-Seok; Park, Jin Won

    2002-01-01

    We have investigated the thermal stability of n+ polycrystalline-Si(poly-Si)/ZrO2(50-140 Å)/SiO2(7 Å)/p-Si metal-oxide-semiconductor (MOS) capacitors via electrical and material characterization. The ZrO2 gate dielectric was prepared by atomic layer chemical vapor deposition using ZrCl4 and H2O vapor. Capacitance-voltage hysteresis as small as ˜12 mV with the flatband voltage of -0.5 V and the interface trap density of ˜5×1010cm-2 eV-1 were attained with activation anneal at 750 °C. A high level of gate leakage current was observed at the activation temperatures over 750 °C and attributed to the interfacial reaction of poly-Si and ZrO2 during the poly-Si deposition and the following high temperature anneal. Because of this, the ZrO2 gate dielectric is incompatible with the conventional poly-Si gate process. In the MOS capacitors having a smaller active area (<50×50 μm2), fortunately, the electrical degradation by further severe silicidation does not occur up to an 800 °C anneal in N2 for 30 min.

  10. Light-emitting Si nanostructures formed by swift heavy ions in a-Si:H/SiO2 multilayer heterostructures

    NASA Astrophysics Data System (ADS)

    Cherkova, S. G.; Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Kamaev, G. N.; Skuratov, V. A.

    2017-08-01

    Light-emitting nanoclusters were formed in Si/SiO2 multilayer structures irradiated with 167 MeV Xe ions to the doses of 1011-3  ×  1014 cm-2 and annealed in the forming-gas at 500 °C and in nitrogen at 800-1100 °C, 30 min. The thicknesses were ~4 nm or ~7-8 for the Si, and ~10 nm for the SiO2 layers. The structures were studied using photoluminescence (PL), Raman spectroscopy, and the cross-sectional high resolution transmission electron microscopy (HRTEM). As-irradiated samples showed the PL, correlating with the growth of the ion doses. HRTEM found the layers to be partly disintegrated. The thickness of the amorphous Si layer was crucial. For 4 nm thick Si layers the PL was peaking at ~490 nm, and quenched by the annealing. It was ascribed to the structural imperfections. For the thicker Si layers the PL was peaking at ~600 nm and was attributed to the Si-rich nanoclusters in silicon oxide. The annealing increases the PL intensity and shifts the band to ~790 nm, typical of Si nanocrystals. Its intensity was proportional to the dose. Raman spectra confirmed the nanocrystals formation. All the results obtained evidence the material melting in the tracks for 10-11-10-10 s providing thereby fast diffusivities of the atoms. The thicker Si layers provide more excess Si to create the nanoclusters via a molten state diffusion.

  11. Surface reconstruction switching induced by tensile stress of DB steps: From Ba/Si(0 0 1)- 2 × 3 to Ba/Si(0 0 1)-4° off- 3 × 2

    NASA Astrophysics Data System (ADS)

    Kim, Hidong; Lkhagvasuren, Altaibaatar; Zhang, Rui; Seo, Jae M.

    2018-05-01

    The alkaline-earth metal adsorption on Si(0 0 1) has attracted much interest for finding a proper template in the growth of high- κ and crystalline films. Up to now on the flat Si(0 0 1) surface with double domains and single-layer steps, the adsorbed Ba atoms are known to induce the 2 × 3 structure through removing two Si dimers and adding a Ba atom per unit cell in each domain. In the present investigation, the Si(0 0 1)-4° off surface with DB steps and single domains has been employed as a substrate and the reconstruction at the initial stage of Ba adsorption has been investigated by scanning tunneling microscopy and synchrotron photoemission spectroscopy. On this vicinal and single domain terrace, a novel 3 × 2 structure rotated by 90° from the 2 × 3 structure has been found. Such a 3 × 2 structure turns out to be formed by adding a Ba atom and a Si dimer per unit cell. This results from the fact that the adsorbed Ba2+ ions with a larger ionic radius relieve tensile stress on the original Si dimers exerted by the rebonded atoms at the DB step.

  12. Effect of mixed Ge/Si cross-linking on the physical properties of amorphous Ge-Si-Te networks

    NASA Astrophysics Data System (ADS)

    Gunasekera, K.; Boolchand, P.; Micoulaut, M.

    2014-04-01

    Amorphous GexSixTe1-2x glasses are studied as a function of composition by a combination of experimental and theoretical methods, allowing for a full description of the network structure in relationship with physico-chemical properties. Calorimetric and thermal measurements reveal that such glasses display an anomalous behavior across a range of compositions xc1=7.5% and atoms (Ge, Si) are increased. The structural manifestation of these anomalies is understood from 119Sn Mössbauer spectroscopy and First Principles Molecular Dynamics at selected compositions (Ge20Te80, Si20Te80, and Ge10Si10Te80). The numerical models reveal the quite different roles played by the modifier or network cross-linker Ge or Si atoms, Si being more tetrahedral in sp3 geometry, whereas Mössbauer spectroscopy shows that the nature of chemical bonding is dramatically changed around x ≃ 8%. The precise evolution of the local structure and chemical bonding ultimately allows understanding the origin of the intermediate phase in these complex tellurides.

  13. Reliably counting atomic planes of few-layer graphene (n > 4).

    PubMed

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  14. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    NASA Astrophysics Data System (ADS)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  15. Electronic structures of Al-Si clusters and the magic number structure Al8Si4

    NASA Astrophysics Data System (ADS)

    Du, Ning; Su, Mingzhi; Chen, Hongshan

    2018-02-01

    The low-energy structures of Al8Sim (m = 1-6) have been determined by using the genetic algorithm combined with density functional theory and the Second-order Moller-Plesset perturbation theory (MP2) models. The results show that the close-packed structures are preferable in energy for Al-Si clusters and in most cases there exist a few isomers with close energies. The valence molecular orbitals, the orbital level structures and the electron localisation function (ELF) consistently demonstrate that the electronic structures of Al-Si clusters can be described by the jellium model. Al8Si4 corresponds to a magic number structure with pronounced stability and large energy gap; the 40 valence electrons form closed 1S21P61D102S21F142P6 shells. The ELF attractors also suggest weak covalent Si-Si, Si-Al and Al-Al bonding, and doping Si in aluminium clusters promotes the covalent interaction between Al atoms.

  16. Characterization of single-crystalline Al films grown on Si(111)

    NASA Astrophysics Data System (ADS)

    Fortuin, A. W.; Alkemade, P. F. A.; Verbruggen, A. H.; Steinfort, A. J.; Zandbergen, H.; Radelaar, S.

    1996-10-01

    Single-crystalline Al films have been grown by molecular beam epitaxy on a (7 × 7) reconstructed Si(111) surface at 50°C. The 100 nm thick Al films were extensively characterized by X-ray diffraction, transmission electron diffraction and microscopy, SIMS, and RBS in combination with ion channeling. The orientational relationship found was Al(111) t' | Si(111) and Al[11¯0] t'| Si[11¯0]. The film is single-crystalline over the entire 4″ Si wafer. TED and TEM showed that the lattice mismatch of 25.3% at room temperature is accommodated at the interface by alignment of every three Si atoms to four Al atoms. Annealing of the film at 400°C for 30 min led to a reduction of defects in the film and an increase at the interface. Furthermore, it increased the Si concentration in the Al film slightly. We regard this deposition method as the most appropriate one among the various techniques for epitaxial growth of Al on Si explored so far.

  17. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    NASA Astrophysics Data System (ADS)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  18. Surface structure analysis of BaSi2(100) epitaxial film grown on Si(111) using CAICISS

    NASA Astrophysics Data System (ADS)

    Okasaka, Shouta; Kubo, Osamu; Tamba, Daiki; Ohashi, Tomohiro; Tabata, Hiroshi; Katayama, Mitsuhiro

    2015-05-01

    Geometry and surface structure of a BaSi2(100) film on Si(111) formed by reactive deposition epitaxy (RDE) have been investigated using coaxial impact-collision ion scattering spectroscopy and atomic force microscopy. BaSi2(100) film can be grown only when the Ba deposition rate is sufficiently fast. It is revealed that a BaSi2(100) film grown at 600 °C has better crystallinity than a film grown at 750 °C owing to the mixture of planes other than (100) in the RDE process at higher temperatures. The azimuth angle dependence of the scattering intensity from Ba shows sixfold symmetry, indicating that the minimum height of surface steps on BaSi2(100) is half of the length of unit cell. By comparing the simulated azimuth angle dependences for more than ten surface models with experimental one, it is strongly indicated that the surface of a BaSi2(100) film grown on Si(111) is terminated by Si tetrahedra.

  19. Alkali (Li, K and Na) and alkali-earth (Be, Ca and Mg) adatoms on SiC single layer

    NASA Astrophysics Data System (ADS)

    Baierle, Rogério J.; Rupp, Caroline J.; Anversa, Jonas

    2018-03-01

    First-principles calculations within the density functional theory (DFT) have been addressed to study the energetic stability, and electronic properties of alkali and alkali-earth atoms adsorbed on a silicon carbide (SiC) single layer. We observe that all atoms are most stable (higher binding energy) on the top of a Si atom, which moves out of the plane (in the opposite direction to the adsorbed atom). Alkali atoms adsorbed give raise to two spin unpaired electronic levels inside the band gap leading the SiC single layer to exhibit n-type semiconductor properties. For alkaline atoms adsorbed there is a deep occupied spin paired electronic level inside the band gap. These finding suggest that the adsorption of alkaline and alkali-earth atoms on SiC layer is a powerful feature to functionalize two dimensional SiC structures, which can be used to produce new electronic, magnetic and optical devices as well for hydrogen and oxygen evolution reaction (HER and OER, respectively). Furthermore, we observe that the adsorption of H2 is ruled by dispersive forces (van der Waals interactions) while the O2 molecule is strongly adsorbed on the functionalized system.

  20. Effective atomic numbers and electron densities of bioactive glasses for photon interaction

    NASA Astrophysics Data System (ADS)

    Shantappa, Anil; Hanagodimath, S. M.

    2015-08-01

    This work was carried out to study the nature of mass attenuation coefficient of bioactive glasses for gamma rays. Bioactive glasses are a group of synthetic silica-based bioactive materials with unique bone bonding properties. In the present study, we have calculated the effective atomic number, electron density for photon interaction of some selected bioactive glasses viz., SiO2-Na2O, SiO2-Na2O-CaO and SiO2-Na2O-P2O5 in the energy range 1 keV to 100 MeV. We have also computed the single valued effective atomic number by using XMuDat program. It is observed that variation in effective atomic number (ZPI, eff) depends also upon the weight fractions of selected bioactive glasses and range of atomic numbers of the elements. The results shown here on effective atomic number, electron density will be more useful in the medical dosimetry for the calculation of absorbed dose and dose rate.

  1. Tuning negative differential resistance in single-atomic layer boron-silicon sheets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Ming-Yue; Liu, Chun-Sheng, E-mail: csliu@njupt.edu.cn, E-mail: yanxh@njupt.edu.cn; Key Laboratory of Radio Frequency and Micro-Nano Electronics of Jiangsu Province, Nanjing 210023, Jiangsu

    2015-03-21

    Using density functional theory and nonequilibrium Green's function formalism for quantum transport calculation, we have quantified the ballistic transport properties along different directions in two-dimensional boron-silicon (B-Si) compounds, as well as the current response to bias voltage. The conductance of the most B-Si devices is higher than the conductance of one-atom-thick boron and silicene. Furthermore, the negative differential resistance phenomenon can be found at certain B-Si stoichiometric composition, and it occurs at various bias voltages. Also, the peak-to-valley ratio is sensitive to the B-Si composition and dependent of the direction considered for B-Si monolayers. The present findings could be helpfulmore » for applications of the single-atomic layer B-Si sheets in the field of semiconductor devices or low-dimensional electronic devices.« less

  2. The experimental determination of the coefficient of hydraulic resistance of a perforated plate with a layer of balls adjoining to it

    NASA Astrophysics Data System (ADS)

    Smorchkova, Yu V.; Varava, A. N.; Dedov, A. V.; Zakharenkov, A. V.; Komov, A. T.

    2017-10-01

    The results of an experimental study of the hydrodynamics of a perforated plate with a layer of balls adjoining to it are presented herein. The experiments were carried out in the fluid flow range from 0.1 to 0.6 kg/s, at a fluid temperature of 19 °C.

  3. Misfit dislocation gettering by substrate pit-patterning in SiGe films on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grydlik, Martyna; Groiss, Heiko; Brehm, Moritz

    2012-07-02

    We show that suitable pit-patterning of a Si(001) substrate can strongly influence the nucleation and the propagation of dislocations during epitaxial deposition of Si-rich Si{sub 1-x}Ge{sub x} alloys, preferentially gettering misfit segments along pit rows. In particular, for a 250 nm layer deposited by molecular beam epitaxy at x{sub Ge} = 15%, extended film regions appear free of dislocations, by atomic force microscopy, as confirmed by transmission electron microscopy sampling. This result is quite general, as explained by dislocation dynamics simulations, which reveal the key role of the inhomogeneous distribution in stress produced by the pit-patterning.

  4. Betavoltaic device in por-SiC/Si C-Nuclear Energy Converter

    NASA Astrophysics Data System (ADS)

    Akimchenko, Alina; Chepurnov, Victor; Dolgopolov, Mikhail; Gurskaya, Albina; Kuznetsov, Oleg; Mashnin, Alikhan; Radenko, Vitaliy; Radenko, Alexander; Surnin, Oleg; Zanin, George

    2017-10-01

    The miniature and low-power devices with long service life in hard operating conditions like the Carbon-14 beta-decay energy converters indeed as eternal resource for integrated MEMS and NEMS are considered. Authors discuss how to create the power supply for MEMS/NEMS devices, based on porous SiC/Si structure, which are tested to be used as the beta-decay energy converters of radioactive C-14 into electrical energy. This is based on the silicon carbide obtaining by self-organizing mono 3C-SiC endotaxy on the Si substrate. The new idea is the C-14 atoms including in molecules in the silicon carbide porous structure by this technology, which will increase the efficiency of the converter due to the greater intensity of electron-hole pairs generation rate in the space charge region. The synthesis of C-14 can be also performed by using the electronically controlled magneto-optic chamber.

  5. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Williams, R.; Rubensson, J.E.; Eisebitt, S.

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantationmore » and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.« less

  6. Early stages of epitaxial CoSi 2 formation on Si(111) surface as investigated by ARUPS, XPS, LEED and work function variation

    NASA Astrophysics Data System (ADS)

    Pirri, C.; Peruchetti, J. C.; Gewinner, G.; Derrien, J.

    1985-04-01

    We performed the CoSi 2 formation on a clean (7 × 7) Si(111) surface under UHV conditions. The used techniques were angle resolved UV photoemission, X-ray photoemission, work function change and low energy electron diffraction in order to study the electronic and structural properties of the interface during its formation. At room temperature, a small amount of Co reacts strongly with Si to form an interfacial and very thin cobalt suicide. The ultraviolet photoelectron spectrum displays already two features corresponding respectively to the Co 3d and Si 3p electron bonding states and the Co 3d non-bonding states. With increasing coverage ( θ ≳ 4 ML) it seems that the interfacial suicide prevents further Co-Si interdiffusion to achieve the suicide reaction and a metal rich film is found. At high temperature (˜ 600°C) and in the first monolayer range, several superstructures are found (√7 × √7, and 2 × 2). They are induced by a Co-Si bidimensional compound where the Co atoms are not yet completely surrounded by Si atoms as in their bulk CoSi 2 structure. With increasing coverage, a CoSi 2-like photoemission spectrum is observed reflecting the formation of the disilicide. The LEED pattern testifies an epitaxial growth displaying a (1 × 1) CoSi 2 diagram. The work function change technique also reflects faithfully this growth.

  7. Focused beams of fast neutral atoms in glow discharge plasma

    NASA Astrophysics Data System (ADS)

    Grigoriev, S. N.; Melnik, Yu. A.; Metel, A. S.; Volosova, M. A.

    2017-06-01

    Glow discharge with electrostatic confinement of electrons in a vacuum chamber allows plasma processing of conductive products in a wide pressure range of p = 0.01 - 5 Pa. To assist processing of a small dielectric product with a concentrated on its surface beam of fast neutral atoms, which do not cause charge effects, ions from the discharge plasma are accelerated towards the product and transformed into fast atoms. The beam is produced using a negatively biased cylindrical or a spherical grid immersed in the plasma. Ions accelerated by the grid turn into fast neutral atoms at p > 0.1 Pa due to charge exchange collisions with gas atoms in the space charge sheaths adjoining the grid. The atoms form a diverging neutral beam and a converging beam propagating from the grid in opposite directions. The beam propagating from the concave surface of a 0.24-m-wide cylindrical grid is focused on a target within a 10-mm-wide stripe, and the beam from the 0.24-m-diameter spherical grid is focused within a 10-mm-diameter circle. At the bias voltage U = 5 kV and p ˜ 0.1 Pa, the energy of fast argon atoms is distributed continuously from zero to eU ˜ 5 keV. The pressure increase to 1 Pa results in the tenfold growth of their equivalent current and a decrease in the mean energy by an order of magnitude, which substantially raises the efficiency of material etching. Sharpening by the beam of ceramic knife-blades proved that the new method for the generation of concentrated fast atom beams can be effectively used for the processing of dielectric materials in vacuum.

  8. Reduction of thermal conductivity in MnSi{sub 1.7} multi-layered thin films with artificially inserted Si interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurosaki, Y., E-mail: yosuke.kurosaki.uy@hitachi.com; Yabuuchi, S.; Nishide, A.

    We report a lowered lattice thermal conductivity in nm-scale MnSi{sub 1.7}/Si multilayers which were fabricated by controlling thermal diffusions of Mn and Si atoms. The thickness of the constituent layers is 1.5–5.0 nm, which is comparable to the phonon mean free path of both MnSi{sub 1.7} and Si. By applying the above nanostructures, we reduced the lattice thermal conductivity down to half that of bulk MnSi{sub 1.7}/Si composite materials. The obtained value of 1.0 W/K m is the experimentally observed minimum in MnSi{sub 1.7}-based materials without any heavy element doping and close to the minimum thermal conductivity. We attribute the reduced latticemore » thermal conductivity to phonon scattering at the MnSi{sub 1.7}/Si interfaces in the multilayers.« less

  9. Thermal oxidation of Si/SiGe heterostructures for use in quantum dot qubits

    NASA Astrophysics Data System (ADS)

    Neyens, Samuel F.; Foote, Ryan H.; Knapp, T. J.; McJunkin, Thomas; Savage, D. E.; Lagally, M. G.; Coppersmith, S. N.; Eriksson, M. A.

    Here we demonstrate dry thermal oxidation of a Si/SiGe heterostructure at 700°C and use a Hall bar device to measure the mobility after oxidation to be 43,000 cm2V-1s-1 at a carrier density of 4.1 ×1011 cm-2. Surprisingly, we find no significant reduction in mobility compared with an Al2O3 device made with atomic layer deposition on the same heterostructure, indicating thermal oxidation can be used to process Si/SiGe quantum dot devices. This result provides a path for investigating improvements to the gate oxide in Si/SiGe qubit devices, whose performance is believed to be limited by charge noise in the oxide layer. This work was supported in part by ARO (W911NF-12-0607) and NSF (DMR-1206915 and PHY-1104660). Development and maintenance of the growth facilities used for fabricating samples is supported by DOE (DE-FG02-03ER46028). This research utilized NSF-supported shared facilities at the University of Wisconsin-Madison.

  10. Improving the photoresponse spectra of BaSi2 layers by capping with hydrogenated amorphous Si layers prepared by radio-frequency hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Xu, Zhihao; Gotoh, Kazuhiro; Deng, Tianguo; Sato, Takuma; Takabe, Ryota; Toko, Kaoru; Usami, Noritaka; Suemasu, Takashi

    2018-05-01

    We studied the surface passivation effect of hydrogenated amorphous silicon (a-Si:H) layers on BaSi2 films. a-Si:H was formed by an electron-beam evaporation of Si, and a supply of atomic hydrogen using radio-frequency plasma. Surface passivation effect was first investigated on a conventional n-Si(111) substrate by capping with 20 nm-thick a-Si:H layers, and next on a 0.5 μm-thick BaSi2 film on Si(111) by molecular beam epitaxy. The internal quantum efficiency distinctly increased by 4 times in a wide wavelength range for sample capped in situ with a 3 nm-thick a-Si:H layer compared to those capped with a pure a-Si layer.

  11. First principles study of crystal Si-doped Ge2Sb2Te5

    NASA Astrophysics Data System (ADS)

    Yan, Beibei; Yang, Fei; Chen, Tian; Wang, Minglei; Chang, Hong; Ke, Daoming; Dai, Yuehua

    2017-02-01

    Ge2Sb2Te5 (GST) and Si-doped GST with hexagonal structure were investigated by means of First-principles calcucations. We performed many kinds of doping types and studied the electronic properties of Si-doped GST with various Si concentrations. The theoretical calculations show that the lowest formation energy appeared when Si atoms substitute the Sb atoms (SiSb). With the increasing of Si concentrations from 10% to 30%, the impurity states arise around the Fermi level and the band gap of the SiSb structure broadens. Meanwhile, the doping supercell has the most favorable structure when the doping concentration keeps in 20%. The Si-doped GST exhibits p-type metallic characteristics more distinctly owing to the Fermi level moves toward the valence band. The Te p, d-orbitals electrons have greater impact on electronic properties than that of Te s-orbitals.

  12. Interplay between atomic disorder, lattice swelling and defect energy in ion-irradiation-induced amorphization of SiC

    DOE PAGES

    Debelle, Aurelien; Boulle, Alexandre; Chartier, Alain; ...

    2014-11-25

    We present a combination of experimental and computational evaluations of disorder level and lattice swelling in ion-irradiated materials. Information obtained from X-ray diffraction experiments is compared to X-ray diffraction data generated using atomic-scale simulations. The proposed methodology, which can be applied to a wide range of crystalline materials, is used to study the amorphization process in irradiated SiC. Results show that this process can be divided into two steps. In the first step, point defects and small defect clusters are produced and generate both large lattice swelling and high elastic energy. In the second step, enhanced coalescence of defects andmore » defect clusters occurs to limit this increase in energy, which rapidly leads to complete amorphization.« less

  13. NIST Databases on Atomic Spectra

    NASA Astrophysics Data System (ADS)

    Reader, J.; Wiese, W. L.; Martin, W. C.; Musgrove, A.; Fuhr, J. R.

    2002-11-01

    The NIST atomic and molecular spectroscopic databases now available on the World Wide Web through the NIST Physics Laboratory homepage include Atomic Spectra Database, Ground Levels and Ionization Energies for the Neutral Atoms, Spectrum of Platinum Lamp for Ultraviolet Spectrograph Calibration, Bibliographic Database on Atomic Transition Probabilities, Bibliographic Database on Atomic Spectral Line Broadening, and Electron-Impact Ionization Cross Section Database. The Atomic Spectra Database (ASD) [1] offers evaluated data on energy levels, wavelengths, and transition probabilities for atoms and atomic ions. Data are given for some 950 spectra and 70,000 energy levels. About 91,000 spectral lines are included, with transition probabilities for about half of these. Additional data resulting from our ongoing critical compilations will be included in successive new versions of ASD. We plan to include, for example, our recently published data for some 16,000 transitions covering most ions of the iron-group elements, as well as Cu, Kr, and Mo [2]. Our compilations benefit greatly from experimental and theoretical atomic-data research being carried out in the NIST Atomic Physics Division. A new compilation covering spectra of the rare gases in all stages of ionization, for example, revealed a need for improved data in the infrared. We have thus measured these needed data with our high-resolution Fourier transform spectrometer [3]. An upcoming new database will give wavelengths and intensities for the stronger lines of all neutral and singly-ionized atoms, along with energy levels and transition probabilities for the persistent lines [4]. A critical compilation of the transition probabilities of Ba I and Ba II [5] has been completed and several other compilations of atomic transition probabilities are nearing completion. These include data for all spectra of Na, Mg, Al, and Si [6]. Newly compiled data for selected ions of Ne, Mg, Si and S, will form the basis for a new

  14. Thermal decomposition of silane to form hydrogenated amorphous Si film

    DOEpatents

    Strongin, Myron; Ghosh, Arup K.; Wiesmann, Harold J.; Rock, Edward B.; Lutz, III, Harry A.

    1980-01-01

    This invention relates to hydrogenated amorphous silicon produced by thermally decomposing silano (SiH.sub.4) or other gases comprising H and Si, at elevated temperatures of about 1700.degree.-2300.degree. C., and preferably in a vacuum of about 10.sup.-8 to 10.sup.-4 torr, to form a gaseous mixture of atomic hydrogen and atomic silicon, and depositing said gaseous mixture onto a substrate outside said source of thermal decomposition to form hydrogenated amorphous silicon.

  15. Synergic effect of atomic oxygen and outgassing phenomena on Carbon/SiC composites for space applications

    NASA Astrophysics Data System (ADS)

    Albano, Marta

    so that sublimation and ablation easily can take place. The key role played by carbon composites in re-entry environment is due to their high stability at high temperature, preserving their mechanical properties. However, most of these applications involve extended time periods in oxidizing environments where carbon reacts rapidly with oxygen at temperatures as low as 770K and the composites are subjected to oxidation degradation. For these reasons coated C/C and C/SiC composites are the most promising materials for the exposed surface of a thermal protection system. The modern approaches to a design of such materials assume broad application of mathematical and physical simulation methods. But mathematical simulation is impossible if there is no true information available on the characteristics (properties) of objects analyzed. In the majority of cases in practice the direct measurement of materials thermo physical properties, especially of complex composition, is impossible. There is only one way which permits to overcome these complexities - the indirect measurement. Mathematically, such an approach is usually formulated as a solution of the inverse problem: through direct measurements of system's state (temperature, component concentration, etc.) define the properties of a system analyzed, for example, the materials thermophysical characteristics. Violation of cause-and-effect relations in the statement of these problems results in their correctness in mathematical sense (i.e., the absence of existence and/or uniqueness and/or stability of the solution). Hence to solve such problems special methods are developed usually called regularized. In order to guarantee the success of a space structure there is the necessity to study the synergic effects of all the challenges that the harsh space environment place to the structure. For this reason here is presented a joint experimental study on synergic effects on C/SiC composites. Outgassing and atomic oxygen corrosion

  16. Formation of crystalline heteroepitaxial SiC films on Si by carbonization of polyimide Langmuir-Blodgett films

    NASA Astrophysics Data System (ADS)

    Luchinin, Viktor V.; Goloudina, Svetlana I.; Pasyuta, Vyacheslav M.; Panov, Mikhail F.; Smirnov, Alexander N.; Kirilenko, Demid A.; Semenova, Tatyana F.; Sklizkova, Valentina P.; Gofman, Iosif V.; Svetlichnyi, Valentin M.; Kudryavtsev, Vladislav V.

    2017-06-01

    High-quality crystalline nano-thin SiC films on Si substrates were prepared by carbonization of polyimide (PI) Langmuir-Blodgett (LB) films. The obtained films were characterized by Fourier transform-infrared (FTIR) spectroscopy, X-ray diffraction (XRD) analysis, Raman spectroscopy, transmission electon microscopy (TEM), transmission electron diffraction (TED), and scanning electron microscopy (SEM). We demonstrated that the carbonization of a PI film on a Si substrate at 1000 °C leads to the formation of a carbon film and SiC nanocrystals on the Si substrate. It was found that five planes in the 3C-SiC(111) film are aligned with four Si(111) planes. As a result of repeated annealing of PI films containing 121 layers at 1200 °C crystalline SiC films were formed on the Si substrate. It was shown that the SiC films (35 nm) grown on Si(111) at 1200 °C have a mainly cubic 3C-SiC structure with small amount of hexagonal polytypes. Only 3C-SiC films (30 nm) were formed on the Si(100) substrate at the same temperature. It was shown that the SiC films (30-35 nm) can cover the voids with size up to 10 µm in the Si substrate. The current-voltage (I-V) characteristics of the n-Si/n-SiC heterostructure were obtained by conductive atomic force microscopy.

  17. The mixing mechanism during lithiation of Si negative electrode in Li-ion batteries: an ab initio molecular dynamics study.

    PubMed

    Johari, Priya; Qi, Yue; Shenoy, Vivek B

    2011-12-14

    In order to realize Si as a negative electrode material in commercial Li-ion batteries, it is important to understand the mixing mechanism of Li and Si, and stress evolution during lithiation in Si negative electrode of Li-ion batteries. Available experiments mainly provide the diffusivity of Li in Si as an averaged property, neglecting information regarding diffusivity of Si. However, if Si can diffuse as fast as Li, the stress generated during Li diffusion can be reduced. We, therefore, studied the diffusivity of Li as well as Si atoms in the Si-anode of Li-ion battery using an ab initio molecular dynamics-based methodology. The electrochemical insertion of Li into crystalline Si prompts a crystalline-to-amorphous phase transition. We considered this situation and thus examined the diffusion kinetics of Li and Si atoms in both crystalline and amorphous Si. We find that Li diffuses faster in amorphous Si as compared to crystalline Si, while Si remains relatively immobile in both cases and generates stresses during lithiation. To further understand the mixing mechanism and to relate the structure with electrochemical mixing, we analyzed the evolution of the structure during lithiation and studied the mechanism of breaking of Si-Si network by Li. We find that Li atoms break the Si rings and chains and create ephemeral structures such as stars and boomerangs, which eventually transform to Si-Si dumbbells and isolated Si atoms in the LiSi phase. Our results are found to be in agreement with the available experimental data and provide insights into the mixing mechanism of Li and Si in Si negative electrode of Li-ion batteries.

  18. Defect charge states in Si doped hexagonal boron-nitride monolayer

    NASA Astrophysics Data System (ADS)

    Mapasha, R. E.; Molepo, M. P.; Andrew, R. C.; Chetty, N.

    2016-02-01

    We perform ab initio density functional theory calculations to investigate the energetics, electronic and magnetic properties of isolated stoichiometric and non-stoichiometric substitutional Si complexes in a hexagonal boron-nitride monolayer. The Si impurity atoms substituting the boron atom sites SiB giving non-stoichiometric complexes are found to be the most energetically favourable, and are half-metallic and order ferromagnetically in the neutral charge state. We find that the magnetic moments and magnetization energies increase monotonically when Si defects form a cluster. Partial density of states and standard Mulliken population analysis indicate that the half-metallic character and magnetic moments mainly arise from the Si 3p impurity states. The stoichiometric Si complexes are energetically unfavorable and non-magnetic. When charging the energetically favourable non-stoichiometric Si complexes, we find that the formation energies strongly depend on the impurity charge states and Fermi level position. We also find that the magnetic moments and orderings are tunable by charge state modulation q  =  -2, -1, 0, +1, +2. The induced half-metallic character is lost (retained) when charging isolated (clustered) Si defect(s). This underlines the potential of a Si doped hexagonal boron-nitride monolayer for novel spin-based applications.

  19. Multiscale Engineered Si/SiO x Nanocomposite Electrodes for Lithium-Ion Batteries Using Layer-by-Layer Spray Deposition.

    PubMed

    Huang, Chun; Kim, Ayoung; Chung, Dong Jae; Park, Eunjun; Young, Neil P; Jurkschat, Kerstin; Kim, Hansu; Grant, Patrick S

    2018-05-09

    Si-based high-capacity materials have gained much attention as an alternative to graphite in Li-ion battery anodes. Although Si additions to graphite anodes are now commercialized, the fraction of Si that can be usefully exploited is restricted due to its poor cyclability arising from the large volume changes during charge/discharge. Si/SiO x nanocomposites have also shown promising behavior, such as better capacity retention than Si alone because the amorphous SiO x helps to accommodate the volume changes of the Si. Here, we demonstrate a new electrode architecture for further advancing the performance of Si/SiO x nanocomposite anodes using a scalable layer-by-layer atomization spray deposition technique. We show that particulate C interlayers between the current collector and the Si/SiO x layer and between the separator and the Si/SiO x layer improved electrical contact and reduced irreversible pulverization of the Si/SiO x significantly. Overall, the multiscale approach based on microstructuring at the electrode level combined with nanoengineering at the material level improved the capacity, rate capability, and cycling stability compared to that of an anode comprising a random mixture of the same materials.

  20. Defect Characterization in SiGe/SOI Epitaxial Semiconductors by Positron Annihilation

    PubMed Central

    2010-01-01

    The potential of positron annihilation spectroscopy (PAS) for defect characterization at the atomic scale in semiconductors has been demonstrated in thin multilayer structures of SiGe (50 nm) grown on UTB (ultra-thin body) SOI (silicon-on-insulator). A slow positron beam was used to probe the defect profile. The SiO2/Si interface in the UTB-SOI was well characterized, and a good estimation of its depth has been obtained. The chemical analysis indicates that the interface does not contain defects, but only strongly localized charged centers. In order to promote the relaxation, the samples have been submitted to a post-growth annealing treatment in vacuum. After this treatment, it was possible to observe the modifications of the defect structure of the relaxed film. Chemical analysis of the SiGe layers suggests a prevalent trapping site surrounded by germanium atoms, presumably Si vacancies associated with misfit dislocations and threading dislocations in the SiGe films. PMID:21170391

  1. Neutron Diffraction Studies of the Atomic Vibrations of Bulk and Surface Atoms of Nanocrystalline SiC

    NASA Technical Reports Server (NTRS)

    Stelmakh, S.; Grzanka, E.; Zhao, Y.; Palosz, W.; Palosz, B.

    2004-01-01

    Thermal atomic motions of nanocrystalline Sic were characterized by two temperature atomic factors B(sub core), and B(sub shell). With the use of wide angle neutron diffraction data it was shown that at the diffraction vector above 15A(exp -1) the Wilson plots gives directly the temperature factor of the grain interior (B(sub core)). At lower Q values the slope of the Wilson plot provides information on the relative amplitudes of vibrations of the core and shell atoms.

  2. Complete Al-SI Order in Scapolite Me[subscript 37.5], Ideally Ca[subscript 3]Na[subscript 5][Al[subscript 8]Si[subscript 16]O[subscript 48

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antao, Sytle M.; Hassan, Ishmael; West Indies)

    2011-09-06

    The structure of an intermediate scapolite (Me{sub 36.6}) from Lake Clear, Ontario, was obtained using synchrotron high-resolution powder X-ray diffraction (HRPXRD) data and Rietveld structure refinement in space group P4{sub 2}/n. The chemical formula obtained by electron microprobe is Na{sub 2.19}Ca{sub 1.35}K{sub 0.16}[Al{sub 3.95}Si{sub 8.05}O{sub 24}]Cl{sub 0.55}(CO{sub 3}){sub 0.41}(SO{sub 4}){sub 0.04}, equivalent to Me{sub 36.6}. The unit-cell parameters are a 12.07899(1), c 7.583467(9) {angstrom}, and V 1106.443(2) {angstrom}{sup 3}. The average distances are = 1.617(1) {angstrom}, = 1.744(1) {angstrom}, and = 1.601(1) {angstrom}. Therefore, the T1 and T3 sites contain only Si atoms, and the T2 sitemore » contains only Al atoms, so the Al and Si atoms are completely ordered. Complete Al-Si order was predicted for Me{sub 37.5}, ideally Ca{sub 3}Na{sub 5}[Al{sub 8}Si{sub 16}O{sub 48}]Cl(CO{sub 3}), and is confirmed in this study. Antiphase domain boundaries (APBs) in scapolite cannot arise from Al-Si order because the average distances indicate complete Al-Si order in Me{sub 36.6}. If APBs were to arise from Al-Si order, switching of the T sites across the APBs will occur, and complete Al-Si order cannot be observed. Therefore, Al-Si order, which is present to various extents across the scapolite series, can be ruled out as the cause for the APBs. Order involving Cl and CO{sub 3} is the cause for the APBs in scapolite.« less

  3. Fabrication and Analysis of the Wear Properties of Hot-Pressed Al-Si/SiCp + Al-Si-Cu-Mg Metal Matrix Composite

    NASA Astrophysics Data System (ADS)

    Bang, Jeongil; Oak, Jeong-Jung; Park, Yong Ho

    2016-01-01

    The aim of this study was to characterize microstructures and mechanical properties of aluminum metal matrix composites (MMC's) prepared by powder metallurgy method. Consolidation of mixed powder with gas atomized Al-Si/SiCp powder and Al-14Si-2.5Cu-0.5Mg powder by hot pressing was classified according to sintering temperature and sintering time. Sintering condition was optimized using tensile properties of sintered specimens. Ultimate tensile strength of the optimized sintered specimen was 228 MPa with an elongation of 5.3% in longitudinal direction. In addition, wear properties and behaviors of the sintered aluminum-based MMC's were analyzed in accordance with vertical load and linear speed. As the linear speed and vertical load of the wear increased, change of the wear behavior occurred in order of oxidation of Al-Si matrix, formation of C-rich layer, Fe-alloying to matrix, and melting of the specimen

  4. Local structure order in Pd 78Cu 6Si 16 liquid

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yue, G. Q.; Zhang, Y.; Sun, Y.

    2015-02-05

    The short-range order (SRO) in Pd 78Cu 6Si 16 liquid was studied by high energy x-ray diffraction and ab initio molecular dynamics (MD) simulations. The calculated pair correlation functions at different temperatures agree well with the experimental results. The partial pair correlation functions from ab intio MD simulations indicate that Si atoms prefer to be uniformly distributed while Cu atoms tend to aggregate. By performing structure analysis using Honeycutt-Andersen index, Voronoi tessellation, and atomic cluster alignment method, we show that the icosahedron and face-centered cubic SRO increase upon cooling. The dominant SRO is the Pd-centered Pd 9Si 2 motif, namelymore » the structure of which motif is similar to the structure of Pd-centered clusters in the Pd 9Si 2 crystal. The study further confirms the existence of trigonal prism capped with three half-octahedra that is reported as a structural unit in Pd-based amorphous alloys. The majority of Cu-centered clusters are icosahedra, suggesting that the presence of Cu is benefit to promote the glass forming ability.« less

  5. Charge Transfer Rate in Collisions of H + Ions with Si Atoms

    NASA Astrophysics Data System (ADS)

    Kimura, M.; Sannigrahi, A. B.; Gu, J. P.; Hirsch, G.; Buenker, R. J.; Shimamura, I.

    1996-12-01

    Charge transfer in Si(3P, 1D) + H+ collisions is studied theoretically by using a semiclassical molecular representation with six molecular channels for the triplet manifold and four channels for the singlet manifold at collision energies above 30 eV, and by using a fully quantum mechanical approach with two molecular channels for both triplet and singlet manifolds below 30 eV. The ab initio potential curves and nonadiabatic coupling matrix elements for the HSi+ system are obtained from multireference single- and double-excitation configuration interaction (MRD-CI) calculations employing a relatively large basis set. The present rate coefficients for charge transfer to Si+(4P) formation resulting from H+ + Si(3P) collisions are found to be large with values from 1 x 10-10 cm-3 s-1 at 1000 K to 1 x 10-8 cm-3 s-1 at 100,000 K. The rate coefficient for Si+(2P) formation, resulting from H+ + Si(3P) collisions, is found to be much smaller because of a larger energy defect from the initial state. These calculated rates are much larger than those reported by Baliunas & Butler, who estimated a value of 10-11 cm-3 s-1 in their coronal plasma study. The present result may be relevant to the description of the silicon ionization equilibrium.

  6. Si1-yCy/Si(001) gas-source molecular beam epitaxy from Si2H6 and CH3SiH3: Surface reaction paths and growth kinetics

    NASA Astrophysics Data System (ADS)

    Foo, Y. L.; Bratland, K. A.; Cho, B.; Desjardins, P.; Greene, J. E.

    2003-04-01

    In situ surface probes and postdeposition analyses were used to follow surface reaction paths and growth kinetics of Si1-yCy alloys grown on Si(001) by gas-source molecular-beam epitaxy from Si2H6/CH3SiH3 mixtures as a function of C concentration y (0-2.6 at %) and temperature Ts (500-600 °C). High-resolution x-ray diffraction reciprocal lattice maps show that all layers are in tension and fully coherent with their substrates. Film growth rates R decrease with both y and Ts, and the rate of decrease in R as a function of y increases rapidly with Ts. In situ isotopically tagged D2 temperature-programmed desorption (TPD) measurements reveal that C segregation during steady-state Si1-yCy(001) growth results in charge transfer from Si surface dangling bonds to second-layer C atoms, which have a higher electronegativity than Si. From the TPD results, we obtain the coverage θSi*(y,Ts) of Si* surface sites with C backbonds as well as H2 desorption energies Ed from both Si and Si* surface sites. θSi* increases with increasing y and Ts in the kinetically limited segregation regime while Ed decreases from 2.52 eV for H2 desorption from Si surface sites with Si back bonds to 2.22 eV from Si* surface sites. This leads to an increase in the H2 desorption rate, and hence should yield higher film deposition rates, with increasing y and/or Ts during Si1-yCy(001) growth. The effect, however, is more than offset by the decrease in Si2H6 reactive sticking probabilities at Si* surface sites. Film growth rates R(Ts,JSi2H6,JCH3SiH3) calculated using a simple transition-state kinetic model, together with measured kinetic parameters, were found to be in excellent agreement with the experimental data.

  7. Vaporization of SiO2 and MgSiO3

    NASA Astrophysics Data System (ADS)

    Stixrude, L. P.; Xiao, B.

    2016-12-01

    Vaporization of SiO2 and MgSiO3B Xiaoa and L Stixrude*a, a Department of Earth Sciences, University College London, WC1E 6BT London, UK *presenting author, email: l.stixrude@ucl.ac.uk Vaporization is an important process in Earth's earliest evolution during which giant impacts are thought to have produced a transient silicate atmosphere. As experimental data are very limited, little is known of the near-critical vaporization of Earth's major oxide components: MgO and SiO2. We have performed novel ab initio molecular dynamics simulations of vapor-liquid coexistence in the SiO2 and MgSiO3 systems. The simulations, based on density functional theory using the VASP code, begin with a suitably prepared liquid slab embedded in a vacuum. During the dynamical trajectory in the canonical ensemble, we see spontaneous vaporization, leading eventually to a steady-state chemical equilibrium between the two coexisting phases. We locate the liquid-vapor critical point at 6600 K and 0.40 g/cm3 for MgSiO3 and 5300 K and 0.43 g/cm3 for SiO2. By carefully examining the trajectories, we determine the composition and speciation of the vapor. For MgSiO3, We find that the vapor is significantly richer in Mg, O, and atomic (non-molecular) species than extrapolation of low-temperature experimental data has suggested. These results will have important implications for our understanding of the initial chemistry of the Earth and Moon and the initial thermal state of Earth.

  8. Novel silicon-carbon fullerene-like nanostructures: an Ab initio study on the stability of Si54C6 and Si60C6 clusters.

    PubMed

    Srinivasan, Aravind; Ray, Asok K

    2006-01-01

    Silicon fullerene like nanostructures with six carbon atoms on the surface of Si60 cages by substitution, as well as inside the cage at various symmetry orientations have been studied within the generalized gradient approximation to density functional theory. Full geometry optimizations have been performed without any symmetry constraints using the Gaussian 03 suite of programs and the LANL2DZ basis set. Thus, for the silicon atom, the Hay-Wadt pseudopotential with the associated basis set are used for the core electrons and the valence electrons, respectively. For the carbon atom, the Dunning/Huzinaga double zeta basis set is employed. Electronic and geometric properties of the nanostructures are presented and discussed in detail. It was found that optimized silicon-carbon fullerene like nanostructures have increased stability compared to bare Si60 cage and the stability depends on the orientation of carbon atoms, as well as on the nature of bonding between silicon and carbon atoms and also on the carbon-carbon bonding.

  9. Electron doping through lithium intercalation to interstitial channels in tetrahedrally bonded SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Yuki; Center for Computational Materials, Institute for Computational Engineering and Sciences, The University of Texas at Austin, Austin, Texas 78712; Oshiyama, Atsushi

    2015-11-07

    We report on first-principles calculations that clarify the effect of lithium atom intercalation into zinc blende 3C-silicon carbide (3C-SiC) on electronic and structural properties. Lithium atoms inside 3C-SiC are found to donate electrons to 3C-SiC that is an indication of a new way of electron doping through the intercalation. The electrons doped into the conduction band interact with lithium cations and reduce the band spacing between the original valence and conduction bands. We have also found that a silicon monovacancy in 3C-SiC promotes the lithium intercalation, showing that the vacancy generation makes SiC as a possible anode material for lithium-ionmore » battery.« less

  10. Prediction of a new graphenelike Si2BN solid

    NASA Astrophysics Data System (ADS)

    Andriotis, Antonis N.; Richter, Ernst; Menon, Madhu

    2016-02-01

    While the possibility to create a single-atom-thick two-dimensional layer from any material remains, only a few such structures have been obtained other than graphene and a monolayer of boron nitride. Here, based upon ab initio theoretical simulations, we propose a new stable graphenelike single-atomic-layer Si2BN structure that has all of its atoms with s p2 bonding with no out-of-plane buckling. The structure is found to be metallic with a finite density of states at the Fermi level. This structure can be rolled into nanotubes in a manner similar to graphene. Combining first- and second-row elements in the Periodic Table to form a one-atom-thick material that is also flat opens up the possibility for studying new physics beyond graphene. The presence of Si will make the surface more reactive and therefore a promising candidate for hydrogen storage.

  11. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  12. Electron density distribution and disordered crystal structure of 15R-SiAlON, SiAl{sub 4}O{sub 2}N{sub 4}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Banno, Hiroki; Hanai, Takaaki; Asaka, Toru

    2014-03-15

    The crystal structure of SiAl{sub 4}O{sub 2}N{sub 4} was characterized by laboratory X-ray powder diffraction (CuKα{sub 1}). The title compound is trigonal with space group R3-bar m. The hexagonal unit-cell dimensions (Z=3) are a=0.301332(3) nm, c=4.18616(4) nm and V=0.3291825(5) nm{sup 3}. The initial structural model was successfully derived by the charge-flipping method and further refined by the Rietveld method. The final structural model showed the positional disordering of one of the three (Si,Al) sites. The maximum-entropy method-based pattern fitting (MPF) method was used to confirm the validity of the split-atom model, in which conventional structure bias caused by assuming intensitymore » partitioning was minimized. The reliability indices calculated from the MPF were R{sub wp}=5.05%, S (=R{sub wp}/R{sub e})=1.21, R{sub p}=3.77%, R{sub B}=1.29% and R{sub F}=1.01%. The disordered crystal structure was successfully described by overlapping three types of domains with ordered atom arrangements. The distribution of atomic positions in one of the three types of domains can be achieved in the space group R3-bar m. The atom arrangements in the other two types of domains are noncentrosymmetrical with the space group R3m. These two structural configurations are related by the pseudo-symmetry inversion. -- Graphical abstract: A bird's eye view of electron densities up to 75.3% (0.133 nm{sup −3}) of the maximum on the plane parallel to (110) with the corresponding atomic arrangements of SiAl{sub 4}O{sub 2}N{sub 4}. Highlights: • Crystal structure of SiAl{sub 4}O{sub 2}N{sub 4} is determined by laboratory X-ray powder diffraction. • The atom arrangements are represented by the split-atom model. • The maximum-entropy method-based pattern fitting method is used to confirm the validity of the model. • The disordered structure is described by overlapping three types of domains with ordered atom arrangements.« less

  13. Effective atomic numbers and electron densities of bioactive glasses for photon interaction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shantappa, Anil, E-mail: anilmalipatil@yahoo.co.in; Hanagodimath, S. M., E-mail: smhmath@rediffmail.com

    2015-08-28

    This work was carried out to study the nature of mass attenuation coefficient of bioactive glasses for gamma rays. Bioactive glasses are a group of synthetic silica-based bioactive materials with unique bone bonding properties. In the present study, we have calculated the effective atomic number, electron density for photon interaction of some selected bioactive glasses viz., SiO{sub 2}-Na{sub 2}O, SiO{sub 2}-Na{sub 2}O-CaO and SiO{sub 2}-Na{sub 2}O-P{sub 2}O{sub 5} in the energy range 1 keV to 100 MeV. We have also computed the single valued effective atomic number by using XMuDat program. It is observed that variation in effective atomic number (Z{submore » PI,} {sub eff}) depends also upon the weight fractions of selected bioactive glasses and range of atomic numbers of the elements. The results shown here on effective atomic number, electron density will be more useful in the medical dosimetry for the calculation of absorbed dose and dose rate.« less

  14. XPS studies of structure-induced radiation effects at the Si/SiO2 interface. [X ray Photoelectron Spectroscopy

    NASA Technical Reports Server (NTRS)

    Grunthaner, F. J.; Lewis, B. F.; Zamini, N.; Maserjian, J.; Madhukar, A.

    1980-01-01

    The interfacial structures of radiation hard and soft oxides grown by dry and wet processes on silicon substrates have been examined by high-resolution X-ray photoelectron spectroscopy. It is found that the primary difference in the local atomic structure at the Si/SiO2 interface is the significantly higher concentration of strained 120 deg SiO2 bonds and SiO interfacial species in soft samples. Results of in situ radiation damage experiments using low energy electrons (0-20 eV) are reported which correlate with the presence of a strained layer of SiO2 (20 A) at the interface. The results are interpreted in terms of a structural model for hole and electron trap generation by ionizing radiation.

  15. Subatomic electronic feature from dynamic motion of Si dimer defects in Bi nanolines on Si(001)

    NASA Astrophysics Data System (ADS)

    Kirkham, C. J.; Longobardi, M.; Köster, S. A.; Renner, Ch.; Bowler, D. R.

    2017-08-01

    Scanning tunneling microscopy (STM) reveals unusual sharp features in otherwise defect-free Bi nanolines self-assembled on Si(001). They appear as subatomic thin lines perpendicular to the Bi nanoline at positive biases and as atomic size beads at negative biases. Density functional theory (DFT) simulations show that these features can be attributed to buckled Si dimers substituting for Bi dimers in the nanoline, where the sharp feature is the counterintuitive signature of these dimers flipping during scanning. The perfect correspondence between the STM data and the DFT simulation demonstrated in this paper highlights the detailed understanding we have of the complex Bi-Si(001) Haiku system. This discovery has applications in the patterning of Si dangling bonds for nanoscale electronics.

  16. Low Temperature Deposition of PECVD Polycrystalline Silicon Thin Films using SiF4 / SiH4 mixture

    NASA Astrophysics Data System (ADS)

    Syed, Moniruzzaman; Inokuma, Takao; Kurata, Yoshihiro; Hasegawa, Seiichi

    2016-03-01

    Polycrystalline silicon films with a strong (110) texture were prepared at 400°C by a plasma-enhanced chemical vapor deposition using different SiF4 flow rates ([SiF4] = 0-0.5 sccm) under a fixed SiH4 flow rate ([SiH4] = 1 or 0.15 sccm). The effects of the addition of SiF4 to SiH4 on the structural properties of the films were studied by Raman scattering, X-ray diffraction (XRD), Atomic force microscopy and stress measurements. For [SiH4] = 1 sccm, the crystallinity and the (110) XRD grain size monotonically increased with increasing [SiF4] and their respective maxima reach 90% and 900 Å. However, for [SiH4] = 0.15 sccm, both the crystallinity and the grain size decreased with [SiF4]. Mechanisms causing the change in crystallinity are discussed, and it was suggested that an improvement in the crystallinity, due to the addition of SiF4, is likely to be caused by the effect of a change in the surface morphology of the substrates along with the effect of in situ chemical cleaning.

  17. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Surface diffusion of Si, Ge and C adatoms on Si (001) substrate studied by the molecular dynamics simulation

    NASA Astrophysics Data System (ADS)

    Chen, Zhi-Hui; Yu, Zhong-Yuan; Lu, Peng-Fei; Liu, Yu-Min

    2009-10-01

    Depositions of Si, Ge and C atoms onto a preliminary Si (001) substrate at different temperatures are investigated by using the molecular dynamics method. The mechanism of atomic self-assembling occurring locally on the flat terraces between steps is suggested. Diffusion and arrangement patterns of adatoms at different temperatures are observed. At 900 K, the deposited atoms are more likely to form dimers in the perpendicular [110] direction due to the more favourable movement along the perpendicular [110] direction. C adatoms are more likely to break or reconstruct the dimers on the substrate surface and have larger diffusion distances than Ge and Si adatoms. Exchange between C adatoms and substrate atoms are obvious and the epitaxial thickness is small. Total potential energies of adatoms and substrate atoms involved in the simulation cell are computed. When a newly arrived adatom reaches the stable position, the potential energy of the system will decrease and the curves turns into a ladder-like shape. It is found that C adatoms can lead to more reduction of the system energy and the potential energy of the system will increase as temperature increases.

  18. The atomic structure and polarization of strained SrTiO3/Si

    NASA Astrophysics Data System (ADS)

    Kumah, D. P.; Reiner, J. W.; Segal, Y.; Kolpak, A. M.; Zhang, Z.; Su, D.; Zhu, Y.; Sawicki, M. S.; Broadbridge, C. C.; Ahn, C. H.; Walker, F. J.

    2010-12-01

    For thin film devices based on coupling ferroelectric polarization to charge carriers in semiconductors, the role of the interface is critical. To elucidate this role, we use synchrotron x-ray diffraction to determine the interface structure of epitaxial SrTiO3 grown on the (001) surface of Si. The average displacement of the O octahedral sublattice relative to the Sr sublattice determines the film polarization and is measured to be about 0.05 nm toward the Si, with Ti off-center displacements 0.009 nm away from the substrate. Measurements of films with different boundary conditions on the top of the SrTiO3 show that the polarization at the SrTiO3/Si interface is dominated by oxide-Si chemical interactions.

  19. Determination of the geometric corrugation of graphene on SiC(0001) by grazing incidence fast atom diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zugarramurdi, A.; Debiossac, M.; Lunca-Popa, P.

    2015-03-09

    We present a grazing incidence fast atom diffraction (GIFAD) study of monolayer graphene on 6H-SiC(0001). This system shows a Moiré-like 13 × 13 superlattice above the reconstructed carbon buffer layer. The averaging property of GIFAD results in electronic and geometric corrugations that are well decoupled; the graphene honeycomb corrugation is only observed with the incident beam parallel to the zigzag direction while the geometric corrugation arising from the superlattice is revealed along the armchair direction. Full-quantum calculations of the diffraction patterns show the very high GIFAD sensitivity to the amplitude of the surface corrugation. The best agreement between the calculated and measuredmore » diffraction intensities yields a corrugation height of 0.27 ± 0.03 Å.« less

  20. New Insights into Understanding Irreversible and Reversible Lithium Storage within SiOC and SiCN Ceramics

    PubMed Central

    Graczyk-Zajac, Magdalena; Reinold, Lukas Mirko; Kaspar, Jan; Sasikumar, Pradeep Vallachira Warriam; Soraru, Gian-Domenico; Riedel, Ralf

    2015-01-01

    Within this work we define structural properties of the silicon carbonitride (SiCN) and silicon oxycarbide (SiOC) ceramics which determine the reversible and irreversible lithium storage capacities, long cycling stability and define the major differences in the lithium storage in SiCN and SiOC. For both ceramics, we correlate the first cycle lithiation or delithiation capacity and cycling stability with the amount of SiCN/SiOC matrix or free carbon phase, respectively. The first cycle lithiation and delithiation capacities of SiOC materials do not depend on the amount of free carbon, while for SiCN the capacity increases with the amount of carbon to reach a threshold value at ~50% of carbon phase. Replacing oxygen with nitrogen renders the mixed bond Si-tetrahedra unable to sequester lithium. Lithium is more attracted by oxygen in the SiOC network due to the more ionic character of Si-O bonds. This brings about very high initial lithiation capacities, even at low carbon content. If oxygen is replaced by nitrogen, the ceramic network becomes less attractive for lithium ions due to the more covalent character of Si-N bonds and lower electron density on the nitrogen atom. This explains the significant difference in electrochemical behavior which is observed for carbon-poor SiCN and SiOC materials. PMID:28347008

  1. C 60 -induced Devil's Staircase transformation on a Pb/Si(111) wetting layer

    DOE PAGES

    Wang, Lin -Lin; Johnson, Duane D.; Tringides, Michael C.

    2015-12-03

    Density functional theory is used to study structural energetics of Pb vacancy cluster formation on C 60/Pb/Si(111) to explain the unusually fast and error-free transformations between the “Devil's Staircase” (DS) phases on the Pb/Si(111) wetting layer at low temperature (~110K). The formation energies of vacancy clusters are calculated in C 60/Pb/Si(111) as Pb atoms are progressively ejected from the initial dense Pb wetting layer. Vacancy clusters larger than five Pb atoms are found to be stable with seven being the most stable, while vacancy clusters smaller than five are highly unstable, which agrees well with the observed ejection rate ofmore » ~5 Pb atoms per C 60. Furthermore, the high energy cost (~0.8 eV) for the small vacancy clusters to form indicates convincingly that the unusually fast transformation observed experimentally between the DS phases, upon C 60 adsorption at low temperature, cannot be the result of single-atom random walk diffusion but of correlated multi-atom processes.« less

  2. Surface functionalized SiO2 nanoparticles with cationic polymers via the combination of mussel inspired chemistry and surface initiated atom transfer radical polymerization: Characterization and enhanced removal of organic dye.

    PubMed

    Huang, Qiang; Liu, Meiying; Mao, Liucheng; Xu, Dazhuang; Zeng, Guangjian; Huang, Hongye; Jiang, Ruming; Deng, Fengjie; Zhang, Xiaoyong; Wei, Yen

    2017-08-01

    Monodispersed SiO 2 particles functionalized with cationic polymers poly-((3-acrylamidopropyl)trimethylammonium chloride) (PAPTCl) were prepared using mussel inspired surface modification strategy and surface initiated atom transfer radical polymerization (SI-ATRP). Fourier transform infrared spectroscopy, transmission electron microscope, thermogravimetric analysis, X-ray photoelectron spectroscopy, and zeta potential were employed to characterize these SiO 2 samples. The adsorption performance of the functionalized SiO 2 (donated as SiO 2 -PDA-PAPTCl) towards anionic organic dye Congo red (CR) was investigated to evaluate their potential environmental applications. We demonstrated that the surface of SiO 2 particles can be successfully functionalized with cationic PAPTCl. The adsorption capability of as-prepared SiO 2 was found to increases from 28.70 and 106.65mg/g after surface grafted with cationic polymers. The significant enhancement in the adsorption capability of SiO 2 -PDA-PAPTCl is mainly attributed to the introduction of cationic polymers. More importantly, this strategy is expected to be promising for fabrication of many other functional polymer nanocomposites for environmental applications due to the universality of mussel inspired chemistry and well designability and good monomer adaptability of SI-ATRP. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Electrical evaluation of crack generation in SiNx and SiOxNy thin-film encapsulation layers for OLED displays

    NASA Astrophysics Data System (ADS)

    Park, Eun Kil; Kim, Sungmin; Heo, Jaeyeong; Kim, Hyeong Joon

    2016-05-01

    By measuring leakage current density, we detected crack generation in silicon nitride (SiNx) and silicon oxynitride (SiOxNy) thin-film encapsulation layers, and correlated with the films' water vapor permeability characteristics. After repeated bending cycles, both the changes in water vapor transmission rate and leakage current density were directly proportional to the crack density. Thick SiNx films had better water vapor barrier characteristics in their pristine state, but cyclic loading led to fast failure. Varying the atomic concentration of the SiOxNy films affected their bending reliability. We attribute these differences to changes in the shape of the crack tip as the oxygen content varies.

  4. Crystal structure refinement of ReSi1.75 with an ordered arrangement of silicon vacancies

    NASA Astrophysics Data System (ADS)

    Harada, Shunta; Hoshikawa, Hiroaki; Kuwabara, Kosuke; Tanaka, Katsushi; Okunishi, Eiji; Inui, Haruyuki

    2011-08-01

    The crystal structure and microstructure of ReSi1.75 were investigated by synchrotron X-ray diffraction combined with scanning transmission electron microscopy. ReSi1.75 contains an ordered arrangement of vacancies in Si sites in the underlying tetragonal C11b lattice of the MoSi2-type and the crystal structure is monoclinic with the space group Cm. Atomic positions of Si atoms near vacancies are considerably displaced from the corresponding positions in the parent C11b structure, and they exhibit anomalously large local thermal vibration accompanied by large values of atomic displacement parameter. There are four differently-oriented domains with two of them being related to each other by the 90° rotation about the c-axis of the underlying C11b lattice and the other two being their respective twins. The habit planes for domain boundaries observed experimentally are consistent with those predicted with ferroelastic theory.

  5. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  6. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  7. From atomic structure to excess entropy: a neutron diffraction and density functional theory study of CaO-Al2O3-SiO2 melts

    NASA Astrophysics Data System (ADS)

    Liu, Maoyuan; Jacob, Aurélie; Schmetterer, Clemens; Masset, Patrick J.; Hennet, Louis; Fischer, Henry E.; Kozaily, Jad; Jahn, Sandro; Gray-Weale, Angus

    2016-04-01

    Calcium aluminosilicate \\text{CaO}-\\text{A}{{\\text{l}}2}{{\\text{O}}3}-\\text{Si}{{\\text{O}}2} (CAS) melts with compositions {{≤ft(\\text{CaO}-\\text{Si}{{\\text{O}}2}\\right)}x}{{≤ft(\\text{A}{{\\text{l}}2}{{\\text{O}}3}\\right)}1-x} for x  <  0.5 and {{≤ft(\\text{A}{{\\text{l}}2}{{\\text{O}}3}\\right)}x}{{≤ft(\\text{Si}{{\\text{O}}2}\\right)}1-x} for x≥slant 0.5 are studied using neutron diffraction with aerodynamic levitation and density functional theory molecular dynamics modelling. Simulated structure factors are found to be in good agreement with experimental structure factors. Local atomic structures from simulations reveal the role of calcium cations as a network modifier, and aluminium cations as a non-tetrahedral network former. Distributions of tetrahedral order show that an increasing concentration of the network former Al increases entropy, while an increasing concentration of the network modifier Ca decreases entropy. This trend is opposite to the conventional understanding that increasing amounts of network former should increase order in the network liquid, and so decrease entropy. The two-body correlation entropy S 2 is found to not correlate with the excess entropy values obtained from thermochemical databases, while entropies including higher-order correlations such as tetrahedral order, O-M-O or M-O-M bond angles and Q N environments show a clear linear correlation between computed entropy and database excess entropy. The possible relationship between atomic structures and excess entropy is discussed.

  8. Improved segmentation of occluded and adjoining vehicles in traffic surveillance videos

    NASA Astrophysics Data System (ADS)

    Juneja, Medha; Grover, Priyanka

    2013-12-01

    Occlusion in image processing refers to concealment of any part of the object or the whole object from view of an observer. Real time videos captured by static cameras on roads often encounter overlapping and hence, occlusion of vehicles. Occlusion in traffic surveillance videos usually occurs when an object which is being tracked is hidden by another object. This makes it difficult for the object detection algorithms to distinguish all the vehicles efficiently. Also morphological operations tend to join the close proximity vehicles resulting in formation of a single bounding box around more than one vehicle. Such problems lead to errors in further video processing, like counting of vehicles in a video. The proposed system brings forward efficient moving object detection and tracking approach to reduce such errors. The paper uses successive frame subtraction technique for detection of moving objects. Further, this paper implements the watershed algorithm to segment the overlapped and adjoining vehicles. The segmentation results have been improved by the use of noise and morphological operations.

  9. Self-learning kinetic Monte Carlo simulations of diffusion in ferromagnetic α-Fe-Si alloys

    NASA Astrophysics Data System (ADS)

    Nandipati, Giridhar; Jiang, Xiujuan; Vemuri, Rama S.; Mathaudhu, Suveen; Rohatgi, Aashish

    2018-01-01

    Diffusion of Si atom and vacancy in the A2-phase of α-Fe-Si alloys in the ferromagnetic state, with and without magnetic order and in various temperature ranges, are studied using AKSOME, an on-lattice self-learning KMC code. Diffusion of the Si atom and the vacancy are studied in the dilute limit and up to 12 at.% Si, respectively, in the temperature range 350-700 K. Local Si neighborhood dependent activation energies for vacancy hops were calculated on-the-fly using a broken-bond model based on pairwise interaction. The migration barrier and prefactor for the Si diffusion in the dilute limit were obtained and found to agree with published data within the limits of uncertainty. Simulations results show that the prefactor and the migration barrier for the Si diffusion are approximately an order of magnitude higher, and a tenth of an electron-volt higher, respectively, in the magnetic disordered state than in the fully ordered state. However, the net result is that magnetic disorder does not have a significant effect on Si diffusivity within the range of parameters studied in this work. Nevertheless, with increasing temperature, the magnetic disorder increases and its effect on the Si diffusivity also increases. In the case of vacancy diffusion, with increasing Si concentration, its diffusion prefactor decreases while the migration barrier more or less remained constant and the effect of magnetic disorder increases with Si concentration. Important vacancy-Si/Fe atom exchange processes and their activation barriers were identified, and the effect of energetics on ordered phase formation in Fe-Si alloys are discussed.

  10. Universal description of III-V/Si epitaxial growth processes

    NASA Astrophysics Data System (ADS)

    Lucci, I.; Charbonnier, S.; Pedesseau, L.; Vallet, M.; Cerutti, L.; Rodriguez, J.-B.; Tournié, E.; Bernard, R.; Létoublon, A.; Bertru, N.; Le Corre, A.; Rennesson, S.; Semond, F.; Patriarche, G.; Largeau, L.; Turban, P.; Ponchet, A.; Cornet, C.

    2018-06-01

    Here, we experimentally and theoretically clarify III-V/Si crystal growth processes. Atomically resolved microscopy shows that monodomain three-dimensional islands are observed at the early stages of AlSb, AlN, and GaP epitaxy on Si, independently of misfit. It is also shown that complete III-V/Si wetting cannot be achieved in most III-V/Si systems. Surface/interface contributions to the free-energy variations are found to be prominent over strain relief processes. We finally propose a general and unified description of III-V/Si growth processes, including a description of the formation of antiphase boundaries.

  11. Atomic Precision Plasma Processing - Modeling Investigations

    NASA Astrophysics Data System (ADS)

    Rauf, Shahid

    2016-09-01

    Sub-nanometer precision is increasingly being required of many critical plasma processes in the semiconductor industry. Some of these critical processes include atomic layer etch and plasma enhanced atomic layer deposition. Accurate control over ion energy and ion / radical composition is needed during plasma processing to meet the demanding atomic-precision requirements. While improvements in mainstream inductively and capacitively coupled plasmas can help achieve some of these goals, newer plasma technologies can expand the breadth of problems addressable by plasma processing. Computational modeling is used to examine issues relevant to atomic precision plasma processing in this paper. First, a molecular dynamics model is used to investigate atomic layer etch of Si and SiO2 in Cl2 and fluorocarbon plasmas. Both planar surfaces and nanoscale structures are considered. It is shown that accurate control of ion energy in the sub-50 eV range is necessary for atomic scale precision. In particular, if the ion energy is greater than 10 eV during plasma processing, several atomic layers get damaged near the surface. Low electron temperature (Te) plasmas are particularly attractive for atomic precision plasma processing due to their low plasma potential. One of the most attractive options in this regard is energetic-electron beam generated plasma, where Te <0.5 eV has been achieved in plasmas of molecular gases. These low Te plasmas are computationally examined in this paper using a hybrid fluid-kinetic model. It is shown that such plasmas not only allow for sub-5 eV ion energies, but also enable wider range of ion / radical composition. Coauthors: Jun-Chieh Wang, Jason Kenney, Ankur Agarwal, Leonid Dorf, and Ken Collins.

  12. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    PubMed

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  13. Atom-scale covalent electrochemical modification of single-layer graphene on SiC substrates by diaryliodonium salts

    DOE PAGES

    Gearba, Raluca I.; Mueller, Kory M.; Veneman, Peter A.; ...

    2015-05-09

    Owing to its high conductivity, graphene holds promise as an electrode for energy devices such as batteries and photovoltaics. However, to this end, the work function and doping levels in graphene need to be precisely tuned. One promising route for modifying graphene’s electronic properties is via controlled covalent electrochemical grafting of molecules. We show that by employing diaryliodonium salts instead of the commonly used diazonium salts, spontaneous functionalization is avoided. This then allows for precise tuning of the grafting density. Moreover, by employing bis(4-nitrophenyl)iodonium(III) tetrafluoroborate (DNP) salt calibration curves, the surface functionalization density (coverage) of glassy carbon was controlled usingmore » cyclic voltammetry in varying salt concentrations. These electro-grafting conditions and calibration curves translated directly over to modifying single layer epitaxial graphene substrates (grown on insulating 6H-SiC (0 0 0 1)). In addition to quantifying the functionalization densities using electrochemical methods, samples with low grafting densities were characterized by low-temperature scanning tunneling microscopy (LT-STM). We show that the use of buffer-layer free graphene substrates is required for clear observation of the nitrophenyl modifications. Furthermore, atomically-resolved STM images of single site modifications were obtained, showing no preferential grafting at defect sites or SiC step edges as supposed previously in the literature. Most of the grafts exhibit threefold symmetry, but occasional extended modifications (larger than 4 nm) were observed as well.« less

  14. Absolute Calibration of Si iRMs used for Si Paleo-nutrient proxies

    NASA Astrophysics Data System (ADS)

    Vocke, Robert; Rabb, Savelas

    2016-04-01

    The Avogadro Project is an ongoing international effort, coordinated by the International Bureau of Weights and Measures (BIPM) and the International Avogadro Coordination (IAC) to redefine the SI unit mole in terms of the Avogadro constant and the SI unit kg in terms of the Planck constant. One of the outgrowths of this effort has been the development of a novel, precise and highly accurate method to measure calibrated (absolute) isotopic ratios that are traceable to the SI (Vocke et al., 2014 Metrologia 51, 361, Azuma et al., 2015 Metrologia 52 360). This approach has also been able to produce absolute Si isotope ratio data with lower levels of uncertainty when compared to the traditional "Atomic Weights" method of absolute isotope ratio measurement. Silicon isotope variations (reported as delta(Si30)and delta(Si29)) in silicic acid dissolved in ocean waters, in biogenic silica and in diatoms are extremely informative paleo-nutrient proxies. The utility and comparability of such measurements however depends on calibration with artifact isotopic Reference Materials (iRMs). We will be reporting new measurements on the iRMs NBS-28 (RM 8546 - Silica Sand), Diatomite, Big Batch and SRM 990 using the Avogadro measurement approach, comparing them with prior assessments of these iRMs.

  15. Tip induced mechanical deformation of epitaxial graphene grown on reconstructed 6H-SiC(0001) surface during scanning tunneling and atomic force microscopy studies.

    PubMed

    Meza, José Antonio Morán; Lubin, Christophe; Thoyer, François; Cousty, Jacques

    2015-01-26

    The structural and mechanical properties of an epitaxial graphene (EG) monolayer thermally grown on top of a 6H-SiC(0001) surface were studied by combined dynamic scanning tunneling microscopy (STM) and frequency modulation atomic force microscopy (FM-AFM). Experimental STM, dynamic STM and AFM images of EG on 6H-SiC(0001) show a lattice with a 1.9 nm period corresponding to the (6 × 6) quasi-cell of the SiC surface. The corrugation amplitude of this (6 × 6) quasi-cell, measured from AFM topographies, increases with the setpoint value of the frequency shift Δf (15-20 Hz, repulsive interaction). Excitation variations map obtained simultaneously with the AFM topography shows that larger dissipation values are measured in between the topographical bumps of the (6 × 6) quasi-cell. These results demonstrate that the AFM tip deforms the graphene monolayer. During recording in dynamic STM mode, a frequency shift (Δf) map is obtained in which Δf values range from 41 to 47 Hz (repulsive interaction). As a result, we deduced that the STM tip, also, provokes local mechanical distortions of the graphene monolayer. The origin of these tip-induced distortions is discussed in terms of electronic and mechanical properties of EG on 6H-SiC(0001).

  16. Shape transition in nano-pits after solid-phase etching of SiO{sub 2} by Si islands

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leroy, F.; Curiotto, S.; Cheynis, F.

    2015-05-11

    We study the nano-pits formed during the etching of a SiO{sub 2} film by reactive Si islands at T≈1000 °C. Combining low energy electron microscopy, atomic force microscopy, kinetic Monte Carlo simulations, and an analytic model based on reaction and diffusion at the solid interface, we show that the shape of the nanopits depend on the ratio R/x{sub s} with R the Si island radius and x{sub s} the oxygen diffusion-length at the Si/SiO{sub 2} interface. For small R/x{sub s}, nanopits exhibit a single-well V-shape, while a double-well W-shape is found for larger R/x{sub s}. The analysis of the transition revealsmore » that x{sub s}∼60 nm at T≈1000 °C.« less

  17. Role of atomic bonding for compound and glass formation in Ni-Si, Pd-Si, and Ni-B systems

    NASA Astrophysics Data System (ADS)

    Tanaka, K.; Saito, T.; Suzuki, K.; Hasegawa, R.

    1985-11-01

    Valence electronic structures of crystalline compounds and glassy alloys of Ni silicides, Pd silicides, and Ni borides are studied by soft-x-ray spectroscopy over wide ranges of Si and B concentrations. The samples prepared include bulk compounds, glassy ribbons, and amorphous sputtered films. Silicon Kβ emissions of Ni and Pd silicides generally consist of a prominent peak fixed at ~=4.5 and ~=5.8 eV below the Fermi level EF, respectively, with a shoulder near EF which grows and shifts toward lower energy with increasing Si concentration. The former is identified as due to Si p-like states forming Si 3p-Ni 3d or Si 3p-Pd 4d bonding states while the latter as due to the corresponding antibonding states. Ni L3 and Pd L3 emissions of these silicides indicate that Ni 3d and Pd 4d states lie between the above two states. These local electronic configurations are consistent with partial-density-of-states (PDOS) calculations performed by Bisi and Calandra. Similar electronic configurations are suggested for Ni borides from B Kα and Ni L3 emissions. Differences of emission spectra between compounds and glasses of similar compositions are rather small, but some enhancement of the contribution of antibonding states to the PDOS near EF is suggested for certain glasses over that of the corresponding compounds. These features are discussed in connection with the compound stability and glass formability.

  18. The UKB prescription and the heavy atom effects on the nuclear magnetic shielding of vicinal heavy atoms.

    PubMed

    Maldonado, Alejandro F; Aucar, Gustavo A

    2009-07-21

    Fully relativistic calculations of NMR magnetic shielding on XYH3 (X = C, Si, Ge and Sn; Y = Br, I), XHn (n = 1-4) molecular systems and noble gases performed with a fully relativistic polarization propagator formalism at the RPA level of approach are presented. The rate of convergence (size of basis set and time involved) for calculations with both kinetic balance prescriptions, RKB and UKB, were investigated. Calculations with UKB makes it feasible to obtain reliable results for two or more heavy-atom-containing molecules. For such XYH3 systems, the influence of heavy vicinal halogen atoms on sigma(X) is such that heavy atom effects on heavy atoms (vicinal plus their own effects or HAVHA + HAHA effects) amount to 30.50% for X = Sn and Y = I; being the HAHA effect of the order of 25%. So the vicinal effect alone is of the order of 5.5%. The vicinal heavy atom effect on light atoms (HALA effect) is of the order of 28% for X = C and Y = I. A similar behaviour, but of opposite sign, is observed for sigma(Y) for which sigmaR-NR (I; X = C) (HAHA effect) is around 27% and sigmaR-NR(I; X = Sn) (HAVHA + HAHA effects) is close to 21%. Its electronic origin is paramagnetic for halogen atoms but both dia- and paramagnetic for central atoms. The effect on two bond distant hydrogen atoms is such that the largest variation of sigma(H) within the same family of XYH3 molecules appears for X = Si and Y = I: around 20%. In this case sigma(H; X = Sn, Y = I) = 33.45 ppm and sigma(H; X = Sn, Y = H) = 27.82 ppm.

  19. Effect of Chemistry and Particle Size on the Performance of Calcium Disilicide Primers. Part 1 - Synthesis of Calcium Silicide (CaSi2) by Rotary Atomization

    DTIC Science & Technology

    2010-02-01

    Metallurgist. 45, 267, 2001. 10. Dodero, M. "Electrolytic Preparation of Calcium Silicide ," Compt. Rend.. 198, 1593, 1934. 11. Dodero, M...Allqem. Chem.. 242, 117, 1939. 13. Yamaguchi, Y. and Hayakawa, Y., "The Preparation of Calcium Silicide by Reacting Solid Silicon Carbide and...SYNTHESIS OF CALCIUM SILICIDE (CaSi2) BY ROTARY ATOMIZATION Paul E. Anderson Kin Yee Eugene Homentowski Gartung Cheng Neha Mehta Gary Chen U.S

  20. Reaction of Si nanopowder with water investigated by FT-IR and XPS

    NASA Astrophysics Data System (ADS)

    Imamura, Kentaro; Kobayashi, Yuki; Matsuda, Shinsuke; Akai, Tomoki; Kobayashi, Hikaru

    2017-08-01

    The initial reaction of Si nanopowder with water to generate hydrogen is investigated using FT-IR and XPS measurements. Si nanopowder is fabricated using the simple beads milling method. For HF-etched Si nanopowder, strong peaks due to Si-H and Si-H2 stretching vibrational modes and a weak shoulder peak due to Si-H3 are observed. Although no peaks due to oxide is observed in the Si 2p XPS spectrum, weak vibrational peaks due to HSiO2 and HSiO3 species are observable. The hydrogen generation rate greatly increases with pH, indicating that the reacting species is hydroxide ions (OH- ions). After the reaction, the intensities of the peaks due to SiH and SiH2 species decrease while those for HSiO, HSiO2, and HSiO3 species increase. This result demonstrates that OH- ions attack Si back-bonds, with surface Si-H bonds remaining. After initial reaction of HF-etched Si nanopowder with heavy water, vibrational peaks for SiD, SiDH, and SiDH2 appear, and then, a peak due to DSiO3 species is observed, but no peaks due to DSiO2 and DSiO species are observable. This result indicates that SiD, SiDH, and SiDH2 species are formed by substitution reactions, followed by oxidation of back-bonds to form DSiO3 species. After immersion in D2O for a day, 37% H atoms on the surface are replaced to D atoms.

  1. Atomic structure and potential energy of β-Si3N4/diamond interface in the process of detachment: A first-principles study

    NASA Astrophysics Data System (ADS)

    Chen, Naichao; Chen, Yingchao; Ai, Jun; Li, Cheng; He, Ping; Ren, Jianxing; Zhu, Quanjun

    2018-03-01

    Peeling is regarded as a main technique barrier for the application of coating. Many factors affects the peeling of coating. Among them, the interfacial properties between coating and substrate plays a vital role. In this work, the β-Si3N4/diamond interface is conducted as the sample to study the changes in atomic structure and potential energy in the process of detachment by the first-principles calculations. The β-Si3N4/diamond (2 × 2) crystal unit is used as the calculated model. The detachment is simulated by moving up β-Si3N4 far from diamond by the 0.1 Å of each step. The results show that in the beginning of detachment, the bonds in the interface keep a constant length, rather than extension like spring. When the distance between β-Si3N4 and diamond reaches a certain distance, the interfacial bonds would suddenly break, and the elongated β-Si3N4 resumes its original statues indicating that the interface between two surfaces may exist a threshold value to control the peeling. When the external force is less than this threshold value, the peeling of coating would not occur. However, once the external force is greater than this one, the peeling would immediately present. The interface presents the brittle failure in the process of detachment, which is in good agreement with the experimental observation. Meanwhile, the different physical properties between van der Waals and quantum effects lead to the transient status in the process of detachment, where although the interfacial bonds are broken, the adhesive strength is still strong due to its low negative adsorption energy.

  2. Oxidation of SiC

    NASA Astrophysics Data System (ADS)

    Cooper, James A.

    1997-03-01

    SiC is a wide band gap hexagonal anisotropic semiconductor which is attractive for use in high voltage, high temperature, or high power applications. SiC is also the only compound semiconductor that can be thermally oxidized to form SiO_2, making it possible to construct many conventional MOS devices in this material. The electrical quality of the SiO_2/SiC interface is far from ideal, however, and considerable research is presently directed to understanding and improving this interface. Electrical characterization of the SiC MOS interface is complicated by the wide band gap, since most interface states are energetically too far removed from the conduction or valence bands to respond to electrical stimulation at room temperature. Moreover, very little information is yet available on the properties of the MOS interface on the 4H polytype of SiC (preferred because of it's higher bulk electron mobility) or on interfaces on crystalline surfaces perpendicular to the basal plane (where an equal number of Si and C atoms are present). Finally, electron mobilities in inversion layers on 4H-SiC reported to date are anomolously low, especially in consideration of the relatively high bulk mobilities in this polytype. In this talk we will discuss MOS characterization techniques for wide band gap semiconductors and review the current understanding of the physics of the MOS interface on thermally oxidized SiC.

  3. Atomic clusters and atomic surfaces in icosahedral quasicrystals.

    PubMed

    Quiquandon, Marianne; Portier, Richard; Gratias, Denis

    2014-05-01

    This paper presents the basic tools commonly used to describe the atomic structures of quasicrystals with a specific focus on the icosahedral phases. After a brief recall of the main properties of quasiperiodic objects, two simple physical rules are discussed that lead one to eventually obtain a surprisingly small number of atomic structures as ideal quasiperiodic models for real quasicrystals. This is due to the fact that the atomic surfaces (ASs) used to describe all known icosahedral phases are located on high-symmetry special points in six-dimensional space. The first rule is maximizing the density using simple polyhedral ASs that leads to two possible sets of ASs according to the value of the six-dimensional lattice parameter A between 0.63 and 0.79 nm. The second rule is maximizing the number of complete orbits of high symmetry to construct as large as possible atomic clusters similar to those observed in complex intermetallic structures and approximant phases. The practical use of these two rules together is demonstrated on two typical examples of icosahedral phases, i-AlMnSi and i-CdRE (RE = Gd, Ho, Tm).

  4. Probing structure, thermochemistry, electron affinity, and magnetic moment of thulium-doped silicon clusters TmSi n (n = 3-10) and their anions with density functional theory.

    PubMed

    Huang, Xintao; Yang, Jucai

    2017-12-26

    The most stable structures and electronic properties of TmSi n (n = 3-10) clusters and their anions have been probed by using the ABCluster global search technique combined with the PBE, TPSSh, and B3LYP density functional methods. The results revealed that the most stable structures of neutral TmSi n and their anions can be regarded as substituting a Si atom of the ground state structure of Si n + 1 with a Tm atom. The reliable AEAs, VDEs and simulated PES of TmSi n (n = 3-10) are presented. Calculations of HOMO-LUMO gap revealed that introducing Tm atom to Si cluster can improve photochemical reactivity of the cluster. The NPA analyses indicated that the 4f electron of Tm atom in TmSi n (n = 3-10) and their anions do not participate in bonding. The total magnetic moments of TmSi n are mainly provided by the 4f electrons of Tm atom. The dissociation energy of Tm atom from the most stable structure of TmSi n and their anions has been calculated to examine relative stability.

  5. Density functional study for crystalline structures and electronic properties of Si1- x Sn x binary alloys

    NASA Astrophysics Data System (ADS)

    Nagae, Yuki; Kurosawa, Masashi; Shibayama, Shigehisa; Araidai, Masaaki; Sakashita, Mitsuo; Nakatsuka, Osamu; Shiraishi, Kenji; Zaima, Shigeaki

    2016-08-01

    We have carried out density functional theory (DFT) calculation for Si1- x Sn x alloy and investigated the effect of the displacement of Si and Sn atoms with strain relaxation on the lattice constant and E- k dispersion. We calculated the formation probabilities for all atomic configurations of Si1- x Sn x according to the Boltzmann distribution. The average lattice constant and E- k dispersion were weighted by the formation probability of each configuration of Si1- x Sn x . We estimated the displacement of Si and Sn atoms from the initial tetrahedral site in the Si1- x Sn x unit cell considering structural relaxation under hydrostatic pressure, and we found that the breaking of the degenerated electronic levels of the valence band edge could be caused by the breaking of the tetrahedral symmetry. We also calculated the E- k dispersion of the Si1- x Sn x alloy by the DFT+U method and found that a Sn content above 50% would be required for the indirect-direct transition.

  6. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    NASA Astrophysics Data System (ADS)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  7. Ni3Si(Al)/a-SiOx core shell nanoparticles: characterization, shell formation, and stability

    NASA Astrophysics Data System (ADS)

    Pigozzi, G.; Mukherji, D.; Gilles, R.; Barbier, B.; Kostorz, G.

    2006-08-01

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni3Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni3Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiOx). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  8. Nanomanufacturing of silicon surface with a single atomic layer precision via mechanochemical reactions.

    PubMed

    Chen, Lei; Wen, Jialin; Zhang, Peng; Yu, Bingjun; Chen, Cheng; Ma, Tianbao; Lu, Xinchun; Kim, Seong H; Qian, Linmao

    2018-04-18

    Topographic nanomanufacturing with a depth precision down to atomic dimension is of importance for advancement of nanoelectronics with new functionalities. Here we demonstrate a mask-less and chemical-free nanolithography process for regio-specific removal of atomic layers on a single crystalline silicon surface via shear-induced mechanochemical reactions. Since chemical reactions involve only the topmost atomic layer exposed at the interface, the removal of a single atomic layer is possible and the crystalline lattice beneath the processed area remains intact without subsurface structural damages. Molecular dynamics simulations depict the atom-by-atom removal process, where the first atomic layer is removed preferentially through the formation and dissociation of interfacial bridge bonds. Based on the parametric thresholds needed for single atomic layer removal, the critical energy barrier for water-assisted mechanochemical dissociation of Si-Si bonds was determined. The mechanochemical nanolithography method demonstrated here could be extended to nanofabrication of other crystalline materials.

  9. Corrosive sliding wear behavior of laser clad Mo 2Ni 3Si/NiSi intermetallic coating

    NASA Astrophysics Data System (ADS)

    Lu, X. D.; Wang, H. M.

    2005-05-01

    Many ternary metal silicides such as W 2Ni 3Si, Ti 2Ni 3Si and Mo 2Ni 3Si with the topologically closed-packed (TCP) hP12 MgZn 2 type Laves phase crystal structure are expected to have outstanding wear and corrosion resistance due to their inherent high hardness and sluggish temperature dependence and strong atomic bonds. In this paper, Mo 2Ni 3Si/NiSi intermetallic coating was fabricated on substrate of an austenitic stainless steel AISI321 by laser cladding using Ni-Mo-Si elemental alloy powders. Microstructure of the coating was characterized by optical microscopy (OM), scanning electron microscopy (SEM), X-ray diffraction (XRD) and energy dispersive X-ray analysis (EDS). Wear resistance of the coating is evaluated under corrosive sliding wear test condition. Influence of corrosion solutions on the wear resistance of the coating was studied and the wear mechanism was discussed based on observations of worn surface morphology. Results showed that the laser clad Mo 2Ni 3Si/NiSi composite coating have a fine microstructure of Mo 2Ni 3Si primary dendrites and the interdendritic Mo 2Ni 3Si/NiSi eutectics. The coating has excellent corrosive wear resistance compared with austenitic stainless steel AISI321 under acid, alkaline and saline corrosive environments.

  10. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  11. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  12. Effects of guest atomic species on the lattice thermal conductivity of type-I silicon clathrate studied via classical molecular dynamics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumagai, Tomohisa, E-mail: kumagai@criepi.denken.or.jp; Nakamura, Kaoru; Yamada, Susumu

    The effects of guest atomic species in Si clathrates on the lattice thermal conductivity were studied using classical molecular dynamics calculations. The interaction between a host atom and a guest atom was described by the Morse potential function while that between host atoms was described by the Tersoff potential. The parameters of the potentials were newly determined for this study such that the potential curves obtained from first-principles calculations for the insertion of a guest atom into a Si cage were successfully reproduced. The lattice thermal conductivities were calculated by using the Green-Kubo method. The experimental lattice thermal conductivity ofmore » Ba{sub 8}Ga{sub 16}Si{sub 30} can be successfully reproduced using the method. As a result, the lattice thermal conductivities of type-I Si clathrates, M{sub 8}Si{sub 46} (M = Na, Mg, K, Ca Rb, Sr, Cs, or Ba), were obtained. It is found that the lattice thermal conductivities of M{sub 8}Si{sub 46}, where M is IIA elements (i.e., M = Mg, Ca, Sr, or Ba) tend to be lower than those of M{sub 8}Si{sub 46}, where M is IA elements (i.e., M = Na, K, Rb, or Cs). Those of {sup m}M{sub 8}Si{sub 46}, where m was artificially modified atomic weight were also obtained. The obtained lattice thermal conductivity can be regarded as a function of a characteristic frequency, f{sub c}. That indicates minimum values around f{sub c}=2-4 THz, which corresponds to the center of the frequencies of the transverse acoustic phonon modes associated with Si cages.« less

  13. CFD Growth of 3C-SiC on 4H/6H Mesas

    NASA Technical Reports Server (NTRS)

    Neudeck, Philip G.; Trunek, Andrew J.; Spry, David J.; Powell, J. Anthony; Du, Hui; Skowronski, Marek; Huang, XianRong; Dudley, Michael

    2006-01-01

    This article describes growth and characterization of the highest quality reproducible 3C-SiC heteroepitaxial films ever reported. By properly nucleating 3C-SiC growth on top of perfectly on-axis (0001) 4H-SiC mesa surfaces completely free of atomic scale steps and extended defects, growth of 3C-SiC mesa heterofilms completely free of extended crystal defects can be achieved. In contrast, nucleation and growth of 3C-SiC mesa heterofilms on top of 4H-SiC mesas with atomic-scale steps always results in numerous observable dislocations threading through the 3C-SiC epilayer. High-resolution X-ray diffraction and transmission electron microscopy measurements indicate non-trivial in-plane lattice mismatch between the 3C and 4H layers. This mismatch is somewhat relieved in the step-free mesa case via misfit dislocations confined to the 3C/4H interfacial region without dislocations threading into the overlying 3C-SiC layer. These results indicate that the presence or absence of steps at the 3C/4H heteroepitaxial interface critically impacts the quality, defect structure, and relaxation mechanisms of single-crystal heteroepitaxial 3C-SiC films.

  14. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  15. Graphene-Si heterogeneous nanotechnology

    NASA Astrophysics Data System (ADS)

    Akinwande, Deji; Tao, Li

    2013-05-01

    It is widely envisioned that graphene, an atomic sheet of carbon that has generated very broad interest has the largest prospects for flexible smart systems and for integrated graphene-silicon (G-Si) heterogeneous very large-scale integrated (VLSI) nanoelectronics. In this work, we focus on the latter and elucidate the research progress that has been achieved for integration of graphene with Si-CMOS including: wafer-scale graphene growth by chemical vapor deposition on Cu/SiO2/Si substrates, wafer-scale graphene transfer that afforded the fabrication of over 10,000 devices, wafer-scalable mitigation strategies to restore graphene's device characteristics via fluoropolymer interaction, and demonstrations of graphene integrated with commercial Si- CMOS chips for hybrid nanoelectronics and sensors. Metrology at the wafer-scale has led to the development of custom Raman processing software (GRISP) now available on the nanohub portal. The metrology reveals that graphene grown on 4-in substrates have monolayer quality comparable to exfoliated flakes. At room temperature, the high-performance passivated graphene devices on SiO2/Si can afford average mobilities 3000cm2/V-s and gate modulation that exceeds an order of magnitude. The latest growth research has yielded graphene with high mobilities greater than 10,000cm2/V-s on oxidized silicon. Further progress requires track compatible graphene-Si integration via wafer bonding in order to translate graphene research from basic to applied research in commercial R and D laboratories to ultimately yield a viable nanotechnology.

  16. Solution of hydrogen in accident tolerant fuel candidate material: U3Si2

    NASA Astrophysics Data System (ADS)

    Middleburgh, S. C.; Claisse, A.; Andersson, D. A.; Grimes, R. W.; Olsson, P.; Mašková, S.

    2018-04-01

    Hydrogen uptake and accommodation into U3Si2, a candidate accident-tolerant fuel system, has been modelled on the atomic scale using the density functional theory. The solution energy of multiple H atoms is computed, reaching a stoichiometry of U3Si2H2 which has been experimentally observed in previous work (reported as U3Si2H1.8). The absorption of hydrogen is found to be favourable up to U3Si2H2 and the associated volume change is computed, closely matching experimental data. Entropic effects are considered to assess the dissociation temperature of H2, estimated to be at ∼800 K - again in good agreement with the experimentally observed transition temperature.

  17. Amphibians and reptiles of the state of Chihuahua, Mexico, with comparisons with adjoining states

    PubMed Central

    Lemos-Espinal, Julio A.; Smith, Geoffrey R.; Woolrich-Piña, Guillermo A.; Cruz, Alexander

    2017-01-01

    Abstract Chihuahua is Mexico’s largest state, and its physiographic complexity affects the distribution of its herpetofauna. We list amphibians and reptiles for the state of Chihuahua, with their conservation status. We also compare this list to those of six adjoining states in the United States and Mexico (New Mexico, Texas, Coahuila, Durango, Sinaloa, and Sonora). A total of 175 species of amphibians and reptiles is found in Chihuahua. Thirty-eight are amphibians, and 137 reptiles. Chihuahuan amphibians and reptiles represent just over 37% of such species from Chihuahua and neighboring states. Chihuahua shares the highest proportion of its herpetofauna with Sonora and Durango. Most of the herpetofauna of Chihuahua falls in IUCNs least concern category and is not listed by SEMARNAT. However, turtles in Chihuahua are a group of particular conservation concern. PMID:28435388

  18. Amphibians and reptiles of the state of Chihuahua, Mexico, with comparisons with adjoining states.

    PubMed

    Lemos-Espinal, Julio A; Smith, Geoffrey R; Woolrich-Piña, Guillermo A; Cruz, Alexander

    2017-01-01

    Chihuahua is Mexico's largest state, and its physiographic complexity affects the distribution of its herpetofauna. We list amphibians and reptiles for the state of Chihuahua, with their conservation status. We also compare this list to those of six adjoining states in the United States and Mexico (New Mexico, Texas, Coahuila, Durango, Sinaloa, and Sonora). A total of 175 species of amphibians and reptiles is found in Chihuahua. Thirty-eight are amphibians, and 137 reptiles. Chihuahuan amphibians and reptiles represent just over 37% of such species from Chihuahua and neighboring states. Chihuahua shares the highest proportion of its herpetofauna with Sonora and Durango. Most of the herpetofauna of Chihuahua falls in IUCNs least concern category and is not listed by SEMARNAT. However, turtles in Chihuahua are a group of particular conservation concern.

  19. Description and implementation of a surveillance network for bluetongue in the Balkans and in adjoining areas of south-eastern Europe.

    PubMed

    Dall'Acqua, F; Paladini, C; Meiswinkel, R; Savini, L; Calistri, P

    2006-01-01

    During the recent severe outbreaks of bluetongue (BT) in the Mediterranean Basin, the BT virus (BTV) spread beyond its historical limits into the Balkan region. One of the primary impacts of BT is the cessation in livestock trade which can have severe economic and social consequences. The authors briefly describe the development of the collaborative East-BTnet programme which aims to assist all affected and at-risk Balkan states and adjoining countries in the management of BT, and in the development of individual national surveillance systems. The beneficiary countries involved, and led by the World organisation for animal health (Office International des Epizooties) Collaborating Centre for veterinary training, epidemiology, food safety and animal welfare of the Istituto Zooprofilattico dell'Abruzzo e del Molise 'G. Caporale' in collaboration with the Institute for the Protection and the Security of the Citizen, the European Commission Joint Research Centre (IPSC-JRC), were Albania, Bosnia-Herzegovina, Bulgaria, Croatia, Cyprus, the Former Yugoslavia Republic of Macedonia, Kosovo, Malta, Romania, Serbia and Montenegro, Slovenia and Turkey. A regional web-based surveillance network is a valuable tool for controlling and managing transboundary animal diseases such as BT. Its implementation in the Balkan region and in adjoining areas of south-eastern Europe is described and discussed.

  20. First-principles investigation on the composition of Ni-Si precipitates formed in irradiated stainless steels

    NASA Astrophysics Data System (ADS)

    Chen, Dongyue; Murakami, Kenta; Dohi, Kenji; Nishida, Kenji; Ohnuma, Toshiharu; Soneda, Naoki; Li, Zhengcao; Liu, Li; Sekimura, Naoto

    2017-10-01

    Recent atom probe tomography (APT) study has revealed the complicated nature of Ni-Si precipitates in irradiated stainless steels. Although Ni3Si γ‧ phase has been confirmed under transmission electron microscopy (TEM), the Ni/Si ratio of the precipitates detected by APT is smaller than its theoretical value 3. An interpretation of the APT results is provided in this work by considering the lattice defects in the Ni3Si γ‧ phase. Using first principles calculations, Si substitutions on Ni sites were found to be the most thermodynamically stable among all the single defects considered here. Although two such substitutional defects are repulsive to each other, the repulsion decreases quickly as their separation distance grows. By keeping a large enough distance between each other, multiple Si substitutions can appear at high densities in the γ‧ phase, which can be one important contributor to the small Ni/Si atom ratio in Ni-Si precipitates observed by APT.

  1. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  2. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  3. Strong intramolecular Si-N interactions in the chlorosilanes Cl3-nHnSiOCH2CH2NMe2 (n = 1-3).

    PubMed

    Hagemann, Michael; Mix, Andreas; Berger, Raphael J F; Pape, Tania; Mitzel, Norbert W

    2008-11-17

    The compounds Cl 3SiOCH 2CH 2NMe 2 ( 1) and Cl 2HSiOCH 2CH 2NMe 2 ( 2) were prepared by reactions of lithium 2-(dimethylamino)ethanolate with SiCl 4 and HSiCl 3. The analogous reaction with H 2SiCl 2 gave ClH 2SiOCH 2CH 2NMe 2 ( 3), but only in a mixture with Cl 2HSiOCH 2CH 2NMe 2 ( 2), from which it could not be separated. All compounds were characterized by IR and NMR ( (1)H, (13)C, (29)Si) spectroscopy, 1 and 2 by elemental analyses and by determination of their crystal structures. Cl 3SiOCH 2CH 2NMe 2 ( 1) and Cl 2HSiOCH 2CH 2NMe 2 ( 2) crystallize as monomeric ring compounds with pentacoordinate silicon atoms participating in intramolecular Si-N bonds [2.060(2) A ( 1), 2.037(2) A ( 2)]. The dative bonds in 1 and 2 between the silicon and nitrogen atoms could also be proven to exist at low temperatures in solution in (1)H, (29)Si-HMBC-NMR experiments by detection of the scalar coupling between the (29)Si and the protons of the NCH 2 and NCH 3 groups. A function describing the chemical shift delta exp (29)Si dependent on the chemical shifts of the individual equilibrium components, the temperature, and the free enthalpy of reaction was worked out and fitted to the experimental VT-NMR data of 1 and 2. This provided values of the free reaction enthalpies of Delta G = -28.8 +/- 3.9 kJ x mol (-1) for 1 and Delta G = -22.3 +/- 0.4 kJ x mol (-1) for 2 and estimates for the chemical shifts of open-chain (index o) and ring conformers (index r) for 1 of delta r = -94 +/- 2 ppm and delta o = -36 +/- 5 ppm and for 2 of delta r = -82 +/- 1 ppm and delta o = -33 +/- 4 ppm. The value of delta r for 1 is very close to that obtained from a solid-state (29)Si MAS NMR spectrum. Quantumchemical calculations (up to MP2/TZVPP) gave largely differing geometries for 1 (with a Si...N distance of 3.072 A), but well reproduced the geometry of 2. These differences are due to Cl...H and Cl...C repulsions and solid state effects, which can be modeled by conductor-like screening model

  4. Magnetic behavior of Si-Ge bond in SixGe4-x nano-clusters

    NASA Astrophysics Data System (ADS)

    Nahali, Masoud; Mehri, Ali

    2018-06-01

    The structure of SixGe4-x nano-clusters were optimized by MPW1B95 level of theory using MG3S and SDB-aug-cc-PVTZ basis set. The agreement of the calculated ionization and dissociation energies with experimental values validates the reported structures of nano-clusters and justifies the use of hybrid meta density functional method. Since the Si-Si bond is stronger than Si-Ge and Ge-Ge bonds, the Si-Si, Si-Ge, and Ge-Ge diagonal bonds determine the precedence of the stability in these nano-clusters. The hybrid meta density functional calculations were carried out to investigate the adsorption of CO on all possible SixGe4-x nano-clusters. It was found that the silicon atom generally makes a stronger bond with CO than germanium and thereby preferentially affects the shape of structures having higher multiplicity. In Si-Ge structures with higher spin more than 95% of spins accumulate on positions with less bonds to other atoms of the cluster. Through CO adsorption on these clusters bridge structures are made that behave as spin bridge which conduct the spin from the nano-cluster surface to the adsorbate atoms. A better understanding of bridged structures was achieved upon introducing the 'spin bridge' concept. Based on exhaustive spin density analysis, it was found that the reason for the extra negative charge on oxygen in the bridged structures is the relocation of spin from the surface through the bridge.

  5. Negative charge trapping effects in Al{sub 2}O{sub 3} films grown by atomic layer deposition onto thermally oxidized 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schilirò, Emanuela, E-mail: emanuela.schiliro@imm.cnr.it; Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania; Lo Nigro, Raffaella

    This letter reports on the negative charge trapping in Al{sub 2}O{sub 3} thin films grown by atomic layer deposition onto oxidized silicon carbide (4H-SiC). The films exhibited a permittivity of 8.4, a breakdown field of 9.2 MV/cm and small hysteresis under moderate bias cycles. However, severe electron trapping inside the Al{sub 2}O{sub 3} film (1 × 10{sup 12} cm{sup −2}) occurs upon high positive bias stress (>10 V). Capacitance-voltage measurements at different temperatures and stress conditions have been used to determine an activation energy of 0.1 eV. The results provide indications on the possible nature of the trapping defects and,more » hence, on the strategies to improve this technology for 4H-SiC devices.« less

  6. Unexpected Magnetic Ordering on the Cr Substructure in UCr2Si2C and Structural Relationships in Quaternary U-Cr-Si-C Compounds.

    PubMed

    Lemoine, Pierric; Vernière, Anne; Pasturel, Mathieu; Venturini, Gérard; Malaman, Bernard

    2018-03-05

    Previous experimental and theoretical studies revealed that carbon insertion into the RCr 2 Si 2 compounds drastically affects the magnetic behavior, since chromium does not carry any magnetic moment in RCr 2 Si 2 C (R = Y, La-Sm, Gd-Er) compounds in contrast to RCr 2 Si 2 (R = Y, Sm, Gd-Lu, Th) compounds. In this study, we report on the unexpected magnetic ordering of chromium atoms in the isotype quaternary UCr 2 Si 2 C compound. While specific heat and magnetic measurements suggest a Pauli paramagnetic behavior, neutron powder diffraction reveals an antiferromagnetic ordering of the chromium substructure at high temperature ( T N > 300 K), while that of uranium remains nonmagnetically ordered down to 2 K. Its magnetic behavior, inverse in comparison to the RCr 2 Si 2 C carbides involving a magnetic lanthanide, is discussed in relation with the singularity of its crystal structure among the series. Moreover, the crystallographic structures and the structural stability of UCr 2 Si 2 C and of two other quaternary U-Cr-Si-C compounds (i.e., UCr 3 Si 2 C and U 2 Cr 3 Si 2 C 3 ), based on the full occupancy of interstitial sites by carbon atoms, are discussed and compared to those of the related ternary intermetallics. Finally, the low-temperature form of UCr 2 Si 2 , corresponding to a displacive transformation around 210 K of the ThCr 2 Si 2 -type structure, is reinvestigated by considering a higher symmetry monoclinic unit cell ( C2/ m) instead of the previously reported triclinic cell ( P1̅). The antiferromagnetic ordering at low temperature ( T N = 30(2) K) of the uranium substructure is confirmed, and its magnetic structure is reanalyzed and discussed considering the monoclinic crystal structure.

  7. Synthesis, structures, and solution dynamics of tetrasubstituted nine-atom germanium deltahedral clusters.

    PubMed

    Li, Feng; Sevov, Slavi C

    2014-08-27

    Reported are the rational synthesis, structures, and solution dynamics of three tetrasubstituted and neutral Ge9-based deltahedral clusters [Ge9R3R'](0), where R = Si(SiMe3)3 and R' = Et (1), Sn(n)Bu3 (2), or Tl (3). The first step of the synthesis is a reaction of an acetonitrile suspension of the intermetallic precursor compound K4Ge9 with {Si(SiMe3)3}Cl which produces the trisubstituted monoanions [Ge9{Si(SiMe3)3}](-). A benzene suspension of the latter is then reacted with Sn(n)Bu3Cl or TlCp to produce 2 and 3, respectively, while the same acetonitrile solution is reacted with EtBr in order to produce 1. All three structures can be viewed as tricapped trigonal prisms of Ge9 with the three "hypersilyl" substituents, Si(SiMe3)3, exo-bonded to the capping atoms. The fourth substituent in 1, the ethyl group, is exo-bonded to one of the six available Ge atoms with the Ge-C bond positioned radially to the Ge9 core. In the case of 2, on the other hand, the tin fragment is found above one of the triangular bases of the prism interacting with one or more Ge atoms in three crystallographically different molecules in the structure. Lastly, the Tl atom in the structure of 3 is found capping a pseudosquare face between two hypersilyl substituents. NMR spectroscopy indicates that all three compounds are dynamic at room temperature. Variable-temperature studies suggest that the process in 1 and 2 is intramolecular while the process in 3 involves dissociation of the Tl(+) ion from the molecule followed by association at the same or another equivalent pseudosquare face of the molecule. Thus, the latter compound may be considered to a large extent to be ionic as it is made of a thallium cation and a trisubstituted cluster anion.

  8. GeAs and SiAs monolayers: Novel 2D semiconductors with suitable band structures

    NASA Astrophysics Data System (ADS)

    Zhou, Liqin; Guo, Yu; Zhao, Jijun

    2018-01-01

    Two dimensional (2D) materials provide a versatile platform for nanoelectronics, optoelectronics and clean energy conversion. Based on first-principles calculations, we propose a novel kind of 2D materials - GeAs and SiAs monolayers and investigate their atomic structure, thermodynamic stability, and electronic properties. The calculations show that monolayer GeAs and SiAs sheets are energetically and dynamically stable. Their small interlayer cohesion energies (0.191 eV/atom for GeAs and 0.178 eV/atom for SiAs) suggest easy exfoliation from the bulk solids that exist in nature. As 2D semiconductors, GeAs and SiAs monolayers possess band gap of 2.06 eV and 2.50 eV from HSE06 calculations, respectively, while their band gap can be further engineered by the number of layers. The relatively small and anisotropic carrier effective masses imply fast electric transport in these 2D semiconductors. In particular, monolayer SiAs is a direct gap semiconductor and a potential photocatalyst for water splitting. These theoretical results shine light on utilization of monolayer or few-layer GeAs and SiAs materials for the next-generation 2D electronics and optoelectronics with high performance and satisfactory stability.

  9. Synthesis, Characterization, and Mechanism of Formation of Janus-Like Nanoparticles of Tantalum Silicide-Silicon (TaSi2/Si)

    PubMed Central

    Nomoev, Andrey V.; Bardakhanov, Sergey P.; Schreiber, Makoto; Bazarova, Dashima Zh.; Baldanov, Boris B.; Romanov, Nikolai A.

    2014-01-01

    Metal-semiconductor Janus-like nanoparticles with the composition tantalum silicide-silicon (TaSi2/Si) were synthesized for the first time by means of an evaporation method utilizing a high-power electron beam. The composition of the synthesized particles were characterized using high-resolution transmission electron microscopy (HRTEM), X-ray diffraction (XRD), selective area electron diffraction (SAED), and energy dispersive X-ray fluorescence (EDX) analysis. The system is compared to previously synthesized core-shell type particles in order to show possible differences responsible for the Janus-like structure forming instead of a core-shell architecture. It is proposed that the production of Janus-like as opposed to core-shell or monophase particles occurs due to the ability of Ta and Si to form compounds and the relative content of Ta and Si atoms in the produced vapour. Based on the results, a potential mechanism of formation for the TaSi2/Si nanoparticles is discussed. PMID:28346996

  10. Targeting the Blind Spot of Polycationic Nanocarrier-Based siRNA Delivery

    PubMed Central

    Zheng, Mengyao; Pavan, Giovanni M.; Neeb, Manuel; Schaper, Andreas K.; Danani, Andrea; Klebe, Gerhard; Merkel, Olivia M.; Kissel, Thomas

    2013-01-01

    Polycationic nanocarriers attract increasing attention to the field of siRNA delivery. We investigated the self-assembly of siRNA vs pDNA with polycations, which are broadly used for nonviral gene and siRNA delivery. Although polyethyleneimine (PEI) was routinely adopted as siRNA carrier based on its efficacy in delivering pDNA, it has not been investigated yet why PEI efficiently delivers pDNA to cells but is controversially discussed in terms of efficacy for siRNA delivery. We are the first to investigate the self-assembly of PEI/siRNA vs PEI/pDNA and the steps of complexation and aggregation through different levels of hierarchy on the atomic and molecular scale with the novel synergistic use of molecular modeling, molecular dynamics simulation, isothermal titration calorimetry, and other characterization techniques. We are also the fist to elucidate atomic interactions, size, shape, stoichiometry, and association dynamics for polyplexes containing siRNA vs pDNA. Our investigation highlights differences in the hierarchical mechanism of formation of related polycation–siRNA and polycation–pDNA complexes. The results of fluorescence quenching assays indicated a biphasic behavior of siRNA binding with polycations where molecular reorganization of the siRNA within the polycations occurred at lower N/P ratios (nitrogen/phosphorus). Our results, for the first time, emphasize a biphasic behavior in siRNA complexation and the importance of low N/P ratios, which allow for excellent siRNA delivery efficiency. Our investigation highlights the formulation of siRNA complexes from a thermodynamic point of view and opens new perspectives to advance the rational design of new siRNA delivery systems. PMID:23036046

  11. Ni(3)Si(Al)/a-SiO(x) core-shell nanoparticles: characterization, shell formation, and stability.

    PubMed

    Pigozzi, G; Mukherji, D; Gilles, R; Barbier, B; Kostorz, G

    2006-08-28

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni(3)Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni(3)Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiO(x)). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  12. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    NASA Astrophysics Data System (ADS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-03-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlOx films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlOx films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlOx/SiOx/Si interface with positron trapping and annihilation occurring in the Si side of the SiOx/Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiOx interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  13. Single-Layer Limit of Metallic Indium Overlayers on Si(111).

    PubMed

    Park, Jae Whan; Kang, Myung Ho

    2016-09-09

    Density-functional calculations are used to identify one-atom-thick metallic In phases grown on the Si(111) surface, which have long been sought in quest of the ultimate two-dimensional (2D) limit of metallic properties. We predict two metastable single-layer In phases, one sqrt[7]×sqrt[3] phase with a coverage of 1.4 monolayer (ML; here 1 ML refers to one In atom per top Si atom) and the other sqrt[7]×sqrt[7] phase with 1.43 ML, which indeed agree with experimental evidences. Both phases reveal quasi-1D arrangements of protruded In atoms, leading to 2D-metallic but anisotropic band structures and Fermi surfaces. This directional feature contrasts with the free-electron-like In-overlayer properties that are known to persist up to the double-layer thickness, implying that the ultimate 2D limit of In overlayers may have been achieved in previous studies of double-layer In phases.

  14. Synthesis and Crystal Structure of a New Ruthenium Silicophosphate: RuP 3SiO 11

    NASA Astrophysics Data System (ADS)

    Fukuoka, Hiroshi; Imoto, Hideo; Saito, Taro

    1996-01-01

    A new ruthenium silicophosphate RuP3SiO11was obtained and the structure was determined by single-crystal X-ray diffraction. It crystallizes in the trigonal space groupR3cwitha= 8.253(3)Å,c= 39.317(4)Å,V= 2319(2)Å3,Z= 12,R= 0.029, andRW= 0.026. The structure is composed of RuO6, Si2O7, and P2O7units. The Si2O7unit shares the six oxygen atoms with six P2O7units, while the P2O7unit shares the six oxygen atoms with two Si2O7units and four RuO6octahedra. The anionic part forms an infinite three-dimensional network of silicophosphate. RuP3SiO11is isotypic with MoP3SiO11.

  15. Effect of aluminum on the local structure of silicon in zeolites as studied by Si K edge X-ray absorption near-edge fine structure: spectra simulation with a non-muffin tin atomic background.

    PubMed

    Bugaev, Lusegen A; Bokhoven, Jeroen A van; Khrapko, Valerii V

    2009-04-09

    Experimental Si K edge X-ray absorption near-edge fine structure (XANES) of zeolite faujasite, mordenite, and beta are interpreted by means of the FEFF8 code, replacing the theoretical atomic background mu(0) by a background that was extracted from an experimental spectrum. To some extent, this diminished the effect of the inaccuracy introduced by the MT potential and accounted for the intrinsic loss of photoelectrons. The agreement of the theoretical and experimental spectra at energies above the white lines enabled us to identify structural distortion around silicon, which occurs with increasing aluminum content. The Si K edge XANES spectra are very sensitive to slight distortions in the silicon coordination. Placing an aluminum atom on a nearest neighboring T site causes a distortion in the silicon tetrahedron, shortening one of the silicon-oxygen bonds relative to the other three.

  16. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    NASA Astrophysics Data System (ADS)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  17. A density functional study of silicon fullerene endohedral X@Si20F20 and exohedral X-Si20F20 (X=O2-, S2-, Se2-) complexes

    NASA Astrophysics Data System (ADS)

    Behzadi, Hadi; Esrafili, Mehdi D.; Manzetti, Sergio; Roonasi, Payman

    2014-02-01

    This study reports the structure and electronic properties of three stable endohedral X@Si20F20 and exohedral X-Si20F20 (X=O2-, S2-, Se2-) complexes. The study revealed that the endohedral complexes with S2- and Se2- result as energy minimum structures, with the guest anion located in the cage center. In the case of endohedral O2--complexes, the pattern is quite different whereas the O2- complexes dramatically deviated from the architecture of S2- and Se2-, by having O2- located toward one of silicon atoms in the cage. With respect to the exohedral form, the energy minimized structure is obtained by positioning the anion between two silicon atoms and forming two Si-X bonds. For both cases, the strength of the interactions is calculated to increase accordingly to the pattern: Se2-@Si20F20 (Se2--Si20F20)Si20F20 (S2--Si20F20)Si20F20 (O2--Si20F20). Additionally, the calculated HOMO-LUMO energy gaps of the exohedral complexes show lower values than endohedral forms, indicating higher chemical reactivity. This study reports relevant details for the synthesis and structuring of silica based endohedral complexes and serves for the further developments of silica-based particles for energy and microengineering purposes.

  18. 29Si-NMR study of magnetic anisotropy and hyperfine interactions in the uranium-bsed ferromagnet UNiSi2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Hironori; Baek, Seung H; Bauer, Eric D

    2009-01-01

    UNiSi{sub 2} orders ferromagnetically below T{sub Curie} = 95 K. This material crystallizes in the orthorhombic CeNiSi{sub 2}-type structure. The uranium atoms form double-layers, which are stacked along the crystallographic b axis (the longest axis). From magnetization measurement the easy (hard) magnetization axis is found to be the c axis (b axis). {sup 29}Si-NMR measurements have been performed in the paramagnetic state. In UNiSi{sub 2}, two crystallographic Si sites exist with orthorhombic local symmetry. The Knight shifts on each Si site have been estimated from the spectra of random and oriented powders. The transferred hyperfine couplings have been also derived.more » It is found that the transferred hyperfine coupling constants on each Si site are nearly isotropic, and that their Knight shift anisotropy comes from that of the bulk susceptibility. The nuclear-spin lattice relaxation rate 1/T{sub 1} shows temperature-independent behavior, which indicates the existence of localized 5f electron.« less

  19. Evidence for the formation of SiGe nanoparticles in Ge-implanted Si 3N 4

    DOE PAGES

    Mirzaei, S.; Kremer, F.; Feng, R.; ...

    2017-03-14

    SiGe nanoparticles were formed in an amorphous Si 3N 4 matrix by Ge + ion implantation and thermal annealing. The size of the nanoparticles was determined by transmission electron microscopy and their atomic structure by x-ray absorption spectroscopy. Nanoparticles were observed for excess Ge concentrations in the range from 9 to 12 at. % after annealing at temperatures in the range from 700 to 900 °C. The average nanoparticle size increased with excess Ge concentration and annealing temperature and varied from an average diameter of 1.8±0.2 nm for the lowest concentration and annealing temperature to 3.2±0.5 nm for the highestmore » concentration and annealing temperature. Our study demonstrates that the structural properties of embedded SiGe nanoparticles in amorphous Si 3N 4 are sensitive to the implantation and post implantation conditions. Furthermore, we demonstrate that ion implantation is a novel pathway to fabricate and control the SiGe nanoparticle structure and potentially useful for future optoelectronic device applications.« less

  20. Silicon Carbide Epitaxial Films Studied by Atomic Force Microscopy

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Silicon carbide (SiC) holds great potential as an electronic material because of its wide band gap energy, high breakdown electric field, thermal stability, and resistance to radiation damage. Possible aerospace applications of high-temperature, high-power, or high-radiation SiC electronic devices include sensors, control electronics, and power electronics that can operate at temperatures up to 600 C and beyond. Commercially available SiC devices now include blue light-emitting diodes (LED's) and high-voltage diodes for operation up to 350 C, with other devices under development. At present, morphological defects in epitaxially grown SiC films limit their use in device applications. Research geared toward reducing the number of structural inhomogeneities can benefit from an understanding of the type and nature of problems that cause defects. The Atomic Force Microscope (AFM) has proven to be a useful tool in characterizing defects present on the surface of SiC epitaxial films. The in-house High-Temperature Integrated Electronics and Sensors (HTIES) Program at the NASA Lewis Research Center not only extended the dopant concentration range achievable in epitaxial SiC films, but it reduced the concentration of some types of defects. Advanced structural characterization using the AFM was warranted to identify the type and structure of the remaining film defects and morphological inhomogeneities. The AFM can give quantitative information on surface topography down to molecular scales. Acquired, in part, in support of the Advanced High Temperature Engine Materials Technology Program (HITEMP), the AFM had been used previously to detect partial fiber debonding in composite material cross sections. Atomic force microscopy examination of epitaxial SiC film surfaces revealed molecular-scale details of some unwanted surface features. Growth pits propagating from defects in the substrate, and hillocks due, presumably, to existing screw dislocations in the substrates, were

  1. Atomic scale study of strain relaxation in Sn islands on Sn-induced Si(111)-(2√3 ×2√3 ) surface

    NASA Astrophysics Data System (ADS)

    Wang, L. L.; Ma, X. C.; Ning, Y. X.; Ji, S. H.; Fu, Y. S.; Jia, J. F.; Kelly, K. F.; Xue, Q. K.

    2009-04-01

    Surface structure of the Sn islands 5 ML high, prepared on Si(111)-(2√3 ×2√3 )-Sn substrate, is investigated by low temperature scanning tunneling microscopy/spectroscopy. Due to the elastic strain relaxation in the islands, the in-plane unit cell structure distorts and the apparent height of the surface atoms varies regularly to form an overall modulated strip structure. The quantum well states are observed to depend on the relative position within this structure, which implies the change of the surface chemical potential induced by the elastic strain relaxation as well.

  2. The Ba 4d-4f giant dipole resonance in complex Ba/Si compounds

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahle, Ch. J.; Sternemann, C.; Sternemann, H.

    2014-08-06

    The shape of the Ba 4d–4f giant dipole resonance is studied for Ba atoms embedded inside complex Si networks covering structures consisting of Si nanocages and nanotubes, i.e. the clathrate Ba 8Si 46, the complex compound BaSi 6, and the semiconducting BaSi 2. Here, non-resonant x-ray Raman scattering is used to investigate confinement effects on the shape of the giant resonance in the vicinity of the Ba NIV, V-edge. The distinct momentum transfer dependence of the spectra is analyzed and discussed. The measurements are compared to calculations of the giant resonance within time-dependent local density approximation in the dipole limit.more » No modulation of the giant resonance's shape for Ba atoms confined in different local environments was observed, in contrast to the calculations. The absence of such shape modulation for complex Ba/Si compounds is discussed providing important implications for further studies of giant resonance phenomena utilizing both theory and experiment.« less

  3. The Ba 4d-4f giant dipole resonance in complex Ba/Si compounds

    NASA Astrophysics Data System (ADS)

    Sahle, Ch J.; Sternemann, C.; Sternemann, H.; Tse, J. S.; Gordon, R. A.; Desgreniers, S.; Maekawa, S.; Yamanaka, S.; Lehmkühler, F.; Wieland, D. C. F.; Mende, K.; Huotari, S.; Tolan, M.

    2014-02-01

    The shape of the Ba 4d-4f giant dipole resonance is studied for Ba atoms embedded inside complex Si networks covering structures consisting of Si nanocages and nanotubes, i.e. the clathrate Ba8Si46, the complex compound BaSi6, and the semiconducting BaSi2. Here, non-resonant x-ray Raman scattering is used to investigate confinement effects on the shape of the giant resonance in the vicinity of the Ba NIV, V-edge. The distinct momentum transfer dependence of the spectra is analyzed and discussed. The measurements are compared to calculations of the giant resonance within time-dependent local density approximation in the dipole limit. No modulation of the giant resonance’s shape for Ba atoms confined in different local environments was observed, in contrast to the calculations. The absence of such shape modulation for complex Ba/Si compounds is discussed providing important implications for further studies of giant resonance phenomena utilizing both theory and experiment.

  4. Delaminated graphene at silicon carbide facets: atomic scale imaging and spectroscopy.

    PubMed

    Nicotra, Giuseppe; Ramasse, Quentin M; Deretzis, Ioannis; La Magna, Antonino; Spinella, Corrado; Giannazzo, Filippo

    2013-04-23

    Atomic-resolution structural and spectroscopic characterization techniques (scanning transmission electron microscopy and electron energy loss spectroscopy) are combined with nanoscale electrical measurements (conductive atomic force microscopy) to study at the atomic scale the properties of graphene grown epitaxially through the controlled graphitization of a hexagonal SiC(0001) substrate by high temperature annealing. This growth technique is known to result in a pronounced electron-doping (∼10(13) cm(-2)) of graphene, which is thought to originate from an interface carbon buffer layer strongly bound to the substrate. The scanning transmission electron microscopy analysis, carried out at an energy below the knock-on threshold for carbon to ensure no damage is imparted to the film by the electron beam, demonstrates that the buffer layer present on the planar SiC(0001) face delaminates from it on the (112n) facets of SiC surface steps. In addition, electron energy loss spectroscopy reveals that the delaminated layer has a similar electronic configuration to purely sp2-hybridized graphene. These observations are used to explain the local increase of the graphene sheet resistance measured around the surface steps by conductive atomic force microscopy, which we suggest is due to significantly lower substrate-induced doping and a resonant scattering mechanism at the step regions. A first-principles-calibrated theoretical model is proposed to explain the structural instability of the buffer layer on the SiC facets and the resulting delamination.

  5. Effect of rapid thermal annealing temperature on the dispersion of Si nanocrystals in SiO2 matrix

    NASA Astrophysics Data System (ADS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2015-05-01

    Effect of rapid thermal annealing temperature on the dispersion of silicon nanocrystals (Si-NC's) embedded in SiO2 matrix grown by atom beam sputtering (ABS) method is reported. The dispersion of Si NCs in SiO2 is an important issue to fabricate high efficiency devices based on Si-NC's. The transmission electron microscopy studies reveal that the precipitation of excess silicon is almost uniform and the particles grow in almost uniform size upto 850 °C. The size distribution of the particles broadens and becomes bimodal as the temperature is increased to 950 °C. This suggests that by controlling the annealing temperature, the dispersion of Si-NC's can be controlled. The results are supported by selected area diffraction (SAED) studies and micro photoluminescence (PL) spectroscopy. The discussion of effect of particle size distribution on PL spectrum is presented based on tight binding approximation (TBA) method using Gaussian and log-normal distribution of particles. The study suggests that the dispersion and consequently emission energy varies as a function of particle size distribution and that can be controlled by annealing parameters.

  6. Dangling-bond logic gates on a Si(100)-(2 × 1)-H surface.

    PubMed

    Kawai, Hiroyo; Ample, Francisco; Wang, Qing; Yeo, Yong Kiat; Saeys, Mark; Joachim, Christian

    2012-03-07

    Atomic-scale Boolean logic gates (LGs) with two inputs and one output (i.e. OR, NOR, AND, NAND) were designed on a Si(100)-(2 × 1)-H surface and connected to the macroscopic scale by metallic nano-pads physisorbed on the Si(100)-(2 × 1)-H surface. The logic inputs are provided by saturating and unsaturating two surface Si dangling bonds, which can, for example, be achieved by adding and extracting two hydrogen atoms per input. Quantum circuit design rules together with semi-empirical elastic-scattering quantum chemistry transport calculations were used to determine the output current intensity of the proposed switches and LGs when they are interconnected to the metallic nano-pads by surface atomic-scale wires. Our calculations demonstrate that the proposed devices can reach ON/OFF ratios of up to 2000 for a running current in the 10 µA range.

  7. Ultra-reduced phases in Apollo 16 regolith: Combined field emission electron probe microanalysis and atom probe tomography of submicron Fe-Si grains in Apollo 16 sample 61500

    NASA Astrophysics Data System (ADS)

    Gopon, Phillip; Spicuzza, Michael J.; Kelly, Thomas F.; Reinhard, David; Prosa, Ty J.; Fournelle, John

    2017-09-01

    The lunar regolith contains a variety of chemically reduced phases of interest to planetary scientists and the most common, metallic iron, is generally ascribed to space weathering processes (Lucey et al. ). Reports of silicon metal and iron silicides, phases indicative of extremely reducing conditions, in lunar samples are rare (Anand et al. ; Spicuzza et al. ). Additional examples of Fe-silicides have been identified in a survey of particles from Apollo 16 sample 61501,22. Herein is demonstrated the utility of low keV electron probe microanalysis (EPMA), using the Fe Ll X-ray line, to analyze these submicron phases, and the necessity of accounting for carbon contamination. We document four Fe-Si and Si0 minerals in lunar regolith return material. The new Fe-Si samples have a composition close to (Fe,Ni)3Si, whereas those associated with Si0 are close to FeSi2 and Fe3Si7. Atom probe tomography of (Fe,Ni)3Si shows trace levels of C (60 ppma and nanodomains enriched in C, Ni, P, Cr, and Sr). These reduced minerals require orders of magnitude lower oxygen fugacity and more reducing conditions than required to form Fe0. Documenting the similarities and differences in these samples is important to constrain their formation processes. These phases potentially formed at high temperatures resulting from a meteorite impact. Whether carbon played a role in achieving the lower oxygen fugacities—and there is evidence of nearby carbonaceous chondritic material—it remains to be proven that carbon was the necessary component for the unique existence of these Si0 and iron silicide minerals.

  8. Multi-technology Investigation of the Atomic Structure of Calcium Silicate Hydrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geng, Guoqing; Kilcoyne, David A.; Benmore, Chris J.

    2015-01-01

    In this study, synthetic C-S-H samples were investigated to reveal the feature at atomic scale. Rietveld refinement was applied to high resolution X-ray scattering data, yielding the lattice constants of the pseudocrystal structure, as well as the crystallinity along three axes. Near Edge X-ray Absorption Fine Structure (NEXAFS) spectra was collected at calcium L3,2-edge. Evolution of calcium coordination symmetry were studied by investigating spectra characteristics. Pair Distribution Function (PDF) study yields the statistics of atom pair distribution. Coordination number of Ca and Si were obtained by integrating Radial distribution function. Atomic model based on dimeric structure were discussed and comparedmore » with experimental data. Synthetic C-S-H samples with increasing Ca/Si ratio exhibit pseudo-crystal structure, resembling Dreierketten configuration similar to natural tobermorite structure. Along c-axis, the repeated structure could not survives two layers in case of low Ca/Si ratio (0.70, 1.05). But in high Ca/Si ratio (1.42) case, the crystallinity along c-axis is much bigger. The coordination number of Ca decreases with increasing Ca/Si ratio. Octahedrally coordinated Ca are observed in sample with Ca/Si ratio of 1.42. Various dimeric models are compared with experimental data. In case of Ca/Si ratio of 1.42, SiO4 tetrahedron chain needs to be shortened in linkage, most probably by substituting bridging SiO4 tetrahedron with CaO6 octahedron. These octahedrons in interlayer space act like pins to join two adjacent layer structures together. The crystallinity is thus increased along c-axis, and average coordination number is therefore reduced. In case of Ca/Si 1.05, crystallinity is low along c-axis since, indicating that not too many Ca ions exist in interlayer space to hold two layers together. Instead, negative charge of end oxygen could be balanced by proton. Ca/Si 0.70 has long tetrahedron chain linkage within layer while the linkage between

  9. Stereo-selective binding of chlorobenzene on Si(111)-7×7

    NASA Astrophysics Data System (ADS)

    Cao, Y.; Deng, J. F.; Xu, G. Q.

    2000-03-01

    The adsorption and binding of chlorobenzene (C6H5Cl) on clean and D-modified Si(111)-7×7 surfaces have been investigated using high resolution electron energy loss spectroscopy (HREELS) and thermal desorption spectroscopy (TDS). On a clean surface, both chemisorbed and physisorbed C6H5Cl are observed at an adsorption temperature of 110 K. The HREEL spectra show direct evidence for the presence of both sp2 and sp3 carbon atoms in chemisorbed C6H5Cl molecules on Si(111)-7×7. Upon D-modification, the chemisorption of C6H5Cl decreases rapidly with increasing D-coverage (θD). At θD=1/3 ML, only physisorbed chlorobenzene is detected, which strongly suggests the involvement of rest-atoms in the chemisorption of C6H5Cl. Combined with the scanning tunneling microscopy (STM) results by Chen et al. [Surf. Sci. 340, 224 (1995)] showing the participation of adatoms in the binding, we propose that the 2,5-carbon atoms in C6H5Cl are stereo-selectively di-σ bonded to a pair of adjacent adatom and rest-atom on the Si(111)-7×7 surface, yielding a 2,5-chlorocyclohexadienelike surface adduct.

  10. Optical atomic clocks

    NASA Astrophysics Data System (ADS)

    Poli, N.; Oates, C. W.; Gill, P.; Tino, G. M.

    2013-12-01

    In the last ten years extraordinary results in time and frequency metrology have been demonstrated. Frequency-stabilization techniques for continuous-wave lasers and femtosecond optical frequency combs have enabled a rapid development of frequency standards based on optical transitions in ultra-cold neutral atoms and trapped ions. As a result, today's best performing atomic clocks tick at an optical rate and allow scientists to perform high-resolution measurements with a precision approaching a few parts in 1018. This paper reviews the history and the state of the art in optical-clock research and addresses the implementation of optical clocks in a possible future redefinition of the SI second as well as in tests of fundamental physics.

  11. Crack-resistant Al2O3–SiO2 glasses

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-01-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3–(100–x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3–SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses. PMID:27053006

  12. Statistical Nature of Atomic Disorder in Irradiated Crystals

    NASA Astrophysics Data System (ADS)

    Boulle, A.; Debelle, A.

    2016-06-01

    Atomic disorder in irradiated materials is investigated by means of x-ray diffraction, using cubic SiC single crystals as a model material. It is shown that, besides the determination of depth-resolved strain and damage profiles, x-ray diffraction can be efficiently used to determine the probability density function (PDF) of the atomic displacements within the crystal. This task is achieved by analyzing the diffraction-order dependence of the damage profiles. We thereby demonstrate that atomic displacements undergo Lévy flights, with a displacement PDF exhibiting heavy tails [with a tail index in the γ =0.73 - 0.37 range, i.e., far from the commonly assumed Gaussian case (γ =2 )]. It is further demonstrated that these heavy tails are crucial to account for the amorphization kinetics in SiC. From the retrieved displacement PDFs we introduce a dimensionless parameter fDXRD to quantify the disordering. fDXRD is found to be consistent with both independent measurements using ion channeling and with molecular dynamics calculations.

  13. Clustering/anticlustering effects on the GeSi Raman spectra at moderate (Ge,Si) contents: Percolation scheme vs. ab initio calculations

    NASA Astrophysics Data System (ADS)

    Torres, V. J. B.; Hajj Hussein, R.; Pagès, O.; Rayson, M. J.

    2017-02-01

    We test a presumed ability behind the phenomenological percolation scheme used for the basic description of the multi-mode Raman spectra of mixed crystals at one dimension along the linear chain approximation, to determine, via the Raman intensities, the nature of the atom substitution, as to whether this is random or due to local clustering/anticlustering. For doing so, we focus on the model percolation-type GeySi1-y system characterized by six oscillators { 1 × ( G e - G e ) , 3 × ( G e - S i ) , 2 × ( S i - S i ) } and place the study around the critical compositions y ˜ (0.16, 0.71, and 0.84) corresponding to nearly matching of intensities between the like Raman modes from a given multiplet ( G e - S i triplet or S i - S i doublet). The interplay between the GeySi1-y Raman intensities predicted by the percolation scheme depending on a suitable order parameter κ of local clustering/anticlustering is found to be consistent with ab initio calculations of the GeySi1-y Raman spectra done with the Ab Initio Modeling PROgram code using large (64-, 216-, and 512-atoms) disordered cubic supercells matching the required ( y , κ ) values. The actual "percolation vs. ab initio" comparative insight at moderate/dilute-(Ge,Si) limits, with an emphasis on the κ -induced intra-bond transfer of oscillator strength, extends a pioneering one earlier achieved at an intermediate composition ( y ˜ 0.50) by using small (32-atom) supercells [O. Pagès et al., J. Appl. Phys. 114, 033513 (2013)], mainly concerned with the inter-bond transfer of oscillator strength, providing altogether a complete picture.

  14. Electronic structures and thermochemical properties of the small silicon-doped boron clusters B(n)Si (n=1-7) and their anions.

    PubMed

    Tai, Truong Ba; Kadłubański, Paweł; Roszak, Szczepan; Majumdar, Devashis; Leszczynski, Jerzy; Nguyen, Minh Tho

    2011-11-18

    We perform a systematic investigation on small silicon-doped boron clusters B(n)Si (n=1-7) in both neutral and anionic states using density functional (DFT) and coupled-cluster (CCSD(T)) theories. The global minima of these B(n)Si(0/-) clusters are characterized together with their growth mechanisms. The planar structures are dominant for small B(n)Si clusters with n≤5. The B(6)Si molecule represents a geometrical transition with a quasi-planar geometry, and the first 3D global minimum is found for the B(7)Si cluster. The small neutral B(n)Si clusters can be formed by substituting the single boron atom of B(n+1) by silicon. The Si atom prefers the external position of the skeleton and tends to form bonds with its two neighboring B atoms. The larger B(7)Si cluster is constructed by doping Si-atoms on the symmetry axis of the B(n) host, which leads to the bonding of the silicon to the ring boron atoms through a number of hyper-coordination. Calculations of the thermochemical properties of B(n)Si(0/-) clusters, such as binding energies (BE), heats of formation at 0 K (ΔH(f)(0)) and 298 K (ΔH(f)([298])), adiabatic (ADE) and vertical (VDE) detachment energies, and dissociation energies (D(e)), are performed using the high accuracy G4 and complete basis-set extrapolation (CCSD(T)/CBS) approaches. The differences of heats of formation (at 0 K) between the G4 and CBS approaches for the B(n)Si clusters vary in the range of 0.0-4.6 kcal mol(-1). The largest difference between two approaches for ADE values is 0.15 eV. Our theoretical predictions also indicate that the species B(2)Si, B(4)Si, B(3)Si(-) and B(7)Si(-) are systems with enhanced stability, exhibiting each a double (σ and π) aromaticity. B(5)Si(-) and B(6)Si are doubly antiaromatic (σ and π) with lower stability. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Silicone polymer waveguide bridge for Si to glass optical fibers

    NASA Astrophysics Data System (ADS)

    Kruse, Kevin L.; Riegel, Nicholas J.; Middlebrook, Christopher T.

    2015-03-01

    Multimode step index polymer waveguides achieve high-speed, (<10 Gb/s) low bit-error-rates for onboard and embedded circuit applications. Using several multimode waveguides in parallel enables overall capacity to reach beyond 100 Gb/s, but the intrinsic bandwidth limitations due to intermodal dispersion limit the data transmission rates within multimode waveguides. Single mode waveguides, where intermodal dispersion is not present, have the potential to further improve data transmission rates. Single mode waveguide size is significantly less than their multimode counterparts allowing for greater density of channels leading to higher bandwidth capacity per layer. Challenges in implementation of embedded single mode waveguides within printed circuit boards involves mass production fabrication techniques to create precision dimensional waveguides, precision alignment tolerances necessary to launch a mode, and effective coupling between adjoining waveguides and devices. An emerging need in which single mode waveguides can be utilized is providing low loss fan out techniques and coupling between on-chip transceiver devices containing Si waveguide structures to traditional single mode optical fiber. A polymer waveguide bridge for Si to glass optical fibers can be implemented using silicone polymers at 1310 nm. Fabricated and measured prototype devices with modeling and simulation analysis are reported for a 12 member 1-D tapered PWG. Recommendations and designs are generated with performance factors such as numerical aperture and alignment tolerances.

  16. Realization of a quantum Hamiltonian Boolean logic gate on the Si(001):H surface.

    PubMed

    Kolmer, Marek; Zuzak, Rafal; Dridi, Ghassen; Godlewski, Szymon; Joachim, Christian; Szymonski, Marek

    2015-08-07

    The design and construction of the first prototypical QHC (Quantum Hamiltonian Computing) atomic scale Boolean logic gate is reported using scanning tunnelling microscope (STM) tip-induced atom manipulation on an Si(001):H surface. The NOR/OR gate truth table was confirmed by dI/dU STS (Scanning Tunnelling Spectroscopy) tracking how the surface states of the QHC quantum circuit on the Si(001):H surface are shifted according to the input logical status.

  17. Effect of flash lamp annealing on electrical activation in boron-implanted polycrystalline Si thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Do, Woori; Jin, Won-Beom; Choi, Jungwan

    2014-10-15

    Highlights: • Intensified visible light irradiation was generated via a high-powered Xe arc lamp. • The disordered Si atomic structure absorbs the intensified visible light. • The rapid heating activates electrically boron-implanted Si thin films. • Flash lamp heating is applicable to low temperature polycrystalline Si thin films. - Abstract: Boron-implanted polycrystalline Si thin films on glass substrates were subjected to a short duration (1 ms) of intense visible light irradiation generated via a high-powered Xe arc lamp. The disordered Si atomic structure absorbs the intense visible light resulting from flash lamp annealing. The subsequent rapid heating results in themore » electrical activation of boron-implanted Si thin films, which is empirically observed using Hall measurements. The electrical activation is verified by the observed increase in the crystalline component of the Si structures resulting in higher transmittance. The feasibility of flash lamp annealing has also been demonstrated via a theoretical thermal prediction, indicating that the flash lamp annealing is applicable to low-temperature polycrystalline Si thin films.« less

  18. Mechanical properties of Fe rich Fe-Si alloys: ab initio local bulk-modulus viewpoint

    NASA Astrophysics Data System (ADS)

    Bhattacharya, Somesh Kr; Kohyama, Masanori; Tanaka, Shingo; Shiihara, Yoshinori; Saengdeejing, Arkapol; Chen, Ying; Mohri, Tetsuo

    2017-11-01

    Fe-rich Fe-Si alloys show peculiar bulk-modulus changes depending on the Si concentration in the range of 0-15 at.%Si. In order to clarify the origin of this phenomenon, we have performed density-functional theory calculations of supercells of Fe-Si alloy models with various Si concentrations. We have applied our recent techniques of ab initio local energy and local stress, by which we can obtain a local bulk modulus of each atom or atomic group as a local constituent of the cell-averaged bulk modulus. A2-phase alloy models are constructed by introducing Si substitution into bcc Fe as uniformly as possible so as to prevent mutual neighboring, while higher Si concentrations over 6.25 at.%Si lead to contacts between SiFe8 cubic clusters via sharing corner Fe atoms. For 12.5 at.%Si, in addition to an A2 model, we deal with partial D03 models containing local D03-like layers consisting of edge-shared SiFe8 cubic clusters. For the cell-averaged bulk modulus, we have successfully reproduced the Si-concentration dependence as a monotonic decrease until 11.11 at.%Si and a recovery at 12.5 at.%Si. The analysis of local bulk moduli of SiFe8 cubic clusters and Fe regions is effective to understand the variations of the cell-averaged bulk modulus. The local bulk moduli of Fe regions become lower for increasing Si concentration, due to the suppression of bulk-like d-d bonding states in narrow Fe regions. For higher Si concentrations till 11.11 at.%Si, corner-shared contacts or 1D chains of SiFe8 clusters lead to remarkable reduction of local bulk moduli of the clusters. At 12 at.%Si, on the other hand, two- or three-dimensional arrangements of corner- or edge-shared SiFe8 cubic clusters show greatly enhanced local bulk moduli, due to quite different bonding nature with much stronger p-d hybridization. The relation among the local bulk moduli, local electronic and magnetic structures, and local configurations such as connectivity of SiFe8 clusters and Fe-region sizes has been

  19. Absorption Amelioration of Amorphous Si Film by Introducing Metal Silicide Nanoparticles.

    PubMed

    Sun, Hui; Wu, Hsuan-Chung; Chen, Sheng-Chi; Ma Lee, Che-Wei; Wang, Xin

    2017-12-01

    Amorphous Si (a-Si) films with metal silicide are expected to enhance the absorption ability of pure a-Si films. In this present study, NiSi (20 nm)/Si (40 nm) and AlSi (20 nm)/Si (40 nm) bilayer thin films are deposited through radio frequency (RF) sputtering at room temperature. The influence of the film's composition and the annealing temperature on the film's optical absorption is investigated. The results show that all the NiSi/Si films and AlSi/Si films possess higher absorption ability compared to a pure a-Si film (60 nm). After annealing from 400 to 600 °C under vacuum for 1 h, the Si layer remains amorphous in both NiSi/Si films and AlSi/Si films, while the NiSi layer crystallizes into NiSi 2 phase, whereas Al atoms diffuse through the whole film during the annealing process. Consequently, with increasing the annealing temperature, the optical absorption of NiSi/Si films increases, while that of AlSi/Si films obviously degrades.

  20. Following electron impact excitation of single (N, O, F, Ne, Na, Mg, Al, Si) atom L subshells ionization cross section calculations by using Lotz's equation

    NASA Astrophysics Data System (ADS)

    Aydinol, Mahmut

    2017-02-01

    L shell and L subshells ionization cross sections σL and σLi (i = 1, 2, 3) following electron impact on (N,O, F, Ne, Na, Mg, Al, Si) atoms calculated. By using Lotz' equation for nonrelativistic cases in Matlab σL and σLi cross section values obtained for ten electron impact(Eo) values in the range of ELiatom. Starting from Eo=ELi(each subshell ionization threshold energy), σL and σLi are increasing rapidly with Eo. For a fixed Eo value(≈3.ELi), while Z value increases from 7≤Z≤14 σL and σLi decrease. Results show that for smaller values of Eo(close to ELi), x-ray yields formation of Li(i=1,2,3) subshells decreases while competing other yields are increase. Results may help to understand similar findings which obtained from other electron impact excitation of L shell σL and subshells σLi studies for single atoms.

  1. Silicene on Ag(1 1 1): Geometric and electronic structures of a new honeycomb material of Si

    NASA Astrophysics Data System (ADS)

    Takagi, Noriaki; Lin, Chun-Liang; Kawahara, Kazuaki; Minamitani, Emi; Tsukahara, Noriyuki; Kawai, Maki; Arafune, Ryuichi

    2015-02-01

    Silicene, a two-dimensional honeycomb sheet consisting of Si atoms, has attracted much attention as a new low-dimensional material because it gains various fascinating characteristics originating from the combination of Dirac fermion features with spin-orbit coupling. The novel properties such as the quantum spin Hall effect and the compatibility with the current Si device technologies have fueled competition to realize the silicene. This review article focuses on the geometric and electronic structures of silicene grown on Ag(1 1 1) investigated by scanning tunneling microcopy (STM), low energy electron diffraction (LEED) and density functional theory (DFT) calculations. The silicene on Ag(1 1 1) takes locally-buckled structure in which the Si atoms are displaced perpendicularly to the basal plane. As a result, several superstructures such as 4 × 4,√{ 13 } ×√{ 13 } R 13.9 °, 4 /√{ 3 } × 4 /√{ 3 } , and etc. emerge. The atomic arrangement of the 4 × 4 silicene has been determined by STM, DFT calculations and LEED dynamical analysis, while the other superstructures remain to be fully-resolved. In the 4 × 4 silicene, Si atoms are arranged to form a buckled honeycomb structure where six Si atoms of 18 Si atoms in the unit cell are displaced vertically. The displacements lead to the vertical shift of the substrate Ag atoms, indicating the non-negligible coupling at the interface between the silicene layer and the substrate. The interface coupling significantly modifies the electronic structure of the 4 × 4 silicene. No Landau level sequences were observed by scanning tunneling spectroscopy (STS) with magnetic fields applied perpendicularly to the sample surface. The DFT calculations showed that the π and π∗ bands derived from the Si 3pz are hybridized with the Ag electronic states, leading to the drastic modification in the band structure and then the absence of Dirac fermion features together with the two-dimensionality in the electronic states

  2. Computer simulation of CaSiO3 glass under compression: correlation between Si-Si pair radial distribution function and intermediate range order structure

    NASA Astrophysics Data System (ADS)

    Lan, Mai Thi; Thuy Duong, Tran; Iitaka, Toshiaki; Van Hong, Nguyen

    2017-06-01

    The structural organization of CaSiO3 glass at 600 K and under pressure of 0-100 GPa is investigated by molecular dynamics simulation (MDS). Results show that the atomic structure of CaSiO3 comprises SiO n and CaO m units considered as basic structural polyhedra. At low pressure, most of the basic structural polyhedra are SiO4, CaO5, CaO6 and CaO7. At high pressure most of the basic structural polyhedra are SiO5, SiO6 and CaO9, CaO10 and CaO11. The distribution of basic structural polyhedra is not uniform resulting in formation of Ca-rich and Si-rich regions. The distribution of SiO4, SiO5 and SiO6 polyhedra is also not uniform, but it tends to form SiO4-, SiO5-, and SiO6-clusters. For the Si-O network, under compression there is a gradual transition from the tetrahedral network (SiO4) to the octahedral network (SiO6) via SiO5 polyhedra. The SiO5-clusters are the same as immediate-phase in the transformation process. The size and shape of SiO4 tetrahedra change strongly under compression. While the size of SiO5 and SiO6 has also changed significantly, but the shape is almost unchanged under compression. The SiO n polyhedra can connect to each other via one common oxygen ion (corner-sharing bond), two common oxygen ions (edge-sharing bond) or three common oxygen ions (face-sharing bond). The Si-Si bond length in corner-sharing bonds is much longer than the ones in edge-sharing and face-sharing bonds. The change of intermediate range order (IRO) structure under compression relating to edge- and face-sharing bonds amongst SiO n at high pressure is the origin of the first peak splitting of the radial distribution functions of Si-Si pair. Under compression, the number of non-bridging oxygen (NBO) decreases. This makes the Si-O network more polymerized. At low pressure, most of the Ca2+ ions incorporate into the Si-O network via NBOs. At high pressure, the amount of NBO decreases, Ca2+ ions mainly incorporate into the Si-O network via bridging oxygen (BO) that

  3. Interdiffusion in U 3Si-Al, U 3Si 2-Al, and USi-Al dispersion fuels during irradiation

    NASA Astrophysics Data System (ADS)

    Kim, Yeon Soo; Hofman, Gerard L.

    2011-03-01

    Uranium-silicide compound fuel dispersion in an Al matrix is used in research and test reactors worldwide. Interaction layer (IL) growth between fuel particles and the matrix is one of performance issues. The interaction layer growth data for U 3Si, U 3Si 2 and USi dispersions in Al were obtained from both out-of-pile and in-pile tests. The IL is dominantly U(AlSi) 3 from out-of-pile tests, but its (Al + Si)/U ratio from in-pile tests is higher than the out-of-pile data, because of amorphous behavior of the ILs. IL growth correlations were developed for U 3Si-Al and U 3Si 2-Al. The IL growth rates were dependent on the U/Si ratio of the fuel compounds. During irradiation, however, the IL growth rates did not decrease with the decreasing U/Si ratio by fission. It is reasoned that transition metal fission products in the IL compensate the loss of U atoms by providing chemical potential for Al diffusion and volume expansion by solid swelling and gas bubble swelling. The addition of Mo in U 3Si 2 reduces the IL growth rate, which is similar to that of UMo alloy dispersion in a silicon-added Al matrix.

  4. Low Temperature Rhombohedral Single Crystal SiGe Epitaxy on c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Duzik, Adam J.; Choi, Sang H.

    2016-01-01

    Current best practice in epitaxial growth of rhombohedral SiGe onto (0001) sapphire (Al2O3) substrate surfaces requires extreme conditions to grow a single crystal SiGe film. Previous models described the sapphire surface reconstruction as the overriding factor in rhombohedral epitaxy, requiring a high temperature Al-terminated surface for high quality films. Temperatures in the 850-1100 C range were thought to be necessary to get SiGe to form coherent atomic matching between the (111) SiGe plane and the (0001) sapphire surface. Such fabrication conditions are difficult and uneconomical, hindering widespread application. This work proposes an alternative model that considers the bulk sapphire structure and determines how the SiGe film nucleates and grows. Accounting for thermal expansion effects, calculations using this new model show that both pure Ge and SiGe can form single crystal films in the 450-550 C temperature range. Experimental results confirm these predictions, where x-ray diffraction and atomic force microscopy show the films fabricated at low temperature rival the high temperature films in crystallographic and surface quality. Finally, an explanation is provided for why films of comparable high quality can be produced in either temperature range.

  5. Study of SiO{sub 2}/4H-SiC interface nitridation by post-oxidation annealing in pure nitrogen gas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chanthaphan, Atthawut, E-mail: chanthaphan@asf.mls.eng.osaka-u.ac.jp; Hosoi, Takuji, E-mail: hosoi@mls.eng.osaka-u.ac.jp; Shimura, Takayoshi

    An alternative and effective method to perform interface nitridation for 4H-SiC metal-oxide-semiconductor (MOS) devices was developed. We found that the high-temperature post-oxidation annealing (POA) in N{sub 2} ambient was beneficial to incorporate a sufficient amount of nitrogen atoms directly into thermal SiO{sub 2}/SiC interfaces. Although N{sub 2}-POA was ineffective for samples with thick thermal oxide layers, interface nitridation using N{sub 2}-POA was achieved under certain conditions, i.e., thin SiO{sub 2} layers (< 15 nm) and high annealing temperatures (>1350°C). Electrical characterizations of SiC-MOS capacitors treated with high-temperature N{sub 2}-POA revealed the same evidence of slow trap passivation and fast trapmore » generation that occurred in NO-treated devices fabricated with the optimized nitridation conditions.« less

  6. Coupling behaviors of graphene/SiO2/Si structure with external electric field

    NASA Astrophysics Data System (ADS)

    Onishi, Koichi; Kirimoto, Kenta; Sun, Yong

    2017-02-01

    A traveling electric field in surface acoustic wave was introduced into the graphene/SiO2/Si sample in the temperature range of 15 K to 300 K. The coupling behaviors between the sample and the electric field were analyzed using two parameters, the intensity attenuation and time delay of the traveling-wave. The attenuation originates from Joule heat of the moving carriers, and the delay of the traveling-wave was due to electrical resistances of the fixed charge and the moving carriers with low mobility in the sample. The attenuation of the external electric field was observed in both Si crystal and graphene films in the temperature range. A large attenuation around 190 K, which depends on the strength of external electric field, was confirmed for the Si crystal. But, no significant temperature and field dependences of the attenuation in the graphene films were detected. On the other hand, the delay of the traveling-wave due to ionic scattering at low temperature side was observed in the Si crystal, but cannot be detected in the films of the mono-, bi- and penta-layer graphene with high conductivities. Also, it was indicated in this study that skin depth of the graphene film was less than thickness of two graphene atomic layers in the temperature range.

  7. Computational simulation of the effects of oxygen on the electronic states of hydrogenated 3C-porous SiC

    PubMed Central

    2012-01-01

    A computational study of the dependence of the electronic band structure and density of states on the chemical surface passivation of cubic porous silicon carbide (pSiC) was performed using ab initio density functional theory and the supercell method. The effects of the porosity and the surface chemistry composition on the energetic stability of pSiC were also investigated. The porous structures were modeled by removing atoms in the [001] direction to produce two different surface chemistries: one fully composed of silicon atoms and one composed of only carbon atoms. The changes in the electronic states of the porous structures as a function of the oxygen (O) content at the surface were studied. Specifically, the oxygen content was increased by replacing pairs of hydrogen (H) atoms on the pore surface with O atoms attached to the surface via either a double bond (X = O) or a bridge bond (X-O-X, X = Si or C). The calculations show that for the fully H-passivated surfaces, the forbidden energy band is larger for the C-rich phase than for the Si-rich phase. For the partially oxygenated Si-rich surfaces, the band gap behavior depends on the O bond type. The energy gap increases as the number of O atoms increases in the supercell if the O atoms are bridge-bonded, whereas the band gap energy does not exhibit a clear trend if O is double-bonded to the surface. In all cases, the gradual oxygenation decreases the band gap of the C-rich surface due to the presence of trap-like states. PMID:22913486

  8. Tuning the conductivity along atomic chains by selective chemisorption

    NASA Astrophysics Data System (ADS)

    Edler, F.; Miccoli, I.; Stöckmann, J. P.; Pfnür, H.; Braun, C.; Neufeld, S.; Sanna, S.; Schmidt, W. G.; Tegenkamp, C.

    2017-03-01

    Adsorption of Au on vicinal Si(111) surfaces results in growth of long-range ordered metallic quantum wires. In this paper, we utilized site-specific and selective adsorption of oxygen to modify chemically the transport via different channels in the systems Si(553)-Au and Si(557)-Au. They were analyzed by electron diffraction and four-tip STM-based transport experiments. Modeling of the adsorption process by density functional theory shows that the adatoms and rest atoms on Si(557)-Au provide energetically favored adsorption sites, which predominantly alter the transport along the wire direction. Since this structural motif is missing on Si(553)-Au, the transport channels remain almost unaffected by oxidation.

  9. The closo-Si{sub 12}C{sub 12} molecule from cluster to crystal: A theoretical prediction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, Xiaofeng F., E-mail: xiaofeng.duan@wpafb.af.mil, E-mail: larry.burggraf@us.af.mil; Air Force Institute of Technology, Wright-Patterson Air Force Base, Ohio 45433; Burggraf, Larry W., E-mail: xiaofeng.duan@wpafb.af.mil, E-mail: larry.burggraf@us.af.mil

    2016-03-21

    The structure of closo-Si{sub 12}C{sub 12} is unique among stable Si{sub n}C{sub m} isomers (n, m > 4) because of its high symmetry, π–π stacking of C{sub 6} rings and unsaturated silicon atoms at symmetrical peripheral positions. Dimerization potential surfaces reveal various dimerization reactions that form between two closo-Si{sub 12}C{sub 12} molecules through Si–Si bonds at unsaturated Si atoms. As a result the closo-Si{sub 12}C{sub 12} molecule is capable of polymerization to form stable 1D polymer chains, 2D crystal layers, and 3D crystals. 2D crystal structures formed by side-side polymerization satisfy eight Si valences on each monomer without large distortionmore » of the monomer structure. 3D crystals are formed by stacking 2D structures in the Z direction, preserving registry of C{sub 6} rings in monomer moiety.« less

  10. Electrical and structural properties of In-implanted Si 1–xGe x alloys

    DOE PAGES

    Feng, Ruixing; Kremer, F.; Sprouster, D. J.; ...

    2016-01-14

    Here, we report on the effects of dopant concentration and substrate stoichiometry on the electrical and structural properties of In-implanted Si 1–xGe x alloys. Correlating the fraction of electrically active In atoms from Hall Effect measurements with the In atomic environment determined by X-ray absorption spectroscopy, we observed the transition from electrically active, substitutional In at low In concentration to electrically inactive metallic In at high In concentration. The In solid-solubility limit has been quantified and was dependent on the Si 1–xGe x alloy stoichiometry; the solid-solubility limit increased as the Ge fraction increased. This result was consistent with densitymore » functional theory calculations of two In atoms in a Si 1–xGe x supercell that demonstrated that In–In pairing was energetically favorable for x ≲ 0.7 and energetically unfavorable for x ≳ 0.7. Transmission electron microscopy imaging further complemented the results described earlier with the In concentration and Si 1–xGe x alloy stoichiometry dependencies readily visible. We have demonstrated that low resistivity values can be achieved with In implantation in Si 1–xGe x alloys, and this combination of dopant and substrate represents an effective doping protocol.« less

  11. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  12. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  13. Lowest-energy structures and electronic properties of Na-Si binary clusters from ab initio global search.

    PubMed

    Sai, Linwei; Tang, Lingli; Zhao, Jijun; Wang, Jun; Kumar, Vijay

    2011-11-14

    The ground state structures of neutral and anionic clusters of Na(n)Si(m) (1 ≤ n ≤ 3, 1 ≤ m ≤ 11) have been determined using genetic algorithm incorporated in first principles total energy code. The size dependence of the structural and electronic properties is discussed in detail. It is found that the lowest-energy structures of Na(n)Si(m) clusters resemble those of the pure Si clusters. Interestingly, Na atoms in neutral Na(n)Si(m) clusters are usually well separated by the Si(m) skeleton, whereas Na atoms can form Na-Na bonds in some anionic clusters. The ionization potentials, adiabatic electron affinities, and photoelectron spectra are also calculated and the results compare well with the experimental data. © 2011 American Institute of Physics

  14. Determination of silicon and aluminum in silicon carbide nanocrystals by high-resolution continuum source graphite furnace atomic absorption spectrometry.

    PubMed

    Dravecz, Gabriella; Bencs, László; Beke, Dávid; Gali, Adam

    2016-01-15

    The determination of Al contaminant and the main component Si in silicon carbide (SiC) nanocrystals with the size-distribution of 1-8nm dispersed in an aqueous solution was developed using high-resolution continuum source graphite furnace atomic absorption spectrometry (HR-CS-GFAAS). The vaporization/atomization processes were investigated in a transversally heated graphite atomizer by evaporating solution samples of Al and Si preserved in various media (HCl, HNO3). For Si, the best results were obtained by applying a mixture of 5µg Pd plus 5µg Mg, whereas for Al, 10µg Mg (each as nitrate solution) was dispensed with the samples, but the results obtained without modifier were found to be better. This way a maximum pyrolysis temperature of 1200°C for Si and 1300°C for Al could be used, and the optimum (compromise) atomization temperature was 2400°C for both analytes. The Si and Al contents of different sized SiC nanocrystals, dispersed in aqueous solutions, were determined against aqueous (external) calibration standards. The correlation coefficients (R values) of the calibrations were found to be 0.9963 for Si and 0.9991 for Al. The upper limit of the linear calibration range was 2mg/l Si and 0.25mg/l Al. The limit of detection was 3µg/l for Si and 0.5µg/l for Al. The characteristic mass (m0) was calculated to be 389pg Si and 6.4pg Al. The Si and Al content in the solution samples were found to be in the range of 1.0-1.7mg/l and 0.1-0.25mg/l, respectively. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Role of orbital overlap in atomic manipulation

    NASA Astrophysics Data System (ADS)

    Jarvis, Sam; Sweetman, Adam; Bamidele, Joseph; Kantorovich, Lev; Moriarty, Philip

    2012-06-01

    We conduct ab initio simulations illustrating that the ability to achieve atomic manipulation using a dynamic force microscope depends on the precise orientation of the dangling bond(s) at the tip apex and their charge density with respect to those of surface atoms. Using the Si(100)-c(4×2) surface as a prototype, we demonstrate that it is possible to select tip apices capable of performing atomic manipulation tasks which are unachievable using another choice of apex. Specific tip apices can be identified via examination of F(z) curves taken at different lateral positions.

  16. Electron capture in collisions of Si3+ ions with atomic hydrogen from low to intermediate energies

    NASA Astrophysics Data System (ADS)

    Liu, C. H.; Liu, L.; Wang, J. G.

    2014-07-01

    The electron capture process for the Si3+(3s) + H(1s) collisions is investigated by the quantum-mechanical molecular orbital close-coupling (MOCC) method and by the two-center atomic orbital close-coupling (AOCC) method in the energy range of 10-5-10 keV/u and 0.8-200 keV/u, respectively. Total and state-selective cross sections are presented and compared with the available theoretical and experimental results. The present MOCC and AOCC results agree well with the experimental measurements, but show some discrepancy with the calculations of Wang et al. [Phys. Rev. A 74, 052709 (2006), 10.1103/PhysRevA.74.052709] at E > 40 eV/u because of the inclusion of rotational couplings, which play important roles in the electron capture process. At lower energies, the present results are about three to five times smaller than those of Wang et al. due to the difference in the molecular data at large internuclear distances. The energy behaviors of the electron capture cross sections are discussed on the basis of identified reaction mechanisms.

  17. Doping of the step-edge Si chain: Ag on a Si(557)-Au surface

    NASA Astrophysics Data System (ADS)

    Krawiec, M.; Jałochowski, M.

    2010-11-01

    Structural and electronic properties of monatomic Ag chains on the Au-induced, highly ordered Si(557) surface are investigated by scanning tunneling microscopy (STM)/spectroscopy and first-principles density functional theory (DFT) calculations. The STM topography data show that a small amount of Ag (0.25 ML) very weakly modifies the one-dimensional structure induced by Au atoms. However, the bias-dependent STM topography and spectroscopy point to the importance of the electronic effects in this system, which are further corroborated by the DFT calculations. The obtained results suggest that Ag atoms act as electron donors leaving the geometry of the surface almost unchanged.

  18. Ultraviolet/visible photodiode of nanostructure Sn-doped ZnO/Si heterojunction

    NASA Astrophysics Data System (ADS)

    Kheirandish, N.; Mortezaali, A.

    2013-05-01

    Sn doped ZnO nanostructures deposited on Si substrate with (100) orientation by spray pyrolysis method at temperature 450 °C. Sn/Zn atomic ratio varies from 0% to 5%. The scanning electron microscope measurements showed that size of particles reduce with increasing the doping concentration. The X-ray diffraction analysis revealed formation of the wurtzite phase of ZnO. I-V curves of Sn doped ZnO/Si were investigated in dark and shows diode-like rectifying behavior. Among doped ZnO/Si, sample with atomic ratio of Sn/Zn = 5% is a good candidate to study photodiode properties in UV/visible range. Photoelectric effects have been observed under illumination monochromatic laser light with a wavelength of 325 nm and halogen lamp. Measurements demonstrate that the photodiode has high sensitivity and reproducibility to halogen light respect to laser light.

  19. Crater function moments: Role of implanted noble gas atoms

    NASA Astrophysics Data System (ADS)

    Hobler, Gerhard; Maciążek, Dawid; Postawa, Zbigniew

    2018-04-01

    Spontaneous pattern formation by energetic ion beams is usually explained in terms of surface-curvature dependent sputtering and atom redistribution in the target. Recently, the effect of ion implantation on surface stability has been studied for nonvolatile ion species, but for the case of noble gas ion beams it has always been assumed that the implanted atoms can be neglected. In this work, we show by molecular dynamics (MD) and Monte Carlo (MC) simulations that this assumption is not valid in a wide range of implant conditions. Sequential-impact MD simulations are performed for 1-keV Ar, 2-keV Kr, and 2-keV Xe bombardments of Si, starting with a pure single-crystalline Si target and running impacts until sputtering equilibrium has been reached. The simulations demonstrate the importance of the implanted ions for crater-function estimates. The atomic volumes of Ar, Kr, and Xe in Si are found to be a factor of two larger than in the solid state. To extend the study to a wider range of energies, MC simulations are performed. We find that the role of the implanted ions increases with the ion energy although the increase is attenuated for the heavier ions. The analysis uses the crater function formalism specialized to the case of sputtering equilibrium.

  20. Sodium diffusion in 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Linnarsson, M. K., E-mail: marga@kth.se; Hallén, A.

    Sodium diffusion has been studied in p-type 4H-SiC. Heat treatments have been performed from 1200 °C to 1800 °C for 1 min to 4 h. Secondary ion mass spectrometry has been used to measure the sodium distribution. We show that sodium has a considerable mobility at 1200 °C in p-type 4H-SiC. On the other hand for sodium atoms trapped at suitable sites the mobility is limited up to 1800 °C. Trap limited diffusion kinetics is suggested and an effective diffusivity has been extracted with an activation energy of 4 eV for sodium diffusion in p-type 4H-SiC.

  1. Near surface silicide formation after off-normal Fe-implantation of Si(001) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de; Pietsch, U.; Lützenkirchen-Hecht, D.

    We report on formation of non-crystalline Fe-silicides of various stoichiometries below the amorphized surface of crystalline Si(001) after irradiation with 5 keV Fe{sup +} ions under off-normal incidence. We examined samples prepared with ion fluences of 0.1 × 10{sup 17} and 5 × 10{sup 17} ions cm{sup −2} exhibiting a flat and patterned surface morphology, respectively. Whereas the iron silicides are found across the whole surface of the flat sample, they are concentrated at the top of ridges at the rippled surface. A depth resolved analysis of the chemical states of Si and Fe atoms in the near surface region was performed by combining X-raymore » photoelectron spectroscopy and X-ray absorption spectroscopy (XAS) using synchrotron radiation. The chemical shift and the line shape of the Si 2p core levels and valence bands were measured and associated with the formation of silicide bonds of different stoichiometric composition changing from an Fe-rich silicides (Fe{sub 3}Si) close to the surface into a Si-rich silicide (FeSi{sub 2}) towards the inner interface to the Si(001) substrate. This finding is supported by XAS analysis at the Fe K-edge which shows changes of the chemical environment and the near order atomic coordination of the Fe atoms in the region close to surface. Because a similar Fe depth profile has been found for samples co-sputtered with Fe during Kr{sup +} ion irradiation, our results suggest the importance of chemically bonded Fe in the surface region for the process of ripple formation.« less

  2. Production and reactions of silicon atoms in hot wire deposition of amorphous silicon

    NASA Astrophysics Data System (ADS)

    Zheng, Wengang; Gallagher, Alan

    2003-10-01

    Decomposing silane and hydrogen molecules on a hot tungsten filament is an alternative method of depositing hydrogenated microcrystal and amorphous Si for thin-film semmiconductor devices. This "hot-wire" method can have significant advantages, such as high film deposition rates. The deposition chemistry involves Si and H atoms released from the filament, followed by their reactions with the vapor and surfaces. To establish these deposition pathways, we measure radicals at the substrate with a home built, threshold ionization mass spectrometer. The design and operation of this mass spectrometer for radical detection, and the behavior of Si atom production and reactions, will be presented. This work is supported by the National Renewable Energy Laboratory, Golden, CO 80401

  3. Identification of photoluminescence P line in indium doped silicon as In{sub Si}-Si{sub i} defect

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lauer, Kevin, E-mail: klauer@cismst.de; Möller, Christian; Schulze, Dirk

    2015-01-15

    Indium and carbon co-implanted silicon was investigated by low-temperature photoluminescence spectroscopy. A photoluminescence peak in indium doped silicon (P line) was found to depend on the position of a silicon interstitial rich region, the existence of a SiN{sub x}:H/SiO{sub x} stack and on characteristic illumination and annealing steps. These results led to the conclusion that silicon interstitials are involved in the defect and that hydrogen impacts the defect responsible for the P line. By applying an unique illumination and annealing cycle we were able to link the P line defect with a defect responsible for degradation of charge carrier lifetimemore » in indium as well as boron doped silicon. We deduced a defect model consisting of one acceptor and one silicon interstitial atom denoted by A{sub Si}-Si{sub i}, which is able to explain the experimental data of the P line as well as the light-induced degradation in indium and boron doped silicon. Using this model we identified the defect responsible for the P line as In{sub Si}-Si{sub i} in neutral charge state and C{sub 2v} configuration.« less

  4. Atoms in carbon cages as a source of interstellar diffuse lines

    NASA Technical Reports Server (NTRS)

    Ballester, J. L.; Antoniewicz, P. R.; Smoluchowski, R.

    1990-01-01

    A model to describe the resonance absorption lines of various atoms trapped in closed carbon cages is presented. These systems may be responsible for some of the as yet unexplained diffuse interstellar bands. Model potentials for possible atom-C60 systems are obtained and used to calculate the resonance lines. The trapped atoms considered are O, N, Si, Mg, Al, Na, and S, and in all cases the resonance lines are shifted toward the red as compared to the isolated atoms. The calculated wavelengths are compared to the range of wavelengths observed for the diffuse interstellar bands, and good agreement is found for Mg and Si resonance lines. Other lines may be caused by other than resonance transitions or by trapped molecules. The oscillator strengths and the abundances are evaluated and compared with observation. Mechanisms to explain the observed band width of the lines and the existence of certain correlated pairs of lines are discussed.

  5. Boron doping induced thermal conductivity enhancement of water-based 3C-Si(B)C nanofluids.

    PubMed

    Li, Bin; Jiang, Peng; Zhai, Famin; Chen, Junhong; Bei, Guoping; Hou, Xinmei; Chou, Kuo-Chih

    2018-08-31

    In this paper, the fabrication and thermal conductivity (TC) of water-based nanofluids using boron (B)-doped SiC as dispersions are reported. Doping B into the β-SiC phase leads to the shrinkage of the SiC lattice due to the substitution of Si atoms (0.134 nm radius) by smaller B atoms (0.095 nm radius). The presence of B in the SiC phase also promotes crystallization and grain growth of obtained particles. The tailored crystal structure and morphology of B-doped SiC nanoparticles are beneficial for the TC improvement of the nanofluids by using them as dispersions. Using B-doped SiC nanoparticles as dispersions for nanofluids, a remarkable improvement in stability was achieved in SiC-B6 nanofluid at pH 11 by means of the Zeta potential measurement. By dispersing B-doped SiC nanoparticles in water-based fluids, the TC of the as-prepared nanofluids containing only 0.3 vol.% SiC-B6 nanoparticles is remarkably raised to 39.3% at 30 °C compared to the base fluids, and is further enhanced with the increased temperature. The main reasons for the improvement in TC of SiC-B6 nanofluids are more stable dispersion and intensive charge ions vibration around the surface of nanoparticles as well as the enhanced TC of the SiC-B dispersions.

  6. Detection methods for atoms and radicals in the gas phase

    NASA Astrophysics Data System (ADS)

    Hack, W.

    This report lists atoms and free radicals in the gas phase which are of interest for environmental and flame chemistry and have been detected directly. The detection methods which have been used are discussed with respect to their range of application, specificity and sensitivity. In table 1, detection methods for the five atoms of group IV (C, Si, Ge, Sn, Pb) and about 60 radicals containing at least one atom of group IV are summarized (CH, Cd, Cf, CC1, CBr, Cn, Cs, CSe, CH2, CD2, Chf, Cdf, CHC1, CHBr, CF2, CC12, CBr2, CFC1, CFBr, CH3, CD3, CF3, CH2F, CH2C1, CH2Br, CHF2, CHC12, CHBr2, Hco, Fco, CH30, CD30, CH2OH, CH3S, Nco, CH4N, CH302, CF302; C2, C2N, C2H, C20, C2HO, C2H3, C2F3, C2H5, C2HsO, C2H4OH, CH3CO, CD3CO, C2H3O, C2H502, CH3COO2, C2H4N, C2H6N, C3; Si, SiF, SiF2, SiO, SiC, Si2; Ge, GeC, GeO, GeF, GeF2, GeCl2, Sn, SnF, SnO, SnF2, Pb, PbF, PbF2, PbO, PbS). In table 2 detection methods for about 25 other atoms and 60 radicals are listed: (H, D, O, O2, Oh, Od, HO2, DO2, F, Ci, Br, I, Fo, Cio, BrO, Io, FO2, C1O2, Li, Na, K, Rb, Cs, N, N3, Nh, Nd, Nf, Nci, NBr, NH2, ND2, Nhd, Nhf, NF2, NC12, N2H3, No, NO2, NO3, Hno, Dno, P, Ph, Pd, Pf, Pci, PH2, PD2, PF2, Po, As, AsO, AsS, Sb, Bi, S, S2, Sh, Sd, Sf, SF2, So, Hso, Dso, Sn, Se, Te, Se2, SeH, SeD, SeF, SeO, SeS, SeN, TeH, TeO, Bh, BH2, Bo, Bn, B02, Cd, Hg, UF5). The tables also cite some recent kinetic applications of the various methods.

  7. QCM and AFM Study of atomic scale polishing and roughening of surfaces exposed to nanoparticle suspensions of diamond, Al2O3 and SiO2.

    NASA Astrophysics Data System (ADS)

    Krim, Jacqueline; Acharya, Biplav; Chestnut, Melanie; Marek, Antonin; Shendarova, Olga; Smirnov, Alex

    The addition of nanoparticles to conventional automotive lubricants is known in many cases to result in increased energy efficiency, but the atomic scale mechanisms leading to the increased efficiency are yet to be established. To explore this issue, we studied surface uptake and nanotribological properties of nanoparticle suspensions of diamond, Al2O3 and SiO2 dispersed in water and/or oil (PAO6) in real time by means of an in situ Quartz Crystal Microbalance (QCM) technique, with a focus on the impact of the suspension on the surface roughness and texture of the QCM electrode and how the results compared to macroscopic reductions in friction and increased energy efficiency for the same materials' combinations. The frequency and dissipative properties (mechanical resistance) of QCM's with both gold and nickel surface electrodes were first studied for immersed samples upon addition of the nanoparticles. Nanodiamonds resulted in an increased mechanical resistance while the addition of Al2O3 and SiO2 nanoparticles resulted in a decreased resistance, indicating a reduced resistance of the fluid to the motion of the QCM. Atomic Force Microscope (AFM) measurements were then performed on the QCM electrodes after exposure to the suspensions, to explore potential polishing and/or roughening effects. The results are closely linked to the macroscopic friction and wear attributes. Work supported by NSF.

  8. Density-functional study on the dopant-segregation mechanism: Chemical potential dependence of dopant-defect complex at Si/SiO2 interface

    NASA Astrophysics Data System (ADS)

    Kawai, Hiroki; Nakasaki, Yasushi; Kanemura, Takahisa; Ishihara, Takamitsu

    2018-04-01

    Dopant segregation at Si/SiO2 interface has been a serious problem in silicon device technology. This paper reports a comprehensive density-functional study on the segregation mechanisms of boron, phosphorous, and arsenic at the Si/SiO2 interface. We found that three kinds of interfacial defects, namely, interstitial oxygen, oxygen vacancy, and silicon vacancy with two oxygen atoms, are stable in the possible chemical potential range. Thus, we consider these defects as trap sites for the dopants. For these defects, the dopant segregation energies, the electrical activities of the trapped dopants, and the kinetic energy barriers of the trapping/detrapping processes are calculated. As a result, trapping at the interstitial oxygen site is indicated to be the most plausible mechanism of the dopant segregation. The interstitial oxygen works as a major trap site since it has a high areal density at the Si/SiO2 interface due to the low formation energy.

  9. Liquid-phase growth of few-layered graphene on sapphire substrates using SiC micropowder source

    NASA Astrophysics Data System (ADS)

    Maruyama, Takahiro; Yamashita, Yutaka; Saida, Takahiro; Tanaka, Shin-ichiro; Naritsuka, Shigeya

    2017-06-01

    We demonstrated direct synthesis of graphene films consisting of a few layers (few-layered graphene) on sapphire substrates by liquid-phase growth (LPG), using liquid Ga as the melt and SiC micropowder as the source material. When the dissolution temperature was above 700 °C, almost all Si atoms of SiC diffused into the Ga melt and only carbon atoms remained at the interface beneath the liquid Ga. Above 800 °C, X-ray photoelectron spectra showed that most of the remaining carbon was graphitized. When the dissolution temperature was 1000 °C, Raman spectra showed that few-layered graphene films grew on the sapphire substrates.

  10. Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)

    NASA Astrophysics Data System (ADS)

    Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.

    2000-09-01

    This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.

  11. Local atomic structure of Pd and Ag in the SiC containment layer of TRISO fuel particles fissioned to 20% burn-up

    NASA Astrophysics Data System (ADS)

    Seibert, Rachel L.; Terrani, Kurt A.; Velázquez, Daniel; Hunn, John D.; Baldwin, Charles A.; Montgomery, Fred C.; Terry, Jeff

    2018-03-01

    The structure and speciation of fission products within the SiC barrier layer of tristructural-isotropic (TRISO) fuel particles irradiated to 19.6% fissions per initial metal atom (FIMA) burnup in the Advanced Test Reactor (ATR) at Idaho National Laboratory (INL) was investigated. As-irradiated fuel particles, as well as those subjected to simulated accident scenarios, were examined. The TRISO particles were characterized using synchrotron X-ray absorption fine-structure spectroscopy (XAFS) at the Materials Research Collaborative Access Team (MRCAT) beamline at the Advanced Photon Source. The TRISO particles were produced at Oak Ridge National Laboratory under the Advanced Gas Reactor Fuel Development and Qualification Program and sent to the ATR for irradiation. XAFS measurements on the palladium and silver K-edges were collected using the MRCAT undulator beamline. Analysis of the Pd edge indicated the formation of palladium silicides of the form PdxSi (2 ≤ x ≤ 3). In contrast, Ag was found to be metallic within the SiC shell safety tested to 1700 °C. To the best of our knowledge, this is the first result demonstrating metallic bonding of silver from fissioned samples. Knowledge of these reaction pathways will allow for better simulations of radionuclide transport in the various coating layers of TRISO fuels for next generation nuclear reactors. They may also suggest different ways to modify TRISO particles to improve their fuel performance and to mitigate potential fission product release under both normal operation and accident conditions.

  12. Doping of Semiconducting Atomic Chains

    NASA Technical Reports Server (NTRS)

    Toshishige, Yamada; Kutler, Paul (Technical Monitor)

    1997-01-01

    Due to the rapid progress in atom manipulation technology, atomic chain electronics would not be a dream, where foreign atoms are placed on a substrate to form a chain, and its electronic properties are designed by controlling the lattice constant d. It has been shown theoretically that a Si atomic chain is metallic regardless of d and that a Mg atomic chain is semiconducting or insulating with a band gap modified with d. For electronic applications, it is essential to establish a method to dope a semiconducting chain, which is to control the Fermi energy position without altering the original band structure. If we replace some of the chain atoms with dopant atoms randomly, the electrons will see random potential along the chain and will be localized strongly in space (Anderson localization). However, if we replace periodically, although the electrons can spread over the chain, there will generally appear new bands and band gaps reflecting the new periodicity of dopant atoms. This will change the original band structure significantly. In order to overcome this dilemma, we may place a dopant atom beside the chain at every N lattice periods (N > 1). Because of the periodic arrangement of dopant atoms, we can avoid the unwanted Anderson localization. Moreover, since the dopant atoms do not constitute the chain, the overlap interaction between them is minimized, and the band structure modification can be made smallest. Some tight-binding results will be discussed to demonstrate the present idea.

  13. Statistical Nature of Atomic Disorder in Irradiated Crystals.

    PubMed

    Boulle, A; Debelle, A

    2016-06-17

    Atomic disorder in irradiated materials is investigated by means of x-ray diffraction, using cubic SiC single crystals as a model material. It is shown that, besides the determination of depth-resolved strain and damage profiles, x-ray diffraction can be efficiently used to determine the probability density function (PDF) of the atomic displacements within the crystal. This task is achieved by analyzing the diffraction-order dependence of the damage profiles. We thereby demonstrate that atomic displacements undergo Lévy flights, with a displacement PDF exhibiting heavy tails [with a tail index in the γ=0.73-0.37 range, i.e., far from the commonly assumed Gaussian case (γ=2)]. It is further demonstrated that these heavy tails are crucial to account for the amorphization kinetics in SiC. From the retrieved displacement PDFs we introduce a dimensionless parameter f_{D}^{XRD} to quantify the disordering. f_{D}^{XRD} is found to be consistent with both independent measurements using ion channeling and with molecular dynamics calculations.

  14. Growth and characterization of textured well-faceted ZnO on planar Si(100), planar Si(111), and textured Si(100) substrates for solar cell applications.

    PubMed

    Tsai, Chin-Yi; Lai, Jyong-Di; Feng, Shih-Wei; Huang, Chien-Jung; Chen, Chien-Hsun; Yang, Fann-Wei; Wang, Hsiang-Chen; Tu, Li-Wei

    2017-01-01

    In this work, textured, well-faceted ZnO materials grown on planar Si(100), planar Si(111), and textured Si(100) substrates by low-pressure chemical vapor deposition (LPCVD) were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and cathode luminescence (CL) measurements. The results show that ZnO grown on planar Si(100), planar Si(111), and textured Si(100) substrates favor the growth of ZnO(110) ridge-like, ZnO(002) pyramid-like, and ZnO(101) pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100) substrate is slightly larger than that on the planar Si(111) substrate, while both of them are much larger than that on the textured Si(100) substrate. The average grain sizes (about 10-50 nm) of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT) solar cells.

  15. Interactive Web-based Visualization of Atomic Position-time Series Data

    NASA Astrophysics Data System (ADS)

    Thapa, S.; Karki, B. B.

    2017-12-01

    Extracting and interpreting the information contained in large sets of time-varying three dimensional positional data for the constituent atoms of simulated material is a challenging task. We have recently implemented a web-based visualization system to analyze the position-time series data extracted from the local or remote hosts. It involves a pre-processing step for data reduction, which involves skipping uninteresting parts of the data uniformly (at full atomic configuration level) or non-uniformly (at atomic species level or individual atom level). Atomic configuration snapshot is rendered using the ball-stick representation and can be animated by rendering successive configurations. The entire atomic dynamics can be captured as the trajectories by rendering the atomic positions at all time steps together as points. The trajectories can be manipulated at both species and atomic levels so that we can focus on one or more trajectories of interest, and can be also superimposed with the instantaneous atomic structure. The implementation was done using WebGL and Three.js for graphical rendering, HTML5 and Javascript for GUI, and Elasticsearch and JSON for data storage and retrieval within the Grails Framework. We have applied our visualization system to the simulation datatsets for proton-bearing forsterite (Mg2SiO4) - an abundant mineral of Earths upper mantle. Visualization reveals that protons (hydrogen ions) incorporated as interstitials are much more mobile than protons substituting the host Mg and Si cation sites. The proton diffusion appears to be anisotropic with high mobility along the x-direction, showing limited discrete jumps in other two directions.

  16. Effect of Sn addition on glassy Si-Te bulk sample

    NASA Astrophysics Data System (ADS)

    Babanna, Jagannatha K.; Roy, Diptoshi; Varma, Sreevidya G.; Asokan, Sundarrajan; Das, Chandasree

    2018-05-01

    Bulk Si20Te79Sn1 glass is prepared by melt-quenching method, amorphous nature of the as-quenched glass is confirmed by XRD. I-V characteristics reveals that Si20Te79Sn1 bulk sample exhibits threshold type electrical switching behavior. The thermal parameters such as crystallization temperature, glass transition temperature are obtained using differential scanning calorimetry. The crystalline peak study of the sample annealed at crystallization temperature for 2 hr shows that the Sn atom interact with Si or Te but do not interact with the Si-Te matrix in a greater extent and it forms a separate phase network individually.

  17. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix.

    PubMed

    Buljan, M; Radić, N; Sancho-Paramon, J; Janicki, V; Grenzer, J; Bogdanović-Radović, I; Siketić, Z; Ivanda, M; Utrobičić, A; Hübner, R; Weidauer, R; Valeš, V; Endres, J; Car, T; Jerčinović, M; Roško, J; Bernstorff, S; Holy, V

    2015-02-13

    We report on the formation of Ge/Si quantum dots with core/shell structure that are arranged in a three-dimensional body centered tetragonal quantum dot lattice in an amorphous alumina matrix. The material is prepared by magnetron sputtering deposition of Al2O3/Ge/Si multilayer. The inversion of Ge and Si in the deposition sequence results in the formation of thin Si/Ge layers instead of the dots. Both materials show an atomically sharp interface between the Ge and Si parts of the dots and layers. They have an amorphous internal structure that can be crystallized by an annealing treatment. The light absorption properties of these complex materials are significantly different compared to films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi. They show a strong narrow absorption peak that characterizes a type II confinement in accordance with theoretical predictions. The prepared materials are promising for application in quantum dot solar cells.

  18. Coupled electronic and atomic effects on defect evolution in silicon carbide under ion irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yanwen; Xue, Haizhou; Zarkadoula, Eva

    Understanding energy dissipation processes in electronic/atomic subsystems and subsequent non-equilibrium defect evolution is a long-standing challenge in materials science. In the intermediate energy regime, energetic particles simultaneously deposit a significant amount of energy to both electronic and atomic subsystems of silicon carbide (SiC). Here we show that defect evolution in SiC closely depends on the electronic-to-nuclear energy loss ratio (S e/S n), nuclear stopping powers ( dE/dx nucl), electronic stopping powers ( dE/dx ele), and the temporal and spatial coupling of electronic and atomic subsystem for energy dissipation. The integrated experiments and simulations reveal that: (1) increasing S e/S nmore » slows damage accumulation; (2) the transient temperatures during the ionization-induced thermal spike increase with dE/dx ele, which causes efficient damage annealing along the ion trajectory; and (3) for more condensed displacement damage within the thermal spike, damage production is suppressed due to the coupled electronic and atomic dynamics. Ionization effects are expected to be more significant in materials with covalent/ionic bonding involving predominantly well-localized electrons. Here, insights into the complex electronic and atomic correlations may pave the way to better control and predict SiC response to extreme energy deposition« less

  19. Structure and magnetism in Co/X, Fe/Si, and Fe/(FeSi) multilayers

    NASA Astrophysics Data System (ADS)

    Franklin, Michael Ray

    Previous studies have shown that magnetic behavior in multilayers formed by repeating a bilayer unit comprised of a ferromagnetic layer and a non-magnetic spacer layer can be affected by small structural differences. For example, a macroscopic property such as giant magnetoresistance (GMR) is believed to depend significantly upon interfacial roughness. In this study, several complimentary structural probes were used to carefully characterize the structure of several sputtered multilayer systems-Co/Ag, Co/Cu, Co/Mo, Fe/Si, and Fe//[FeSi/]. X-ray diffraction (XRD) studies were used to examine the long-range structural order of the multilayers perpendicular to the plane of the layers. Transmission electron diffraction (TED) studies were used to probe the long-range order parallel to the layer plane. X-ray Absorption Fine Structure (XAFS) studies were used to determine the average local structural environment of the ferromagnetic atoms. For the Co/X systems, a simple correlation between crystal structure and saturation magnetization is discovered for the Co/Mo system. For the Fe/X systems, direct evidence of an Fe-silicide is found for the /[FeSi/] spacer layer but not for the Si spacer layer. Additionally, differences were observed in the magnetic behavior between the Fe in the nominally pure Fe layer and the Fe contained in the /[FeSi/] spacer layers.

  20. Thermal stability and dielectric properties of nano-SiO2-doped cellulose

    NASA Astrophysics Data System (ADS)

    Zhang, Song; Tang, Chao; Hao, Jian; Wang, Xiaobo

    2017-07-01

    We report the thermal stability and dielectric properties of nano-SiO2-doped cellulose. Molecular dynamics simulations were performed using an undoped cellulose model (C0), a nano-SiO2-doped cellulose model with untreated surface unsaturated bonds (C1), and a nano-SiO2-doped cellulose model for which surface unsaturated O atoms were treated with -H and surface unsaturated Si atoms were treated with -OH (C2). The simulation results showed that the mechanical properties of C1 and C2 were better than those of C0 and were optimal when the content of nano-SiO2 was 5%. The simulation results for C2 were more accurate than those for the other models, and thus, C2 provides theoretical support for the construction of a reasonable model of nano-SiO2 and cellulose in the future. The temperature at which the free volume fraction of C2 jumps was 50 K higher than that for C0, and the thermal stability of C2 was better than that of C0. Experimental results showed that the maximum tensile strength of the insulation paper was obtained when the content of nano-SiO2 was 5%. Moreover, at this content of nano-SiO2, the dielectric constant was lowest and closest to that of transformer insulation oil, which will improve the distribution of the electric field and thus the overall breakdown performance of oil-paper insulation systems.

  1. Crystal growth velocity in deeply undercooled Ni-Si alloys

    NASA Astrophysics Data System (ADS)

    Lü, Y. J.

    2012-02-01

    The crystal growth velocity of Ni95Si5 and Ni90Si10 alloys as a function of undercooling is investigated using molecular dynamics simulations. The modified imbedded atom method potential yields the equilibrium liquidus temperatures T L ≈ 1505 and 1387 K for Ni95Si5 and Ni90Si10 alloys, respectively. From the liquidus temperatures down to the deeply undercooled region, the crystal growth velocities of both the alloys rise to the maximum with increasing undercooling and then drop slowly, whereas the athermal growth process presented in elemental Ni is not observed in Ni-Si alloys. Instead, the undercooling dependence of the growth velocity can be well-described by the diffusion-limited model, furthermore, the activation energy associated with the diffusion from melt to interface increases as the concentration increases from 5 to 10 at.% Si, resulting in the remarkable decrease of growth velocity.

  2. Toggling Bistable Atoms via Mechanical Switching of Bond Angle

    NASA Astrophysics Data System (ADS)

    Sweetman, Adam; Jarvis, Sam; Danza, Rosanna; Bamidele, Joseph; Gangopadhyay, Subhashis; Shaw, Gordon A.; Kantorovich, Lev; Moriarty, Philip

    2011-04-01

    We reversibly switch the state of a bistable atom by direct mechanical manipulation of bond angle using a dynamic force microscope. Individual buckled dimers at the Si(100) surface are flipped via the formation of a single covalent bond, actuating the smallest conceivable in-plane toggle switch (two atoms) via chemical force alone. The response of a given dimer to a flip event depends critically on both the local and nonlocal environment of the target atom—an important consideration for future atomic scale fabrication strategies.

  3. Functionalization of SiO2 Surfaces for Si Monolayer Doping with Minimal Carbon Contamination.

    PubMed

    van Druenen, Maart; Collins, Gillian; Glynn, Colm; O'Dwyer, Colm; Holmes, Justin D

    2018-01-17

    Monolayer doping (MLD) involves the functionalization of semiconductor surfaces followed by an annealing step to diffuse the dopant into the substrate. We report an alternative doping method, oxide-MLD, where ultrathin SiO 2 overlayers are functionalized with phosphonic acids for doping Si. Similar peak carrier concentrations were achieved when compared with hydrosilylated surfaces (∼2 × 10 20 atoms/cm 3 ). Oxide-MLD offers several advantages over conventional MLD, such as ease of sample processing, superior ambient stability, and minimal carbon contamination. The incorporation of an oxide layer minimizes carbon contamination by facilitating attachment of carbon-free precursors or by impeding carbon diffusion. The oxide-MLD strategy allows selection of many inexpensive precursors and therefore allows application to both p- and n-doping. The phosphonic acid-functionalized SiO 2 surfaces were investigated using X-ray photoelectron spectroscopy and attenuated total reflectance Fourier transform infrared spectroscopy, whereas doping was assessed using electrochemical capacitance voltage and Hall measurements.

  4. Auger electron diffraction study of the initial stage of Ge heteroepitaxy on Si(001)

    NASA Astrophysics Data System (ADS)

    Sasaki, M.; Abukawa, T.; Yeom, H. W.; Yamada, M.; Suzuki, S.; Sato, S.; Kono, S.

    1994-12-01

    The initial stage of pure and surfactant (Sb)-assisted Ge growth on a Si(001) surface has been studied by Auger electron diffraction (AED) and X-ray photoelectron diffraction (XPD). A single-domain Si(001)2 × 1 substrate was used to avoid the ambiguity arising from the usual double-domain substrate. For the pure Ge growth, 1 monolayer of Ge was deposited onto the room temperature substrate followed by annealing at 350°C-600°C, which appeared to have (1 × 2) periodicity by LEED. Ge LMM AED patterns were measured to find that a substantial amount of Ge atoms diffuse to the bulk Si positions up to the fourth layer at least. For the Sb-assisted Ge growth, a Sb(1 × 2)/Si(001) surface was first prepared and Sb 3d XPD patterns were measured to find that Sb forms dimers on the substrate. 1 ML of Ge was deposited onto the Sb(1 × 2)/Si(001) surface and then the surface was annealed at 600°C. Ge LMM AED and Sb 3d XPD patterns measured for this surface showed that surfactant Sb atoms are indeed present on the first layer forming dimers and that Ge atoms are present mainly on the second layer with a substantial amount of Ge diffused into the third and fourth layers.

  5. The effect of local atomic structure on the optical properties of GeSi self-assembled islands buried in silicon matrix

    NASA Astrophysics Data System (ADS)

    Demchenko, I. N.; Lawniczak-Jablonska, K.; Kret, S.; Novikov, A. V.; Laval, J.-Y.; Zak, M.; Szczepanska, A.; Yablonskiy, A. N.; Krasilnik, Z. F.

    2007-03-01

    The local atomic structure of GeSi self-assembled islands buried in a silicon matrix strongly influences the optical properties of such systems. In the present paper this structure was determined by x-ray absorption fine-structure (XAFS) spectroscopy and high resolution transmission electron microscopy (HRTEM) and used to build a schematic description of the band structure model. Quantitative analysis of the extended XAFS (EXAFS) spectrum was performed for three coordination shells around the Ge absorbing atom with multiple scattering taken into account. It was proved that the coordination number of elements in an alloy resulting from EXAFS analysis for all three coordination spheres (i.e. 'mixing degree' parameters) cannot be taken as the concentration of alloy but can be used together with a proper model of the alloy unit cell to calculate a realistic concentration. The fraction of Ge calculated in this way is consistent with HRTEM results. The found model of the unit cell was used to generate a x-ray absorption near edge structure spectrum by ab initio calculations. This approach yielded a spectrum in good agreement with the experimental one. The information gained from XAFS and HRTEM was then used for calculation of the band structure diagram. Results of the calculation are discussed and compared with the experimental photoluminescence spectrum.

  6. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  7. Effects of Small Addition of Ti on Strength and Microstructure of a Cu-Ni-Si Alloy

    NASA Astrophysics Data System (ADS)

    Watanabe, Chihiro; Takeshita, Satoshi; Monzen, Ryoichi

    2015-06-01

    The effect of addition of 0.04 or 0.2 mass pct Ti on the mechanical properties of a Cu-2.0 mass pct Ni-0.5 mass pct Si alloy has been investigated. The addition of 0.04 mass pct Ti enhances the strength of the Cu-Ni-Si alloy without reducing its electrical conductivity. This increase in strength is caused by the decrease in inter-precipitate spacing of δ-Ni2Si precipitates. The addition of trace Ti reduces the equilibrium concentration of Ni and Si atoms in the alloy bearing the δ precipitates, resulting in an increase in the volume fraction of δ precipitates and decrease in the inter-precipitate spacing. However, the addition of 0.2 mass pct Ti to the Cu-Ni-Si alloy decreases the strength of the alloy. The reduction in strength is attributed to the decrease in the volume fraction of δ precipitates caused by the reduction in Ni and Si atoms in the Cu matrix resulting from the formation of Ni16Si7Ti6 particles.

  8. The Effects of Heteroatoms Si and S on Tuning the Optical Properties of Rhodamine- and Fluorescein-Based Fluorescence Probes: A Theoretical Analysis.

    PubMed

    Zhou, Panwang; Ning, Cai; Alsaedi, Ahmed; Han, Keli

    2016-10-05

    The effects of the incorporated heteroatoms Si and S on tuning the optical properties of rhodamine- and fluorescein-based fluorescence probes is investigated using DFT and time-dependent DFT with four different functionals. As previously proposed, the large redshift (90 nm) produced by a Si atom in both the absorption and emission spectra can be attributed to the σ*-π* conjugation between the σ* orbital of the Si atom and the π* orbital of the adjacent carbon atoms. However, the presence of a Si atom does not alter the fluorescence quenching mechanism of the nonfluorescent forms of the investigated compounds. For the first time, these theoretical results indicate that the n orbital of the S atom plays an important role in determining the optical properties of the nonfluorescent form of rhodamine-based fluorescence probes. It alters the fluorescence quenching mechanism by lowering the energy of the dark nπ* state, which is due to breakage of the C10-S52 bond upon photoexcitation. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Atomic emission spectroscopy

    NASA Technical Reports Server (NTRS)

    Andrew, K. H.

    1975-01-01

    The relationship between the Slater-Condon theory and the conditions within the atom as revealed by experimental data was investigated. The first spectrum of Si, Rb, Cl, Br, I, Ne, Ar, and Xe-136 and the second spectrum of As, Cu, and P were determined. Methods for assessing the phase stability of fringe counting interferometers and the design of an autoranging scanning system for digitizing the output of an infrared spectrometer and recording it on magnetic tape are described.

  10. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    NASA Astrophysics Data System (ADS)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  11. Recent Surface Deformation in the Himalaya and Adjoining Piedmont Zone of the Ganga Plain, Uttarakhand, India

    NASA Astrophysics Data System (ADS)

    Chang, C. P.

    2015-12-01

    The Himalaya Frontal Thrust (HFT) is the longest active contractional structure on Earth. Understanding the activity of HFT and its surrounding area is a key for both unraveling the mechanism of Himalayan growth and preparing the major earthquake disasters. The latter issue became much more important after the April 25th 2015 Nepal earthquake (also known as the Gorkha earthquake), which killed more than 8,800 people and injured more than 23,000. This earthquake is a dramatic manifestation of the ongoing convergence between the Indo-Australian and Asian tectonic plates that has progressively built the Himalayas over the last 50 million years. Despite its importance, only a few preliminary studies have focused on the frontal part of the western Himalaya. The Himalaya and adjoining Ganga (also called Gangetic) plain in Uttarakhand state of India are traversed by a number of neotectonically active longitudinal and transverse faults. However, the pattern and extent of present day surface deformations caused by these faults is not yet well known. We herein present the preliminary results of our investigation directed in this direction. Surface deformations induced by active faults during a period of seven years from 2003 to 2010 in the south western Himalaya and adjoining proximal part of the Ganga Plain in Uttarakhand state of India have been firstly monitored. Multidate ENVISAT radar images of the area have been analyzed by applying the latest radar remote sensing technique of Persistent Scatterers Interferometric Synthetic Aperture Radar (PSI). Since PSI can extracts surface information even on vegetated or mountainous regions as well. The study reveals some conspicuous surface deformation patterns, which may be related directly to the active movements along some of the major fault /thrust in the area. For example the HFT and the transverse Garampani-Kathgodam Fault (G-KF). A campaign GPS network of 20 stations has also been installed in 2013 in our study area. This

  12. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  13. Mechanism of Phosphine Dissociation on the Si(001) Surface

    NASA Astrophysics Data System (ADS)

    Warschkow, Oliver; Schofield, Steven R.; Smith, Phil V.

    2005-03-01

    The continued down-scaling of electronic devices to the atomic scale increasingly requires an atomic-level understanding of the elementary processes of semiconductor doping. We present a combined experimental and theoretical investigation into the dissociation mechanism of phosphine (PH3) on the Si(001) surface. As reported by us elsewhere in this conference, a number of prominent intermediate species of PH3 dissociation observed in STM experiments have been structurally characterized as PH2+H, PH+2H and P+3H species respectively. In this poster we present detailed quantum chemical calculations of these and other short-lived intermediates as well as the transition (kinetic) barriers between them. This leads us to formulate a step-by-step mechanism for the complete dissociation of PH3 on the Si(001) surface.

  14. Effect of asymmetric concentration profile on thermal conductivity in Ge/SiGe superlattices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hahn, Konstanze R., E-mail: konstanze.hahn@dsf.unica.it; Cecchi, Stefano; Colombo, Luciano

    2016-05-16

    The effect of the chemical composition in Si/Ge-based superlattices on their thermal conductivity has been investigated using molecular dynamics simulations. Simulation cells of Ge/SiGe superlattices have been generated with different concentration profiles such that the Si concentration follows a step-like, a tooth-saw, a Gaussian, and a gamma-type function in direction of the heat flux. The step-like and tooth-saw profiles mimic ideally sharp interfaces, whereas Gaussian and gamma-type profiles are smooth functions imitating atomic diffusion at the interface as obtained experimentally. Symmetry effects have been investigated comparing the symmetric profiles of the step-like and the Gaussian function to the asymmetric profilesmore » of the tooth-saw and the gamma-type function. At longer sample length and similar degree of interdiffusion, the thermal conductivity is found to be lower in asymmetric profiles. Furthermore, it is found that with smooth concentration profiles where atomic diffusion at the interface takes place the thermal conductivity is higher compared to systems with atomically sharp concentration profiles.« less

  15. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  16. Preparation, IR spectroscopy, and time-of-flight mass spectrometry of halogenated and methylated Si(111)

    NASA Astrophysics Data System (ADS)

    Salingue, Nils; Hess, Peter

    2011-09-01

    The preparation of chlorine-, bromine-, and iodine-terminated silicon surfaces (Si(111):Cl, Br, and I) using atomically flat Si(111)-(1×1):H is described. The halogenated surfaces were obtained by photochemically induced radical substitution reactions with the corresponding dihalogen in a Schlenk tube by conventional inert gas chemistry. The nucleophilic substitution of the Si-Cl functionality with the Grignard reagent (CH3MgCl) resulted in the unreconstructed methylated Si(111)-(1×1):CH3 surface. The halogenated and methylated silicon surfaces were characterized by Fourier transform infrared (FTIR) spectroscopy and laser-induced desorption of monolayers (LIDOM). Calibration of the desorption temperature via analysis of time-of-flight (TOF) distributions as a function of laser fluence allowed the determination of the originally emitted neutral fragments by TOF mass spectrometry using electron-impact ionization. The halogens were desorbed atomically and as SiX n (X = Cl, Br) clusters. The methyl groups mainly desorbed as methyl and ethyl fragments and a small amount of +SiCH3.

  17. The effects of layering in ferroelectric Si-doped HfO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lomenzo, Patrick D.; Nishida, Toshikazu, E-mail: nishida@ufl.edu; Takmeel, Qanit

    2014-08-18

    Atomic layer deposited Si-doped HfO{sub 2} thin films approximately 10 nm thick are deposited with various Si-dopant concentrations and distributions. The ferroelectric behavior of the HfO{sub 2} thin films are shown to be dependent on both the Si mol. % and the distribution of Si-dopants. Metal-ferroelectric-insulator-semiconductor capacitors are shown to exhibit a tunable remanent polarization through the adjustment of the Si-dopant distribution at a constant Si concentration. Inhomogeneous layering of Si-dopants within the thin films effectively lowers the remanent polarization. A pinched hysteresis loop is observed for higher Si-dopant concentrations and found to be dependent on the Si layering distribution.

  18. High fluence swift heavy ion structure modification of the SiO2/Si interface and gate insulator in 65 nm MOSFETs

    NASA Astrophysics Data System (ADS)

    Ma, Yao; Gao, Bo; Gong, Min; Willis, Maureen; Yang, Zhimei; Guan, Mingyue; Li, Yun

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO2/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO2 and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  19. Effects of isoconcentration surface threshold values on the characteristics of needle-shaped precipitates in atom probe tomography data from an aged Al-Mg-Si alloy.

    PubMed

    Aruga, Yasuhiro; Kozuka, Masaya

    2016-04-01

    Needle-shaped precipitates in an aged Al-0.62Mg-0.93Si (mass%) alloy were identified using a compositional threshold method, an isoconcentration surface, in atom probe tomography (APT). The influence of thresholds on the morphological and compositional characteristics of the precipitates was investigated. Utilizing optimum parameters for the concentration space, a reliable number density of the precipitates is obtained without dependence on the elemental concentration threshold in comparison with evaluation by transmission electron microscopy (TEM). It is suggested that careful selection of the concentration space in APT can lead to a reasonable average Mg/Si ratio for the precipitates. It was found that the maximum length and maximum diameter of the precipitates are affected by the elemental concentration threshold. Adjustment of the concentration threshold gives better agreement with the precipitate dimensions measured by TEM. © The Author 2015. Published by Oxford University Press on behalf of The Japanese Society of Microscopy. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  20. Diverse forms of bonding in two-dimensional Si allotropes: Nematic orbitals in the MoS2 structure

    NASA Astrophysics Data System (ADS)

    Gimbert, Florian; Lee, Chi-Cheng; Friedlein, Rainer; Fleurence, Antoine; Yamada-Takamura, Yukiko; Ozaki, Taisuke

    2014-10-01

    The interplay of sp2- and sp3-type bonding defines silicon allotropes in two- and three-dimensional forms. A two-dimensional phase bearing structural resemblance to a single MoS2 layer is found to possess a lower total energy than low-buckled silicene and to be stable in terms of its phonon dispersion relations. A set of cigar-shaped nematic orbitals originating from the Si sp2 orbitals realizes bonding with a sixfold coordination of the inner Si atoms of the layer. The identification of these nematic orbitals advocates diverse Si bonding configurations different from those of C atoms.