Sample records for advanced lithography applications

  1. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  2. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  3. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  4. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  5. MTO-like reference mask modeling for advanced inverse lithography technology patterns

    NASA Astrophysics Data System (ADS)

    Park, Jongju; Moon, Jongin; Son, Suein; Chung, Donghoon; Kim, Byung-Gook; Jeon, Chan-Uk; LoPresti, Patrick; Xue, Shan; Wang, Sonny; Broadbent, Bill; Kim, Soonho; Hur, Jiuk; Choo, Min

    2017-07-01

    Advanced Inverse Lithography Technology (ILT) can result in mask post-OPC databases with very small address units, all-angle figures, and very high vertex counts. This creates mask inspection issues for existing mask inspection database rendering. These issues include: large data volumes, low transfer rate, long data preparation times, slow inspection throughput, and marginal rendering accuracy leading to high false detections. This paper demonstrates the application of a new rendering method including a new OASIS-like mask inspection format, new high-speed rendering algorithms, and related hardware to meet the inspection challenges posed by Advanced ILT masks.

  6. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  7. Swords to plowshares: Shock wave applications to advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trucano, T.G.; Grady, D.E.; Kubiak, G.D.

    1995-03-01

    Extreme UltraViolet Lithography (EUVL) seeks to apply radiation in a wavelength region centered near 13 nm to produce microcircuits having features sizes 0.1 micron or less. A critical requirement for the commercial application of this technology is the development of an economical, compact source of this radiation which is suitable for lithographic applications. A good candidate is a laser-plasma source, which is generated by the interaction of an intermediate intensity laser pulse (up to 10{sup 12} W/cm{sup 2}) with a metallic target. While such a source has radiative characteristics which satisfy the needs of an EUVL source, the debris generatedmore » during the laser-target interaction strikes at the economy of the source. Here, the authors review the use of concepts and computer modeling, originally developed for hypervelocity impact analysis, to study this problem.« less

  8. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  9. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  10. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  11. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-09-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for 10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.

  12. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  13. Mask manufacturing of advanced technology designs using multi-beam lithography (Part 1)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-10-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.

  14. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  15. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  16. Advancing semiconductor–electrocatalyst systems: application of surface transformation films and nanosphere lithography

    DOE PAGES

    Brinkert, Katharina; Richter, Matthias H.; Akay, Ömer; ...

    2018-01-01

    We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties.

  17. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  18. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  19. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  20. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  1. 75 FR 81643 - In the Matter of Certain Semiconductor Products Made by Advanced Lithography Techniques and...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-12-28

    ... Semiconductor Products Made by Advanced Lithography Techniques and Products Containing Same; Notice of... Mexico) (``STC''), alleging a violation of section 337 in the importation, sale for [[Page 81644

  2. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    PubMed

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  3. Driving imaging and overlay performance to the limits with advanced lithography optimization

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  4. 75 FR 44015 - Certain Semiconductor Products Made by Advanced Lithography Techniques and Products Containing...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-07-27

    ... INTERNATIONAL TRADE COMMISSION [Inv. No. 337-TA-729] Certain Semiconductor Products Made by... the sale within the United States after importation of certain semiconductor products made by advanced lithography techniques and products containing same by reason of infringement of certain claims of U.S. Patent...

  5. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  6. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  7. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  8. Defect printability for high-exposure dose advanced packaging applications

    NASA Astrophysics Data System (ADS)

    Mikles, Max; Flack, Warren; Nguyen, Ha-Ai; Schurz, Dan

    2003-12-01

    Pellicles are used in semiconductor lithography to minimize printable defects and reduce reticle cleaning frequency. However, there are a growing number of microlithography applications, such as advanced packaging and nanotechnology, where it is not clear that pellicles always offer a significant benefit. These applications have relatively large critical dimensions and require ultra thick photoresists with extremely high exposure doses. Given that the lithography is performed in Class 100 cleanroom conditions, it is possible that the risk of defects from contamination is sufficiently low that pellicles would not be required on certain process layer reticles. The elimination of the pellicle requirement would provide a cost reduction by saving the original pellicle cost and eliminating future pellicle replacement and repair costs. This study examines the imaging potential of defects with reticle patterns and processes typical for gold-bump and solder-bump advanced packaging lithography. The test reticle consists of 30 to 90 μm octagonal contact patterns representative of advanced packaging reticles. Programmed defects are added that represent the range of particle sizes (3 to 30 μm) normally protected by the pellicle and that are typical of advanced packaging lithography cleanrooms. The reticle is exposed using an Ultratech Saturn Spectrum 300e2 1X stepper on wafers coated with a variety of ultra thick (30 to 100 μm) positive and negative-acting photoresists commonly used in advanced packaging. The experimental results show that in many cases smaller particles continue to be yield issues for the feature size and density typical of advanced packaging processes. For the two negative photoresists studied it appears that a pellicle is not required for protection from defects smaller than 10 to 15 μm depending on the photoresist thickness. Thus the decision on pellicle usage for these materials would need to be made based on the device fabrication process and the

  9. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  10. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  11. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  12. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  13. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  14. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  15. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    NASA Astrophysics Data System (ADS)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  16. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  17. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  18. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  19. Zero expansion glass ceramic ZERODUR® roadmap for advanced lithography

    NASA Astrophysics Data System (ADS)

    Westerhoff, Thomas; Jedamzik, Ralf; Hartmann, Peter

    2013-04-01

    The zero expansion glass ceramic ZERODUR® is a well-established material in microlithography in critical components as wafer- and reticle-stages, mirrors and frames in the stepper positioning and alignment system. The very low coefficient of thermal expansion (CTE) and its extremely high CTE homogeneity are key properties to achieve the tight overlay requirements of advanced lithography processes. SCHOTT is continuously improving critical material properties of ZERODUR® essential for microlithography applications according to a roadmap driven by the ever tighter material specifications broken down from the customer roadmaps. This paper will present the SCHOTT Roadmap for ZERODUR® material property development. In the recent years SCHOTT established a physical model based on structural relaxation to describe the coefficient of thermal expansion's temperature dependence. The model is successfully applied for the new expansion grade ZERODUR® TAILORED introduced to the market in 2012. ZERODUR® TAILORED delivers the lowest thermal expansion of ZERODUR® products at microlithography tool application temperature allowing for higher thermal stability for tighter overlay control in IC production. Data will be reported demonstrating the unique CTE homogeneity of ZERODUR® and its very high reproducibility, a necessary precondition for serial production for microlithography equipment components. New data on the bending strength of ZERODUR® proves its capability to withstand much higher mechanical loads than previously reported. Utilizing a three parameter Weibull distribution it is possible to derive minimum strength values for a given ZERODUR® surface treatment. Consequently the statistical uncertainties of the earlier approach based on a two parameter Weibull distribution have been eliminated. Mechanical fatigue due to stress corrosion was included in a straightforward way. The derived formulae allows calculating life time of ZERODUR® components for a given stress

  20. Advances in maskless and mask-based optical lithography on plastic flexible substrates

    NASA Astrophysics Data System (ADS)

    Barbu, Ionut; Ivan, Marius G.; Giesen, Peter; Van de Moosdijk, Michel; Meinders, Erwin R.

    2009-12-01

    Organic flexible electronics is an emerging technology with huge potential growth in the future which is likely to open up a complete new series of potential applications such as flexible OLED-based displays, urban commercial signage, and flexible electronic paper. The transistor is the fundamental building block of all these applications. A key challenge in patterning transistors on flexible plastic substrates stems from the in-plane nonlinear deformations as a consequence of foil expansion/shrinkage, moisture uptake, baking etc. during various processing steps. Optical maskless lithography is one of the potential candidates for compensating for these foil distortions by in-situ adjustment prior to exposure of the new layer image with respect to the already patterned layers. Maskless lithography also brings the added value of reducing the cost-of-ownership related to traditional mask-based tools by eliminating the need for expensive masks. For the purpose of this paper, single-layer maskless exposures at 355 nm were performed on gold-coated poly(ethylenenaphthalate) (PEN) flexible substrates temporarily attached to rigid carriers to ensure dimensional stability during processing. Two positive photoresists were employed for this study and the results on plastic foils were benchmarked against maskless as well as mask-based (ASML PAS 5500/100D stepper) exposures on silicon wafers.

  1. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  2. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  3. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  4. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  5. Mask characterization for CDU budget breakdown in advanced EUV lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  6. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  7. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    demonstrate the possibilities afforded by COAL. Chapter 5 addresses the use of COAL for the synthesis of solution dispersible metal nanorings and nanotubes with exceptional architectural tailorability of inner diameter, outer diameter, and length leading to precise spectral control over the resulting plasmonic fields ranging from visible to the near-IR. Chapter 6 is an outlook on templated electrochemical synthesis using coaxial lithography and highlights a few promising applications from nanoparticle assembly to light-matter interactions.

  8. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  9. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  10. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  11. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  12. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  13. Magnetic Nanoparticles: Material Engineering and Emerging Applications in Lithography and Biomedicine

    PubMed Central

    Bao, Yuping; Wen, Tianlong; Samia, Anna Cristina S.; Khandhar, Amit; Krishnan, Kannan M.

    2015-01-01

    We present an interdisciplinary overview of material engineering and emerging applications of iron oxide nanoparticles. We discuss material engineering of nanoparticles in the broadest sense, emphasizing size and shape control, large-area self-assembly, composite/hybrid structures, and surface engineering. This is followed by a discussion of several non-traditional, emerging applications of iron oxide nanoparticles, including nanoparticle lithography, magnetic particle imaging, magnetic guided drug delivery, and positive contrast agents for magnetic resonance imaging. We conclude with a succinct discussion of the pharmacokinetics pathways of iron oxide nanoparticles in the human body –– an important and required practical consideration for any in vivo biomedical application, followed by a brief outlook of the field. PMID:26586919

  14. Magnetic Nanoparticles: Material Engineering and Emerging Applications in Lithography and Biomedicine.

    PubMed

    Bao, Yuping; Wen, Tianlong; Samia, Anna Cristina S; Khandhar, Amit; Krishnan, Kannan M

    2016-01-01

    We present an interdisciplinary overview of material engineering and emerging applications of iron oxide nanoparticles. We discuss material engineering of nanoparticles in the broadest sense, emphasizing size and shape control, large-area self-assembly, composite/hybrid structures, and surface engineering. This is followed by a discussion of several non-traditional, emerging applications of iron oxide nanoparticles, including nanoparticle lithography, magnetic particle imaging, magnetic guided drug delivery, and positive contrast agents for magnetic resonance imaging. We conclude with a succinct discussion of the pharmacokinetics pathways of iron oxide nanoparticles in the human body -- an important and required practical consideration for any in vivo biomedical application, followed by a brief outlook of the field.

  15. Sequential infiltration synthesis for advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned usingmore » photolithography, electron-beam lithography or a block copolymer self-assembly process.« less

  16. Sequential infiltration synthesis for advanced lithography

    DOEpatents

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2015-03-17

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  17. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  18. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  19. Advances in nanoimprint lithography and applications in plasmonic-enhanced electron source

    NASA Astrophysics Data System (ADS)

    Liang, Yixing

    The research work in this thesis comprises of two parts. The first part focuses on nanofabrication techniques for better control of nanostructures, such as line edge roughness control and critical structure dimensions, for improvement in large area lift-off of ultra-thin (sub-40 nm) and ultra-small (sub-20 nm) nanostructures, and for improvement in mold-substrate separation. The second part of this thesis studies one important application of nanoimprint lithography (NIL) in the field of plasmonic-enhanced electron source. In the first part, a post-fabrication method, termed Self-limited Self Perfection by Liquefaction (SP-SPEL), is studied. SP-SPEL has experimentally demonstrated to reduce the trench width with precise control down to 20 nm from original 90 nm width, - 450% reduction. In addition, SP-SPEL increases the trench width uniformity and reduces the low-frequency line edge roughness. Second, a tri-layer method is studied to offer large area, efficient lift-off of ultra-thin (sub-40 nm) and ultra-fine (sub-20 nm) nanostructures. Using this method, a nanoimprint mold is fabricated. Third, tribo-electronics in NIL has been studied. It has been shown that tribo-charge can not only skew the AFM measurement by over 400%, but also largely increase the mold-substrate separation force. To solve this problem, a new mold structure is firstly proposed by Professor Stephen Y Chou and has demonstrated to reduce the separation force by over 8 fold. In the second part, a plasmonic-enhanced nanostructured electron source is studied, for both semiconducting and metallic photoemissive materials. For the semiconducting photocathode, a vertical cavity structure, comprising a top sub-wavelength mesh, ultra-thin (~ 40 nm) semiconducting materials in the middle and metallic back-plane, has demonstrated a 30 fold enhancement in photoelectron emission over a planar thin film. In addition, for the metallic photocathode, a 3D nanocavity, termed "Disk coupled Dots-on-Pillar Antenna

  20. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  1. New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning

    NASA Astrophysics Data System (ADS)

    Thakur, Neha; Guruprasad Reddy, Pulikanti; Nandi, Santu; Yogesh, Midathala; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2017-12-01

    The development of new photoresist materials for multi-lithography applications is crucial but a challenging task for semiconductor industries. During the last few decades, given the need for new resists to meet the requirements of semiconductor industries, several research groups have developed different resist materials for specific lithography applications. In this context, we have successfully synthesized a new molecular non-chemically amplified resist (n-CAR) (C3) based on the functionalization of aromatic hydroxyl core (4,4‧-(9H-fluorene-9,9-diyl)diphenol) with radiation sensitive sulfonium triflates for various lithography applications. While, micron scale features have been developed using i-line (365 nm) and DUVL (254 nm) exposure tools, electron beam studies on C3 thin films enabled us to pattern 20 nm line features with L/3S (line/space) characteristics on the silicon substrate. The sensitivity and contrast were calculated from the contrast curve analysis as 280 µC cm-2 and 0.025 respectively. Being an important parameter for any newly developed resists, the line edge roughness (LER) of 30 nm (L/5S) features were calculated, using SUMMIT metrology package, to be 3.66  ±  0.3 nm and found to be within the acceptable range. AFM analysis further confirmed 20 nm line width with smooth pattern wall. No deformation of patterned features was observed during AFM analysis which indicated good adhesion property between patterned resists and silicon substrates.

  2. Automated scanning probe lithography with n-alkanethiol self assembled monolayers on Au(111): Application for teaching undergraduate laboratories

    PubMed Central

    Brown, Treva T.; LeJeune, Zorabel M.; Liu, Kai; Hardin, Sean; Li, Jie-Ren; Rupnik, Kresimir; Garno, Jayne C.

    2010-01-01

    Controllers for scanning probe instruments can be programmed for automated lithography to generate desired surface arrangements of nanopatterns of organic thin films, such as n-alkanethiol self-assembled monolayers (SAMs). In this report, atomic force microscopy (AFM) methods of lithography known as nanoshaving and nanografting are used to write nanopatterns within organic thin films. Commercial instruments provide software to control the length, direction, speed, and applied force of the scanning motion of the tip. For nanoshaving, higher forces are applied to an AFM tip to selectively remove regions of the matrix monolayer, exposing bare areas of the gold substrate. Nanografting is accomplished by force-induced displacement of molecules of a matrix SAM, followed immediately by the surface self-assembly of n-alkanethiol molecules from solution. Advancements in AFM automation enable rapid protocols for nanolithography, which can be accomplished within the tight time restraints of undergraduate laboratories. Example experiments with scanning probe lithography (SPL) will be described in this report that were accomplished by undergraduate students during laboratory course activities and research internships in the chemistry department of Louisiana State University. Students were introduced to principles of surface analysis and gained “hands-on” experience with nanoscale chemistry. PMID:21483651

  3. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  4. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  5. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  6. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    (SCVC) framework advances existing layout-level lithography aware circuit analysis by extending it to cell-level applications utilizing a physically accurate approach that integrates process simulation, compact transistor models, and circuit simulation to characterize electrical cell behavior. This framework is applied to combinational and sequential cells in the Nangate 45nm Open Cell Library, and the timing response of these cells to lithography focus and exposure variations demonstrate Bossung like behavior. This behavior permits the process parameter dependent response to be captured in a nine term variability aware compact model based on Bossung fitting equations. For a two input NAND gate, the variability aware compact model captures the simulated response to an accuracy of 0.3%. The SCVC framework is also applied to investigate advanced process effects including misalignment and layout proximity. The abstraction of process variability from the layout level to the cell level opens up an entire new realm of circuit analysis and optimization and provides a foundation for path level variability analysis without the computationally expensive costs associated with joint process and circuit simulation. The SCVC framework is used with slight modification to illustrate the speedup and accuracy tradeoffs of using compact models. With variability aware compact models, the process dependent performance of a three stage logic circuit can be estimated to an accuracy of 0.7% with a speedup of over 50,000. Path level variability analysis also provides an accurate estimate (within 1%) of ring oscillator period in well under a second. Another significant advantage of variability aware compact models is that they can be easily incorporated into existing design methodologies for design optimization. This is demonstrated by applying cell swapping on a logic circuit to reduce the overall delay variability along a circuit path. By including these variability aware compact models in

  7. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  8. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  9. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  10. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  11. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  12. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  13. Novel organosilicone materials and patterning techniques for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Pina, Carlos Alberto

    Nanoimprint Lithography (NIL) is a high-throughput patterning technique that allows the fabrication of nanostructures with great precision. It has been listed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate technology for future generation Si chip manufacturing. In nanoimprint Lithography a resist material, e.g. a thermoplastic polymer, is placed in contact with a mold and then mechanically deformed under an applied load to transfer the nano-features on the mold surface into the resist. The success of NIL relies heavily in the capability of fabricating nanostructures on different types of materials. Thus, a key factor for NIL implementation in industrial settings is the development of advanced materials suitable as the nanoimprint resist. This dissertation focuses on the engineering of new polymer materials suitable as NIL resist. A variety of silicone-based polymer precursors were synthesized and formulated for NIL applications. High throughput and high yield nanopatterning was successfully achieved. Furthermore, additional capabilities of the developed materials were explored for a range of NIL applications such as their use as flexible, UV-transparent stamps and silicon compatible etching layers. Finally, new strategies were investigated to expand the NIL potentiality. High throughput, non-residual layer imprinting was achieved with the newly developed resist materials. In addition, several strategies were designed for the precise control of nanoscale size patterned structures with multifunctional resist systems by post-imprinting modification of the pattern size. These developments provide NIL with a new set of tools for a variety of additional important applications.

  14. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  15. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  16. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  17. Rigorous ILT optimization for advanced patterning and design-process co-optimization

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta; Kuechler, Bernd; Cai, Howard; Braam, Kyle; Hoppe, Wolfgang; Domnenko, Vitaly; Poonawala, Amyn; Xiao, Guangming

    2018-03-01

    Despite the large difficulties involved in extending 193i multiple patterning and the slow ramp of EUV lithography to full manufacturing readiness, the pace of development for new technology node variations has been accelerating. Multiple new variations of new and existing technology nodes have been introduced for a range of device applications; each variation with at least a few new process integration methods, layout constructs and/or design rules. This had led to a strong increase in the demand for predictive technology tools which can be used to quickly guide important patterning and design co-optimization decisions. In this paper, we introduce a novel hybrid predictive patterning method combining two patterning technologies which have each individually been widely used for process tuning, mask correction and process-design cooptimization. These technologies are rigorous lithography simulation and inverse lithography technology (ILT). Rigorous lithography simulation has been extensively used for process development/tuning, lithography tool user setup, photoresist hot-spot detection, photoresist-etch interaction analysis, lithography-TCAD interactions/sensitivities, source optimization and basic lithography design rule exploration. ILT has been extensively used in a range of lithographic areas including logic hot-spot fixing, memory layout correction, dense memory cell optimization, assist feature (AF) optimization, source optimization, complex patterning design rules and design-technology co-optimization (DTCO). The combined optimization capability of these two technologies will therefore have a wide range of useful applications. We investigate the benefits of the new functionality for a few of these advanced applications including correction for photoresist top loss and resist scumming hotspots.

  18. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  19. High throughput nanoimprint lithography for semiconductor memory applications

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  20. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  1. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  2. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  3. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  4. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  5. Design and implementation of a cloud based lithography illumination pupil processing application

    NASA Astrophysics Data System (ADS)

    Zhang, Youbao; Ma, Xinghua; Zhu, Jing; Zhang, Fang; Huang, Huijie

    2017-02-01

    Pupil parameters are important parameters to evaluate the quality of lithography illumination system. In this paper, a cloud based full-featured pupil processing application is implemented. A web browser is used for the UI (User Interface), the websocket protocol and JSON format are used for the communication between the client and the server, and the computing part is implemented in the server side, where the application integrated a variety of high quality professional libraries, such as image processing libraries libvips and ImageMagic, automatic reporting system latex, etc., to support the program. The cloud based framework takes advantage of server's superior computing power and rich software collections, and the program could run anywhere there is a modern browser due to its web UI design. Compared to the traditional way of software operation model: purchased, licensed, shipped, downloaded, installed, maintained, and upgraded, the new cloud based approach, which is no installation, easy to use and maintenance, opens up a new way. Cloud based application probably is the future of the software development.

  6. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  7. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  8. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  9. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  10. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  11. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  12. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  13. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  14. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  15. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  16. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  17. A Low-Cost Hands-On Laboratory to Introduce Lithography Concepts

    ERIC Educational Resources Information Center

    Jalali, M.; Marti, J. J.; Kirchhoff, A. L.; Lawrenz, F.; Campbell, S. A.

    2012-01-01

    A lithography lab course has been developed that is applicable to students from the middle-school level up to college students. It can also be inserted into electronics technology or similar courses in two- and four-year colleges, or used to demonstrate applications of polymers in chemistry classes. Some of these techniques would enable research…

  18. Uniformity of LED light illumination in application to direct imaging lithography

    NASA Astrophysics Data System (ADS)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  19. A “dry and wet hybrid” lithography technique for multilevel replication templates: Applications to microfluidic neuron culture and two-phase global mixing

    PubMed Central

    Paul, Debjani; Saias, Laure; Pedinotti, Jean-Cedric; Chabert, Max; Magnifico, Sebastien; Pallandre, Antoine; De Lambert, Bertrand; Houdayer, Claude; Brugg, Bernard; Peyrin, Jean-Michel; Viovy, Jean-Louis

    2011-01-01

    A broad range of microfluidic applications, ranging from cell culture to protein crystallization, requires multilevel devices with different heights and feature sizes (from micrometers to millimeters). While state-of-the-art direct-writing techniques have been developed for creating complex three-dimensional shapes, replication molding from a multilevel template is still the preferred method for fast prototyping of microfluidic devices in the laboratory. Here, we report on a “dry and wet hybrid” technique to fabricate multilevel replication molds by combining SU-8 lithography with a dry film resist (Ordyl). We show that the two lithography protocols are chemically compatible with each other. Finally, we demonstrate the hybrid technique in two different microfluidic applications: (1) a neuron culture device with compartmentalization of different elements of a neuron and (2) a two-phase (gas-liquid) global micromixer for fast mixing of a small amount of a viscous liquid into a larger volume of a less viscous liquid. PMID:21559239

  20. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  1. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  2. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  3. Molecular dynamics modeling framework for overcoming nanoshape retention limits of imprint lithography

    NASA Astrophysics Data System (ADS)

    Cherala, Anshuman; Sreenivasan, S. V.

    2018-12-01

    Complex nanoshaped structures (nanoshape structures here are defined as shapes enabled by sharp corners with radius of curvature <5 nm) have been shown to enable emerging nanoscale applications in energy, electronics, optics, and medicine. This nanoshaped fabrication at high throughput is well beyond the capabilities of advanced optical lithography. While the highest-resolution e-beam processes (Gaussian beam tools with non-chemically amplified resists) can achieve <5 nm resolution, this is only available at very low throughputs. Large-area e-beam processes, needed for photomasks and imprint templates, are limited to 18 nm half-pitch lines and spaces and 20 nm half-pitch hole patterns. Using nanoimprint lithography, we have previously demonstrated the ability to fabricate precise diamond-like nanoshapes with 3 nm radius corners over large areas. An exemplary shaped silicon nanowire ultracapacitor device was fabricated with these nanoshaped structures, wherein the half-pitch was 100 nm. The device significantly exceeded standard nanowire capacitor performance (by 90%) due to relative increase in surface area per unit projected area, enabled by the nanoshape. Going beyond the previous work, in this paper we explore the scaling of these nanoshaped structures to 10 nm half-pitch and below. At these scales a new "shape retention" resolution limit is observed due to polymer relaxation in imprint resists, which cannot be predicted with a linear elastic continuum model. An all-atom molecular dynamics model of the nanoshape structure was developed here to study this shape retention phenomenon and accurately predict the polymer relaxation. The atomistic framework is an essential modeling and design tool to extend the capability of imprint lithography to sub-10 nm nanoshapes. This framework has been used here to propose process refinements that maximize shape retention, and design template assist features (design for nanoshape retention) to achieve targeted nanoshapes.

  4. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  5. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  6. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  7. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  8. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  9. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  10. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  11. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  12. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  13. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Technical Reports Server (NTRS)

    Sewell, James S.; Bozada, Christopher A.

    1994-01-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially

  14. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Astrophysics Data System (ADS)

    Sewell, James S.; Bozada, Christopher A.

    1994-02-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially

  15. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  16. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  17. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Irving, J. W.; Lu, Xiaoming; Zhang, Wei; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2013-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. In previous studies, we have focused on defects such as random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. In this work, we attempted to identify the critical imprint defect types using a mask with NAND Flash-like patterns at dimensions as small as 26nm. The two key defect types identified were line break defects induced by small particulates and airborne contaminants which result in local adhesion failure. After identification, the root cause of the defect was determined, and corrective measures were taken to either eliminate or reduce the defect source. As a result, we have been able to reduce defectivity levels by more than three orders of magnitude in only 12 months and are now achieving defectivity adders as small as 2 adders per lot of wafers.

  18. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  19. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  20. A fuzzy pattern matching method based on graph kernel for lithography hotspot detection

    NASA Astrophysics Data System (ADS)

    Nitta, Izumi; Kanazawa, Yuzi; Ishida, Tsutomu; Banno, Koji

    2017-03-01

    In advanced technology nodes, lithography hotspot detection has become one of the most significant issues in design for manufacturability. Recently, machine learning based lithography hotspot detection has been widely investigated, but it has trade-off between detection accuracy and false alarm. To apply machine learning based technique to the physical verification phase, designers require minimizing undetected hotspots to avoid yield degradation. They also need a ranking of similar known patterns with a detected hotspot to prioritize layout pattern to be corrected. To achieve high detection accuracy and to prioritize detected hotspots, we propose a novel lithography hotspot detection method using Delaunay triangulation and graph kernel based machine learning. Delaunay triangulation extracts features of hotspot patterns where polygons locate irregularly and closely one another, and graph kernel expresses inner structure of graphs. Additionally, our method provides similarity between two patterns and creates a list of similar training patterns with a detected hotspot. Experiments results on ICCAD 2012 benchmarks show that our method achieves high accuracy with allowable range of false alarm. We also show the ranking of the similar known patterns with a detected hotspot.

  1. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  2. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  3. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  4. Immersion and dry lithography monitoring for flash memories (after develop inspection and photo cell monitor) using a darkfield imaging inspector with advanced binning technology

    NASA Astrophysics Data System (ADS)

    Parisi, P.; Mani, A.; Perry-Sullivan, C.; Kopp, J.; Simpson, G.; Renis, M.; Padovani, M.; Severgnini, C.; Piacentini, P.; Piazza, P.; Beccalli, A.

    2009-12-01

    After-develop inspection (ADI) and photo-cell monitoring (PM) are part of a comprehensive lithography process monitoring strategy. Capturing defects of interest (DOI) in the lithography cell rather than at later process steps shortens the cycle time and allows for wafer re-work, reducing overall cost and improving yield. Low contrast DOI and multiple noise sources make litho inspection challenging. Broadband brightfield inspectors provide the highest sensitivity to litho DOI and are traditionally used for ADI and PM. However, a darkfield imaging inspector has shown sufficient sensitivity to litho DOI, providing a high-throughput option for litho defect monitoring. On the darkfield imaging inspector, a very high sensitivity inspection is used in conjunction with advanced defect binning to detect pattern issues and other DOI and minimize nuisance defects. For ADI, this darkfield inspection methodology enables the separation and tracking of 'color variation' defects that correlate directly to CD variations allowing a high-sampling monitor for focus excursions, thereby reducing scanner re-qualification time. For PM, the darkfield imaging inspector provides sensitivity to critical immersion litho defects at a lower cost-of-ownership. This paper describes litho monitoring methodologies developed and implemented for flash devices for 65nm production and 45nm development using the darkfield imaging inspector.

  5. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  6. Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node

    NASA Astrophysics Data System (ADS)

    Van Den Broeke, Douglas J.; Laidig, Thomas L.; Chen, J. Fung; Wampler, Kurt E.; Hsu, Stephen D.; Shi, Xuelong; Socha, Robert J.; Dusa, Mircea V.; Corcoran, Noel P.

    2004-08-01

    Imaging contact and via layers continues to be one of the major challenges to be overcome for 65nm node lithography. Initial results of using ASML MaskTools' CPL Technology to print contact arrays through pitch have demonstrated the potential to further extend contact imaging to a k1 near 0.30. While there are advantages and disadvantages for any potential RET, the benefits of not having to solve the phase assignment problem (which can lead to unresolvable phase conflicts), of it being a single reticle - single exposure technique, and its application to multiple layers within a device (clear field and dark field) make CPL an attractive, cost effective solution to low k1 imaging. However, real semiconductor circuit designs consist of much more than regular arrays of contact holes and a method to define the CPL reticle design for a full chip circuit pattern is required in order for this technique to be feasible in volume manufacturing. Interference Mapping Lithography (IML) is a novel approach for defining optimum reticle patterns based on the imaging conditions that will be used when the wafer is exposed. Figure 1 shows an interference map for an isolated contact simulated using ASML /1150 settings of 0.75NA and 0.92/0.72/30deg Quasar illumination. This technique provides a model-based approach for placing all types features (scattering bars, anti-scattering bars, non-printing assist features, phase shifted and non-phase shifted) for the purpose of enhancing the resolution of the target pattern and it can be applied to any reticle type including binary (COG), attenuated phase shifting mask (attPSM), alternating aperture phase shifting mask (altPSM), and CPL. In this work, we investigate the application of IML to generate CPL reticle designs for random contact patterns that are typical for 65nm node logic devices. We examine the critical issues related to using CPL with Interference Mapping Lithography including controlling side lobe printing, contact patterns with

  7. High refractive index Fresnel lens on a fiber fabricated by nanoimprint lithography for immersion applications.

    PubMed

    Koshelev, Alexander; Calafiore, Giuseppe; Piña-Hernandez, Carlos; Allen, Frances I; Dhuey, Scott; Sassolini, Simone; Wong, Edward; Lum, Paul; Munechika, Keiko; Cabrini, Stefano

    2016-08-01

    In this Letter, we present a Fresnel lens fabricated on the end of an optical fiber. The lens is fabricated using nanoimprint lithography of a functional high refractive index material, which is suitable for mass production. The main advantage of the presented Fresnel lens compared to a conventional fiber lens is its high refractive index (n=1.68), which enables efficient light focusing even inside other media, such as water or an adhesive. Measurement of the lens performance in an immersion liquid (n=1.51) shows a near diffraction limited focal spot of 810 nm in diameter at the 1/e2 intensity level for a wavelength of 660 nm. Applications of such fiber lenses include integrated optics, optical trapping, and fiber probes.

  8. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  9. The DARPA compact superconducting x-ray lithography source features. [Defense Advanced Research Projects Agency (DARPA)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m {times} 4.0 m). The machine has a racetrack configuration with two 180{degree} bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms.more » Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned. 4 figs., 1 tab.« less

  10. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  11. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  12. Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.

    2016-05-23

    We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less

  13. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  14. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  15. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  16. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  17. Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Makoto; Sakaguchi, Takahiro; Hashimoto, Keisuke; Sakamoto, Rikimaru; Kishioka, Takahiro; Takei, Satoshi; Enomoto, Tomoyuki; Nakajima, Yasuyuki

    2006-03-01

    Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.

  18. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  19. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  20. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists.

    PubMed

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-10-16

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag(+) or silk/[AuCl4](-) aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 10(4)-Ω(-1 ) m(-1)-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems.

  1. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists

    PubMed Central

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-01-01

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag+ or silk/[AuCl4]− aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 104-Ω−1 m−1-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems. PMID:26472600

  2. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists

    NASA Astrophysics Data System (ADS)

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-10-01

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag+ or silk/[AuCl4]- aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 104-Ω-1 m-1-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems.

  3. Rapid prototyping of Fresnel zone plates via direct Ga(+) ion beam lithography for high-resolution X-ray imaging.

    PubMed

    Keskinbora, Kahraman; Grévent, Corinne; Eigenthaler, Ulrike; Weigand, Markus; Schütz, Gisela

    2013-11-26

    A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme illustrates the capabilities and the potential of direct ion beam lithography (IBL) and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.

  4. Lithography-free large-area metamaterials for stable thermophotovoltaic energy conversion

    DOE PAGES

    Coppens, Zachary J.; Kravchenko, Ivan I.; Valentine, Jason G.

    2016-02-08

    A large-area metamaterial thermal emitter is fabricated using facile, lithography-free techniques. The device is composed of conductive oxides, refractory ceramics, and noble metals and shows stable, selective emission after exposure to 1173 K for 22 h in oxidizing and inert atmospheres. Lastly, the results indicate that the metamaterial can be used to achieve high-performance thermophotovoltaic devices for applications such as portable power generation.

  5. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  6. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  7. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  8. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  9. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  10. On-wire lithography: synthesis, encoding and biological applications

    PubMed Central

    Banholzer, Matthew J; Qin, Lidong; Millstone, Jill E; Osberg, Kyle D; Mirkin, Chad A

    2014-01-01

    The next step in the maturing field of nanotechnology is to develop ways to introduce unusual architectural changes to simple building blocks. For nanowires, on-wire lithography (OWL) has emerged as a powerful way of synthesizing a segmented structure and subsequently introducing architectural changes through post-chemical treatment. In the OWL protocol presented here, multisegmented nanowires are grown and a support layer is deposited on one side of each nanostructure. After selective chemical etching of sacrificial segments, structures with gaps as small as 2 nm and disks as thin as 20 nm can be created. These nanostructures are highly tailorable and can be used in electrical transport, Raman enhancement and energy conversion. Such nanostructures can be functionalized with many types of adsorbates, enabling the use of OWL-generated structures as bioactive probes for diagnostic assays and molecular transport junctions. The process takes 13–36 h depending on the type of adsorbate used to functionalize the nanostructures. PMID:19444241

  11. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  12. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  13. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  14. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  15. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  16. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  17. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  18. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  19. Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control

    NASA Astrophysics Data System (ADS)

    Blancquaert, Yoann; Dezauzier, Christophe; Depre, Jerome; Miqyass, Mohamed; Beltman, Jan

    2013-04-01

    Continued tightening of overlay control budget in semiconductor lithography drives the need for improved metrology capabilities. Aggressive improvements are needed for overlay metrology speed, accuracy and precision. This paper is dealing with the on product metrology results of a scatterometry based platform showing excellent production results on resolution, precision, and tool matching for overlay. We will demonstrate point to point matching between tool generations as well as between target sizes and types. Nowadays, for the advanced process nodes a lot of information is needed (Higher order process correction, Reticle fingerprint, wafer edge effects) to quantify process overlay. For that purpose various overlay sampling schemes are evaluated: ultra- dense, dense and production type. We will show DBO results from multiple target type and shape for on product overlay control for current and future node down to at least 14 nm node. As overlay requirements drive metrology needs, we will evaluate if the new metrology platform meets the overlay requirements.

  20. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  1. ALF: a facility for x-ray lithography II--a progress report

    NASA Astrophysics Data System (ADS)

    Lesoine, L. G.; Kukkonen, Kenneth W.; Leavey, Jeffrey A.

    1992-07-01

    In our previous paper which we presented here two years ago, we described the ALF (Advanced Lithography Facility), IBM's new facility for X-ray lithography which was built as an addition to the Advanced Semiconductor Technology Center at IBM's semiconductor plant in Hopewell Jct., NY. At that time, we described the structure, its utilities, facilities and special features such as the radiation shielding, control room, clean room and vibration resistant design. The building has been completed and occupied. By the time this paper is presented the storage ring will be commissioned, the clean room occupied, and two beamlines with one stepper operational. In this paper we will review the successful completion of the facility with its associated hardware. The installation of the synchrotron will be described elsewhere. We will also discuss the first measurements of vibration, clean room cleanliness and the effectiveness of the radiation shielding. The ALF was completed on schedule and cost objectives were met. This is attributed to careful planning, close cooperation among all the parties involved from the technical team in IBM Research, the system vendor (Oxford Instruments of Oxford England) to the many contractors and subcontractors and to strong support from IBM senior management. All the planned building specifications were met and the facility has come on-line with a minimum of problems. Most important, the initial measurements show that the radiation shielding plan is sound and that with a few modifications the dose limit of 10% of background will be met. Any concerns about an electron accelerator and synchrotron in an industrial setting have been eliminated.

  2. Nanostructures and functional materials fabricated by interferometric lithography.

    PubMed

    Xia, Deying; Ku, Zahyun; Lee, S C; Brueck, S R J

    2011-01-11

    Interferometric lithography (IL) is a powerful technique for the definition of large-area, nanometer-scale, periodically patterned structures. Patterns are recorded in a light-sensitive medium, such as a photoresist, that responds nonlinearly to the intensity distribution associated with the interference of two or more coherent beams of light. The photoresist patterns produced with IL are a platform for further fabrication of nanostructures and growth of functional materials and are building blocks for devices. This article provides a brief review of IL technologies and focuses on various applications for nanostructures and functional materials based on IL including directed self-assembly of colloidal nanoparticles, nanophotonics, semiconductor materials growth, and nanofluidic devices. Perspectives on future directions for IL and emerging applications in other fields are presented.

  3. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  4. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  5. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  6. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  7. Rapid prototyping of microstructures in polydimethylsiloxane (PDMS) by direct UV-lithography.

    PubMed

    Scharnweber, Tim; Truckenmüller, Roman; Schneider, Andrea M; Welle, Alexander; Reinhardt, Martina; Giselbrecht, Stefan

    2011-04-07

    Microstructuring of polydimethylsiloxane (PDMS) is a key step for many lab-on-a-chip (LOC) applications. In general, the structure is generated by casting the liquid prepolymer against a master. The production of the master in turn calls for special equipment and know how. Furthermore, a given master only allows the reproduction of the defined structure. We report on a simple, cheap and practical method to produce microstructures in already cured PDMS by direct UV-lithography followed by chemical development. Due to the available options during the lithographic process like multiple exposures, the method offers a high design flexibility granting easy access to complex and stepped structures. Furthermore, no master is needed and the use of pre-cured PDMS allows processing at ambient (light) conditions. Features down to approximately 5 µm and a depth of 10 µm can be realised. As a proof of principle, we demonstrate the feasibility of the process by applying the structures to various established soft lithography techniques.

  8. Investigation of pattern transfer to piezoelectric jetted polymer using roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Menezes, Shannon John

    Nanoimprint Lithography (NIL) has existed since the mid 1990s as a proven concept of creating micro- and nanostructures using direct mechanical pattern transfer. Initially seen as a viable option to replace conventional lithography methods, the lack of technology to support large-scale manufacturing using NIL has motivated researchers to explore the application of NIL to create a better, more cost-efficient process with the ability to integrate NIL into a mass manufacturing system. One such method is the roll-to-roll process, similar to that used in printing presses of newspapers and plastics. This thesis is an investigation to characterize polymer deposition using a piezoelectric jetting head and attempt to create micro- and nanostructures on the polymer using R2RNIL technique.

  9. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  10. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  11. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    PubMed Central

    Morris, Michael A.

    2017-01-01

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance. PMID:28973987

  12. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    PubMed

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  13. Molecular self-assembly for biological investigations and nanoscale lithography

    NASA Astrophysics Data System (ADS)

    Cheunkar, Sarawut

    Small, diffusible molecules when recognized by their binding partners, such as proteins and antibodies, trigger enzymatic activity, cell communication, and immune response. Progress in analytical methods enabling detection, characterization, and visualization of biological dynamics at the molecular level will advance our exploration of complex biological systems. In this dissertation, analytical platforms were fabricated to capture membrane-associated receptors, which are essential proteins in cell signaling pathways. The neurotransmitter serotonin and its biological precursor were immobilized on gold substrates coated with self-assembled monolayers (SAMs) of oligo(ethylene glycol)alkanethiols and their reactive derivatives. The SAM-coated substrates present the biologically selective affinity of immobilized molecules to target native membrane-associated receptors. These substrates were also tested for biospecificity using antibodies. In addition, small-molecule-functionalized platforms, expressing neurotransmitter pharmacophores, were employed to examine kinetic interactions between G-protein-coupled receptors and their associated neurotransmitters. The binding interactions were monitored using a quartz crystal microbalance equipped with liquid-flow injection. The interaction kinetics of G-protein-coupled serotonin 1A receptor and 5-hydroxytyptophan-functionalized surfaces were studied in a real-time, label-free environment. Key binding parameters, such as equilibrium dissociation constants, binding rate constants, and dissociative half-life, were extracted. These parameters are critical for understanding and comparing biomolecular interactions in modern biomedical research. By integrating self-assembly, surface functionalization, and nanofabrication, small-molecule microarrays were created for high-throughput screening. A hybrid soft-lithography, called microcontact insertion printing, was used to pattern small molecules at the dilute scales necessary for highly

  14. Mechanical Properties of Organized Microcomposites Fabricated by Interference Lithography

    NASA Astrophysics Data System (ADS)

    Singamaneni, Srikanth; Chang, Sehoon; Jang, Ji-Hyun; Davis, Whitney; Thomas, Edwin; Tsukruk, Vladimir

    2009-03-01

    We demonstrate that organized, porous, polymer microstructures with continuous open nanoscale pores and sub-micron spacings obtained via interference lithography can be successfully utilized in a highly non-traditional field of ordered microcomposites. Organized microcomposite structures are fabricated by employing two independent strategies, namely, capillary infiltration and in situ polymerization of the rubbery component into the porous glassy microframes. The mechanical properties and ultimate fracture behavior of the single and bicomponent microframes are investigated at different length scales. The ordered single and bi-component microstructures with high degree of control over the microscopic organization of the polymeric phases result in excellent mechanical properties. Combining hard and soft polymer components provides multifunctional materials and coatings with synergetic properties and is frequently utilized for design of advanced polymeric composites.

  15. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  16. Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings

    NASA Astrophysics Data System (ADS)

    Palitzsch, Katrin; Kubis, Michael; Schroeder, Uwe P.; Schumacher, Karl; Frangen, Andreas

    2004-05-01

    CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be

  17. Design and study of advanced photoresist materials: Positive tone photoresists with reduced environmental impact and materials for 157 nm lithography

    NASA Astrophysics Data System (ADS)

    Yamada, Shintaro

    Concern about using organic solvents in semiconductor manufacturing led us to consider a photoresist system that can be fully processed with aqueous media. A series of new polymers were designed and prepared that demonstrate fully aqueous processable positive tone imaging. Positive tone imaging requires two solubility switches, and this has been accomplished by two different methods. In both cases, a post application baking step was utilized to render the water soluble polymer insoluble in water, and photo-induced acid catalyzed reactions regenerated aqueous solubility only in the exposed areas. The first system is based on the reaction of vinyl ethers. When the film is baked after casting from water, the vinyl ethers incorporated into the photoresist react with acidic hydroxyl groups on the matrix polymer to form acetal cross-linkages. The acetal linkages of the exposed areas are hydrolyzed by photo-acids to create positive tone imaging with pure water development. Although these systems provided positive tone imaging and were successfully cast from and developed with pure water, there are some shortcomings to this design approach such as poor dry etch resistance and short shelf life. The second system was designed to address these shortcomings. Various polystyrene-based polymers bearing ammonium salts of malonic acid monoesters were prepared and studied. The ammonium salts render the styrenic polymers soluble in water. Upon baking, ammonia is volatilized, and the resulting malonic acid monoester undergoes decarboxylation that results in formation of a base insoluble polymer. Studies on the selection of acid labile ester protecting groups, kinetics of decarboxylation and imaging are presented. Lithography with 157 nm exposure is the most promising candidate for post-193 nm lithography, and this technology is expected to provide the resolution required for the next generation of microelectronic devices. Designing photoresists for 157 nm imaging is a challenge

  18. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  19. Top coat or no top coat for immersion lithography?

    NASA Astrophysics Data System (ADS)

    Stepanenko, N.; Kim, Hyun-Woo; Kishimura, S.; Van Den Heuvel, D.; Vandenbroeck, N.; Kocsis, M.; Foubert, P.; Maenhoudt, M.; Ercken, M.; Van Roey, F.; Gronheid, R.; Pollentier, I.; Vangoidsenhoven, D.; Delvaux, C.; Baerts, C.; O'Brien, S.; Fyen, W.; Wells, G.

    2006-03-01

    Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of

  20. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  1. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  2. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  3. Advances in miniature spectrometer and sensor development

    NASA Astrophysics Data System (ADS)

    Malinen, Jouko; Rissanen, Anna; Saari, Heikki; Karioja, Pentti; Karppinen, Mikko; Aalto, Timo; Tukkiniemi, Kari

    2014-05-01

    Miniaturization and cost reduction of spectrometer and sensor technologies has great potential to open up new applications areas and business opportunities for analytical technology in hand held, mobile and on-line applications. Advances in microfabrication have resulted in high-performance MEMS and MOEMS devices for spectrometer applications. Many other enabling technologies are useful for miniature analytical solutions, such as silicon photonics, nanoimprint lithography (NIL), system-on-chip, system-on-package techniques for integration of electronics and photonics, 3D printing, powerful embedded computing platforms, networked solutions as well as advances in chemometrics modeling. This paper will summarize recent work on spectrometer and sensor miniaturization at VTT Technical Research Centre of Finland. Fabry-Perot interferometer (FPI) tunable filter technology has been developed in two technical versions: Piezoactuated FPIs have been applied in miniature hyperspectral imaging needs in light weight UAV and nanosatellite applications, chemical imaging as well as medical applications. Microfabricated MOEMS FPIs have been developed as cost-effective sensor platforms for visible, NIR and IR applications. Further examples of sensor miniaturization will be discussed, including system-on-package sensor head for mid-IR gas analyzer, roll-to-roll printed Surface Enhanced Raman Scattering (SERS) technology as well as UV imprinted waveguide sensor for formaldehyde detection.

  4. Stencil Nano Lithography Based on a Nanoscale Polymer Shadow Mask: Towards Organic Nanoelectronics

    PubMed Central

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E. B.; Hyoung Lee, Wi; Wook Lee, Sang

    2015-01-01

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices. PMID:25959389

  5. Stencil nano lithography based on a nanoscale polymer shadow mask: towards organic nanoelectronics.

    PubMed

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E B; Hyoung Lee, Wi; Wook Lee, Sang

    2015-05-11

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices.

  6. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  7. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    NASA Astrophysics Data System (ADS)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  8. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  9. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  10. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  11. Advanced Welding Applications

    NASA Technical Reports Server (NTRS)

    Ding, Robert J.

    2010-01-01

    Some of the applications of advanced welding techniques are shown in this poster presentation. Included are brief explanations of the use on the Ares I and Ares V launch vehicle and on the Space Shuttle Launch vehicle. Also included are microstructural views from four advanced welding techniques: Variable Polarity Plasma Arc (VPPA) weld (fusion), self-reacting friction stir welding (SR-FSW), conventional FSW, and Tube Socket Weld (TSW) on aluminum.

  12. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    PubMed

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    PubMed

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  14. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  15. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  16. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  17. Advances in top-down and bottom-up surface nanofabrication: techniques, applications & future prospects.

    PubMed

    Biswas, Abhijit; Bayer, Ilker S; Biris, Alexandru S; Wang, Tao; Dervishi, Enkeleda; Faupel, Franz

    2012-01-15

    This review highlights the most significant advances of the nanofabrication techniques reported over the past decade with a particular focus on the approaches tailored towards the fabrication of functional nano-devices. The review is divided into two sections: top-down and bottom-up nanofabrication. Under the classification of top-down, special attention is given to technical reports that demonstrate multi-directional patterning capabilities less than or equal to 100 nm. These include recent advances in lithographic techniques, such as optical, electron beam, soft, nanoimprint, scanning probe, and block copolymer lithography. Bottom-up nanofabrication techniques--such as, atomic layer deposition, sol-gel nanofabrication, molecular self-assembly, vapor-phase deposition and DNA-scaffolding for nanoelectronics--are also discussed. Specifically, we describe advances in the fabrication of functional nanocomposites and graphene using chemical and physical vapor deposition. Our aim is to provide a comprehensive platform for prominent nanofabrication tools and techniques in order to facilitate the development of new or hybrid nanofabrication techniques leading to novel and efficient functional nanostructured devices. Copyright © 2011 Elsevier B.V. All rights reserved.

  18. Performance of the ALTA 3500 scanned-laser mask lithography system

    NASA Astrophysics Data System (ADS)

    Buck, Peter D.; Buxbaum, Alex H.; Coleman, Thomas P.; Tran, Long

    1998-09-01

    The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.

  19. Joint optimization of source, mask, and pupil in optical lithography

    NASA Astrophysics Data System (ADS)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  20. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  1. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  2. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  3. Nanoimprint Lithography on curved surfaces prepared by fused deposition modelling

    NASA Astrophysics Data System (ADS)

    Köpplmayr, Thomas; Häusler, Lukas; Bergmair, Iris; Mühlberger, Michael

    2015-06-01

    Fused deposition modelling (FDM) is an additive manufacturing technology commonly used for modelling, prototyping and production applications. The achievable surface roughness is one of its most limiting aspects. It is however of great interest to create well-defined (nanosized) patterns on the surface for functional applications such as optical effects, electronics or bio-medical devices. We used UV-curable polymers of different viscosities and flexible stamps made of poly(dimethylsiloxane) (PDMS) to perform Nanoimprint Lithography (NIL) on FDM-printed curved parts. Substrates with different roughness and curvature were prepared using a commercially available 3D printer. The nanoimprint results were characterized by optical light microscopy, profilometry and atomic force microscopy (AFM). Our experiments show promising results in creating well-defined microstructures on the 3D-printed parts.

  4. Self-assembly and nanosphere lithography for large-area plasmonic patterns on graphene.

    PubMed

    Lotito, Valeria; Zambelli, Tomaso

    2015-06-01

    Plasmonic structures on graphene can tailor its optical properties, which is essential for sensing and optoelectronic applications, e.g. for the enhancement of photoresponsivity of graphene photodetectors. Control over their structural and, hence, spectral properties can be attained by using electron beam lithography, which is not a viable solution for the definition of patterns over large areas. For the fabrication of large-area plasmonic nanostructures, we propose to use self-assembled monolayers of nanospheres as a mask for metal evaporation and etching processes. An optimized approach based on self-assembly at air/water interface with a properly designed apparatus allows the attainment of monolayers of hexagonally closely packed patterns with high long-range order and large area coverage; special strategies are devised in order to protect graphene against damage resulting from surface treatment and further processing steps such as reactive ion etching, which could potentially impair graphene properties. Therefore we demonstrate that nanosphere lithography is a cost-effective solution to create plasmonic patterns on graphene. Copyright © 2014 Elsevier Inc. All rights reserved.

  5. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  6. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  7. Aluminum Nanowire Arrays via Soft Nanoimprint Lithography

    NASA Astrophysics Data System (ADS)

    Naughton, Michael J.; Nesbitt, Nathan T.; Merlo, Juan M.; Rose, Aaron H.; Calm, Yitzi M.; D'Imperio, Luke A.; Courtney, Dave T.; Shepard, Steve; Kempa, Krzysztof; Burns, Michael J.

    We have previously reported a method to fabricate freestanding, vertically-oriented, and lithographically-ordered Al nanowire arrays via directed assembly, and demonstrated their utility as a plasmonic waveguide. However, the process, a variation on the preparation of anodized aluminum oxide (AAO), involved imprinting Al with a hard stamp, which wore down the stamp and had a low yield of Al NWs. Here we show a new nanoimprint lithography (NIL) technique that uses a soft stamp to pattern a mask on the Al; it provides a greater yield of Al NWs and is less destructive to the stamp, providing a path to applications that require NW arrays over macroscopic areas. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  8. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    PubMed

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  9. A general lithography-free method of microscale/nanoscale fabrication and patterning on Si and Ge surfaces

    PubMed Central

    2012-01-01

    Here, we introduce and give an overview of a general lithography-free method to fabricate silicide and germanide micro-/nanostructures on Si and Ge surfaces through metal-vapor-initiated endoepitaxial growth. Excellent controls on shape and orientation are achieved by adjusting the substrate orientation and growth parameters. Furthermore, micro-/nanoscale pits with controlled morphologies can also be successfully fabricated on Si and Ge surfaces by taking advantage of the sublimation of silicides/germanides. The aim of this brief report is to illustrate the concept of lithography-free synthesis and patterning on surfaces of elemental semiconductors, and the differences and the challenges associated with the Si and the Ge surfaces will be discussed. Our results suggest that this low-cost bottom-up approach is promising for applications in functional nanodevices. PMID:22315969

  10. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  11. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  12. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  13. Finger-powered microfluidic systems using multilayer soft lithography and injection molding processes.

    PubMed

    Iwai, Kosuke; Shih, Kuan Cheng; Lin, Xiao; Brubaker, Thomas A; Sochol, Ryan D; Lin, Liwei

    2014-10-07

    Point-of-care (POC) and disposable biomedical applications demand low-power microfluidic systems with pumping components that provide controlled pressure sources. Unfortunately, external pumps have hindered the implementation of such microfluidic systems due to limitations associated with portability and power requirements. Here, we propose and demonstrate a 'finger-powered' integrated pumping system as a modular element to provide pressure head for a variety of advanced microfluidic applications, including finger-powered on-chip microdroplet generation. By utilizing a human finger for the actuation force, electrical power sources that are typically needed to generate pressure head were obviated. Passive fluidic diodes were designed and implemented to enable distinct fluids from multiple inlet ports to be pumped using a single actuation source. Both multilayer soft lithography and injection molding processes were investigated for device fabrication and performance. Experimental results revealed that the pressure head generated from a human finger could be tuned based on the geometric characteristics of the pumping system, with a maximum observed pressure of 7.6 ± 0.1 kPa. In addition to the delivery of multiple, distinct fluids into microfluidic channels, we also employed the finger-powered pumping system to achieve the rapid formation of both water-in-oil droplets (106.9 ± 4.3 μm in diameter) and oil-in-water droplets (75.3 ± 12.6 μm in diameter) as well as the encapsulation of endothelial cells in droplets without using any external or electrical controllers.

  14. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  15. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  16. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  17. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  18. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  19. Line-frequency doubling of directed self-assembly patterns for single-digit bit pattern media lithography

    NASA Astrophysics Data System (ADS)

    Patel, K. C.; Ruiz, R.; Lille, J.; Wan, L.; Dobiz, E.; Gao, H.; Robertson, N.; Albrecht, T. R.

    2012-03-01

    Directed self-assembly is emerging as a promising technology to define sub-20nm features. However, a straightforward path to scale block copolymer lithography to single-digit fabrication remains challenging given the diverse material properties found in the wide spectrum of self-assembling materials. A vast amount of block copolymer research for industrial applications has been dedicated to polystyrene-b-methyl methacrylate (PS-b-PMMA), a model system that displays multiple properties making it ideal for lithography, but that is limited by a weak interaction parameter that prevents it from scaling to single-digit lithography. Other block copolymer materials have shown scalability to much smaller dimensions, but at the expense of other material properties that could delay their insertion into industrial lithographic processes. We report on a line doubling process applied to block copolymer patterns to double the frequency of PS-b-PMMA line/space features, demonstrating the potential of this technique to reach single-digit lithography. We demonstrate a line-doubling process that starts with directed self-assembly of PS-b-PMMA to define line/space features. This pattern is transferred into an underlying sacrificial hard-mask layer followed by a growth of self-aligned spacers which subsequently serve as hard-masks for transferring the 2x frequency doubled pattern to the underlying substrate. We applied this process to two different block copolymer materials to demonstrate line-space patterns with a half pitch of 11nm and 7nm underscoring the potential to reach single-digit critical dimensions. A subsequent patterning step with perpendicular lines can be used to cut the fine line patterns into a 2-D array of islands suitable for bit patterned media. Several integration challenges such as line width control and line roughness are addressed.

  20. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  1. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  2. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    NASA Astrophysics Data System (ADS)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  3. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  4. Survey of Advanced Applications Over ACTS

    NASA Technical Reports Server (NTRS)

    Bauer, Robert; McMasters, Paul

    2000-01-01

    The Advanced Communications Technology Satellite (ACTS) system provided a national testbed that enabled advanced applications to be tested and demonstrated over a live satellite link. Of the applications that used ACTS. some offered unique advantages over current methods, while others simply could not be accommodated by conventional systems. The initial technical and experiments results of the program were reported at the 1995 ACTS Results Conference. in Cleveland, Ohio. Since then, the Experiments Program has involved 45 new experiments comprising 30 application experiments and 15 technology related experiments that took advantage of the advanced technologies and unique capabilities offered by ACTS. The experiments are categorized and quantified to show the organizational mix of the experiments program and relative usage of the satellite. Since paper length guidelines preclude each experiment from being individually reported, the application experiments and significant demonstrations are surveyed to show the breadth of the activities that have been supported. Experiments in a similar application category are collectively discussed, such as. telemedicine. or networking and protocol evaluation. Where available. experiment conclusions and impact are presented and references of results and experiment information are provided. The quantity and diversity of the experiments program demonstrated a variety of service areas for the next generation of commercially available, advanced satellite communications.

  5. Advanced energy storage for space applications: A follow-up

    NASA Technical Reports Server (NTRS)

    Halpert, Gerald; Surampudi, Subbarao

    1994-01-01

    Viewgraphs on advanced energy storage for space applications are presented. Topics covered include: categories of space missions using batteries; battery challenges; properties of SOA and advanced primary batteries; lithium primary cell applications; advanced rechargeable battery applications; present limitations of advanced battery technologies; and status of Li-TiS2, Ni-MH, and Na-NiCl2 cell technologies.

  6. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  7. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-12-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andruszkov, et al., Phys. Rev. Lett. 85 (2000) 3821). The SASE FEL concept eliminates the need for an optical cavity. As a result, there are no apparent limitations which would prevent operating at very short wavelength range and increasing the average output power of this device up to 10-kW level. The use of super conducting energy-recovery linac could produce a major, cost-efficient facility with wall plug power to output optical power efficiency of about 1%. A 10-kW scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time-schedule requirement.

  8. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  9. An assessment of the process capabilities of nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  10. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  11. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  12. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  13. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  14. Fabrication of hexagonal star-shaped and ring-shaped patterns arrays by Mie resonance sphere-lens-lithography

    NASA Astrophysics Data System (ADS)

    Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui

    2018-05-01

    Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.

  15. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  16. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  17. Complete data preparation flow for Massively Parallel E-Beam lithography on 28nm node full-field design

    NASA Astrophysics Data System (ADS)

    Fay, Aurélien; Browning, Clyde; Brandt, Pieter; Chartoire, Jacky; Bérard-Bergery, Sébastien; Hazart, Jérôme; Chagoya, Alexandre; Postnikov, Sergei; Saib, Mohamed; Lattard, Ludovic; Schavione, Patrick

    2016-03-01

    Massively parallel mask-less electron beam lithography (MP-EBL) offers a large intrinsic flexibility at a low cost of ownership in comparison to conventional optical lithography tools. This attractive direct-write technique needs a dedicated data preparation flow to correct both electronic and resist processes. Moreover, Data Prep has to be completed in a short enough time to preserve the flexibility advantage of MP-EBL. While the MP-EBL tools have currently entered an advanced stage of development, this paper will focus on the data preparation side of the work for specifically the MAPPER Lithography FLX-1200 tool [1]-[4], using the ASELTA Nanographics Inscale software. The complete flow as well as the methodology used to achieve a full-field layout data preparation, within an acceptable cycle time, will be presented. Layout used for Data Prep evaluation was one of a 28 nm technology node Metal1 chip with a field size of 26x33mm2, compatible with typical stepper/scanner field sizes and wafer stepping plans. Proximity Effect Correction (PEC) was applied to the entire field, which was then exported as a single file to MAPPER Lithography's machine format, containing fractured shapes and dose assignments. The Soft Edge beam to beam stitching method was employed in the specific overlap regions defined by the machine format as well. In addition to PEC, verification of the correction was included as part of the overall data preparation cycle time. This verification step was executed on the machine file format to ensure pattern fidelity and accuracy as late in the flow as possible. Verification over the full chip, involving billions of evaluation points, is performed both at nominal conditions and at Process Window corners in order to ensure proper exposure and process latitude. The complete MP-EBL data preparation flow was demonstrated for a 28 nm node Metal1 layout in 37 hours. The final verification step shows that the Edge Placement Error (EPE) is kept below 2.25 nm

  18. Nanostructured surfaces using thermal nanoimprint lithography: Applications in thin membrane technology, piezoelectric energy harvesting and tactile pressure sensing

    NASA Astrophysics Data System (ADS)

    Nabar, Bhargav Pradip

    Nanoimprint lithography (NIL) is emerging as a viable contender for fabrication of large-scale arrays of 5-500 nm features. The work presented in this dissertation aims to leverage the advantages of NIL for realization of novel Nano Electro Mechanical Systems (NEMS). The first application is a nanoporous membrane blood oxygenator system. A fabrication process for realization of thin nanoporous membranes using thermal nanoimprint lithography is presented. Suspended silicon nitride membranes were fabricated by Low-Pressure Chemical Vapor Deposition (LPCVD) in conjunction with a potassium hydroxide-based bulk micromachining process. Nanoscale features were imprinted into a commercially available thermoplastic polymer resist using a pre-fabricated silicon mold. The pattern was reversed and transferred to a thin aluminum oxide layer by means of a novel two stage lift-off technique. The patterned aluminum oxide was used as an etch mask in a CHF3/He based reactive ion etch process to transfer the pattern to silicon nitride. Highly directional etch profiles with near vertical sidewalls and excellent Si3N4/Al2O3 etch selectivity was observed. One-micrometer-thick porous membranes with varying dimensions of 250x250 microm2 to 450x450 microm 2 and pore diameter of 400 nm have been engineered and evaluated. Results indicate that the membranes have consistent nanopore dimensions and precisely defined porosity, which makes them ideal as gas exchange interfaces in blood oxygenation systems as well as other applications such as dialysis. Additionally, bulk -- micromachined microfluidic channels have been developed for uniform, laminar blood flow with minimal cell trauma. NIL has been used for ordered growth of crystalline nanostructures for sensing and energy harvesting. Highly ordered arrays of crystalline ZnO nanorods have been fabricated using a polymer template patterned by thermal nanoimprint lithography, in conjunction with a low temperature hydrothermal growth process. Zinc

  19. Advanced Accelerators for Medical Applications

    NASA Astrophysics Data System (ADS)

    Uesaka, Mitsuru; Koyama, Kazuyoshi

    We review advanced accelerators for medical applications with respect to the following key technologies: (i) higher RF electron linear accelerator (hereafter “linac”); (ii) optimization of alignment for the proton linac, cyclotron and synchrotron; (iii) superconducting magnet; (iv) laser technology. Advanced accelerators for medical applications are categorized into two groups. The first group consists of compact medical linacs with high RF, cyclotrons and synchrotrons downsized by optimization of alignment and superconducting magnets. The second group comprises laser-based acceleration systems aimed of medical applications in the future. Laser plasma electron/ion accelerating systems for cancer therapy and laser dielectric accelerating systems for radiation biology are mentioned. Since the second group has important potential for a compact system, the current status of the established energy and intensity and of the required stability are given.

  20. Advanced Accelerators for Medical Applications

    NASA Astrophysics Data System (ADS)

    Uesaka, Mitsuru; Koyama, Kazuyoshi

    We review advanced accelerators for medical applications with respect to the following key technologies: (i) higher RF electron linear accelerator (hereafter "linac"); (ii) optimization of alignment for the proton linac, cyclotron and synchrotron; (iii) superconducting magnet; (iv) laser technology. Advanced accelerators for medical applications are categorized into two groups. The first group consists of compact medical linacs with high RF, cyclotrons and synchrotrons downsized by optimization of alignment and superconducting magnets. The second group comprises laserbased acceleration systems aimed of medical applications in the future. Laser plasma electron/ion accelerating systems for cancer therapy and laser dielectric accelerating systems for radiation biology are mentioned. Since the second group has important potential for a compact system, the current status of the established energy and intensity and of the required stability are given.

  1. NbN superconducting nanonetwork fabricated using porous silicon templates and high-resolution electron beam lithography

    NASA Astrophysics Data System (ADS)

    Salvato, M.; Baghdadi, R.; Cirillo, C.; Prischepa, S. L.; Dolgiy, A. L.; Bondarenko, V. P.; Lombardi, F.; Attanasio, C.

    2017-11-01

    Superconducting NbN nanonetworks with a very small number of interconnected nanowires, with diameter of the order of 4 nm, are fabricated combining a bottom-up (use of porous silicon nanotemplates) with a top-down technique (high-resolution electron beam lithography). The method is easy to control and allows the fabrication of devices, on a robust support, with electrical properties close to a one-dimensional superconductor that can be used fruitfully for novel applications.

  2. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  3. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  4. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  5. Gel integration for microfluidic applications.

    PubMed

    Zhang, Xuanqi; Li, Lingjun; Luo, Chunxiong

    2016-05-21

    Molecular diffusive membranes or materials are important for biological applications in microfluidic systems. Hydrogels are typical materials that offer several advantages, such as free diffusion for small molecules, biocompatibility with most cells, temperature sensitivity, relatively low cost, and ease of production. With the development of microfluidic applications, hydrogels can be integrated into microfluidic systems by soft lithography, flow-solid processes or UV cure methods. Due to their special properties, hydrogels are widely used as fluid control modules, biochemical reaction modules or biological application modules in different applications. Although hydrogels have been used in microfluidic systems for more than ten years, many hydrogels' properties and integrated techniques have not been carefully elaborated. Here, we systematically review the physical properties of hydrogels, general methods for gel-microfluidics integration and applications of this field. Advanced topics and the outlook of hydrogel fabrication and applications are also discussed. We hope this review can help researchers choose suitable methods for their applications using hydrogels.

  6. Amine control for DUV lithography: identifying hidden sources

    NASA Astrophysics Data System (ADS)

    Kishkovich, Oleg P.; Larson, Carl E.

    2000-06-01

    The impact of airborne basic molecular contamination (MB) on the performance of chemically amplified (CA) resist systems has been a long standing problem. Low ppb levels of MB may be sufficient for robust 0.25 micrometer lithography with today's advanced CA resist systems combined with adequate chemical air filtration. However, with minimum CD targets heading below 150 nm, the introduction of new resist chemistries for Next Generation Lithography, and the trend towards thinner resists, the impact of MB at low and sub-ppb levels again becomes a critical manufacturing issue. Maximizing process control at aggressive feature sizes requires that the level of MB be maintained below a certain limit, which depends on such parameters as the sensitivity of the CA resist, the type of production tools, product mix, and process characteristics. Three approaches have been identified to reduce the susceptibility of CA resists to MB: effective chemical air filtration, modifications to resist chemistry/processing and cleanroom protocols involving MB monitoring and removal of MB sources from the fab. The final MB concentration depends on the effectiveness of filtration resources and on the total pollution originating from different sources in and out of the cleanroom. There are many well-documented sources of MB. Among these are: ambient air; polluted exhaust from other manufacturing areas re-entering the cleanroom through make-up air handlers; manufacturing process chemicals containing volatile molecular bases; certain cleanroom construction materials, such as paint and ceiling tiles; and volatile, humidifier system boiler additives (corrosion inhibitors), such as morpholine, cyclohexylamine, and dimethylaminoethanol. However, there is also an indeterminate number of other 'hidden' pollution sources, which are neither obvious nor well-documented. None of these sources are new, but they had little impact on earlier semiconductor manufacturing processes because the contamination

  7. Solar sail science mission applications and advancement

    NASA Astrophysics Data System (ADS)

    Macdonald, Malcolm; McInnes, Colin

    2011-12-01

    Solar sailing has long been envisaged as an enabling or disruptive technology. The promise of open-ended missions allows consideration of radically new trajectories and the delivery of spacecraft to previously unreachable or unsustainable observation outposts. A mission catalogue is presented of an extensive range of potential solar sail applications, allowing identification of the key features of missions which are enabled, or significantly enhance, through solar sail propulsion. Through these considerations a solar sail application-pull technology development roadmap is established, using each mission as a technology stepping-stone to the next. Having identified and developed a solar sail application-pull technology development roadmap, this is incorporated into a new vision for solar sailing. The development of new technologies, especially for space applications, is high-risk. The advancement difficulty of low technology readiness level research is typically underestimated due to a lack of recognition of the advancement degree of difficulty scale. Recognising the currently low technology readiness level of traditional solar sailing concepts, along with their high advancement degree of difficulty and a lack of near-term applications a new vision for solar sailing is presented which increases the technology readiness level and reduces the advancement degree of difficulty of solar sailing. Just as the basic principles of solar sailing are not new, they have also been long proven and utilised in spacecraft as a low-risk, high-return limited-capability propulsion system. It is therefore proposed that this significant heritage be used to enable rapid, near-term solar sail future advancement through coupling currently mature solar sail, and other, technologies with current solar sail technology developments. As such the near-term technology readiness level of traditional solar sailing is increased, while simultaneously reducing the advancement degree of difficulty

  8. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  9. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  10. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  11. Directed Self-Assembly of Polystyrene- b -poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Guan-Wen; Wu, Guang-Peng; Chen, Xuanxuan

    2017-01-23

    Directed self-assembly (DSA) of block copolymers (BCPs) combines advantages of conventional photolithography and polymeric materials and shows competence in semiconductors and data storage applications. Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyrene-block-poly(methyl methacrylate) (PS-b-PMM.A) in DSA strategy cannot meet the rapid development of lithography technology because its intrinsic limited Flory-Huggins interaction parameter (chi). Despite hundreds of block copolymers have been developed, these BCPs systems are usually subject to a trade-off between high chi and thermal treatment, resulting in incompatibility with the current nanomanufacturing fab processes. Here we discover that polystyrene-b-poly(propylenemore » carbonate) (PS-b-PPC) is well qualified to fill key positions on DSA strategy for the next-generation lithography. The estimated chi-value for PS-b-PPC is 0.079, that is, two times greater than PS-b-PMMA (chi = 0.029 at 150 degrees C), while processing the ability to form perpendicular sub-10 nm morphologies (cylinder and lamellae) via the industry preferred thermal-treatment. DSA of lamellae forming PS-b-PPC on chemoepitaxial density multiplication demonstrates successful sub-10 nm long-range order features on large-area patterning for nanofabrication. Pattern transfer to the silicon substrate through industrial sequential infiltration synthesis is also implemented successfully. Compared with the previously reported methods to orientation control BCPs with high chi-value (including solvent annealing, neutral top-coats, and chemical modification), the easy preparation, high chi value, and etch selectivity while enduring thermal treatment demonstrates PS-b-PPC as a rare and valuable candidate for advancing the field of nanolithography.« less

  12. Directed Nanopatterning with Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Yavuz, Ozgun; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    In spite of the successes of maskless optical nanopatterning methods, it remains extremely challenging to create any isotropic, periodic nanopattern. Further, available optical techniques lack the long-range coverage and high periodicity demanded by photonics and photovoltaics applications. Here, we provide a novel solution with Nonlinear Laser Lithography (NLL) approach. Notably, we demonstrate that self-organized nanopatterns can be produced in all possible Bravais lattice types. Further, we show that carefully chosen defects or structued noise can direct NLL symmetries. Exploitation of directed self-organizatio to select or guide to predetermined symmetries is a new capability. Predictive capabilities for such far-from-equilibrium, dissipative systems is very limited due to a lack of experimental systems with predictive models. Here we also present a completely predictive model, and experimentally confirm that the emergence of motifs can be regulated by engineering defects, while the polarization of the ultrafast laser prescribes lattice symmetry, which in turn reinforces translational invariance. Thus, NLL enables a novel, maskless nanofabrication approach, where laser-induced nanopatterns can be rapidly created in any lattice symmetry

  13. Experimental sub-Rayleigh resolution by an unseeded high-gain optical parametric amplifier for quantum lithography

    NASA Astrophysics Data System (ADS)

    Sciarrino, Fabio; Vitelli, Chiara; de Martini, Francesco; Glasser, Ryan; Cable, Hugo; Dowling, Jonathan P.

    2008-01-01

    Quantum lithography proposes to adopt entangled quantum states in order to increase resolution in interferometry. In the present paper we experimentally demonstrate that the output of a high-gain optical parametric amplifier can be intense yet exhibits quantum features, namely, sub-Rayleigh fringes, as proposed by [Agarwal , Phys. Rev. Lett. 86, 1389 (2001)]. We investigate multiphoton states generated by a high-gain optical parametric amplifier operating with a quantum vacuum input for gain values up to 2.5. The visibility has then been increased by means of three-photon absorption. The present paper opens interesting perspectives for the implementation of such an advanced interferometrical setup.

  14. Colloidal lithography with electrochemical nickel deposition as a unique method for improved silver decorated nanocavities in SERS applications

    NASA Astrophysics Data System (ADS)

    Petruš, Ondrej; Oriňak, Andrej; Oriňaková, Renáta; Orságová Králová, Zuzana; Múdra, Erika; Kupková, Miriam; Kovaľ, Karol

    2017-11-01

    Two types of metallised nanocavities (single and hybrid) were fabricated by colloid lithography followed by electrochemical deposition of Ni and subsequently Ag layers. Introductory Ni deposition step iniciates more homogenous decoration of nanocavities with Ag nanoparticles. Silver nanocavity decoration has been so performed with lower nucleation rate and with Ag nanoparticles homogeinity increase. By this, two step Ni and Ag deposition trough polystyrene nanospheres (100, 300, 500, 700, 900 nm), the various Ag surfaces were obtained. Ni layer formation in the first step of deposition enabled more precise controlling of Ag film deposition and thus final Ag surface morphology. Prepared substrates were tested as active surfaces in SERS application. The best SERS signal enhancement was observed at 500 nm Ag nanocavities with normalised thickness Ni layer ∼0.5. Enhancement factor has been established at value 1.078 × 1010; time stability was determined within 13 weeks; charge distribution at nanocavity Ag surfaces as well as reflection spectra were calculated by FDTD method. Newly prepared nanocavity surface can be applied in SERS analysis, predominantly.

  15. Direct-writing lithography using laser diode beam focused with single elliptical microlens

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Nazmul; Haque, Muttahid-Ull; Trisno, Jonathan; Lee, Yung-Chun

    2015-10-01

    A lithography method is proposed for arbitrary patterning using an elliptically diverging laser diode beam focused with a single planoconvex elliptical microlens. Simulations are performed to model the propagation properties of the laser beam and to design the elliptical microlens, which has two different profiles in the x- and y-axis directions. The microlens is fabricated using an excimer laser dragging method and is then attached to the laser diode using double-sided optically cleared adhesive (OCA) tape. Notably, the use of OCA tape removes the need for a complicated alignment procedure and thus significantly reduces the assembly cost. The minimum focused spot of the laser diode beam is investigated by performing single-shot exposure tests on a photoresist (PR) layer. Finally, the practical feasibility of this lithography technique to generate an arbitrary pattern is demonstrated by dotted and continuous features through thin chromium layer deposition on PR and a metal lift-off process. The results show that the minimum feature size for the dotted patterns is around 6.23 μm, while the minimum linewidths for continuous patterns is 6.44 μm. In other words, the proposed focusing technique has significant potential for writing any arbitrary high-resolution pattern for applications like printed circuit board fabrication.

  16. Facile fabrication of microfluidic surface-enhanced Raman scattering devices via lift-up lithography

    NASA Astrophysics Data System (ADS)

    Wu, Yuanzi; Jiang, Ye; Zheng, Xiaoshan; Jia, Shasha; Zhu, Zhi; Ren, Bin; Ma, Hongwei

    2018-04-01

    We describe a facile and low-cost approach for a flexibly integrated surface-enhanced Raman scattering (SERS) substrate in microfluidic chips. Briefly, a SERS substrate was fabricated by the electrostatic assembling of gold nanoparticles, and shaped into designed patterns by subsequent lift-up soft lithography. The SERS micro-pattern could be further integrated within microfluidic channels conveniently. The resulting microfluidic SERS chip allowed ultrasensitive in situ SERS monitoring from the transparent glass window. With its advantages in simplicity, functionality and cost-effectiveness, this method could be readily expanded into optical microfluidic fabrication for biochemical applications.

  17. A lithium niobate electro-optic tunable Bragg filter fabricated by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Pierno, L.; Dispenza, M.; Secchi, A.; Fiorello, A.; Foglietti, V.

    2008-06-01

    We have designed and fabricated a lithium niobate tunable Bragg filter patterned by electron beam lithography and etched by reactive ion etching. Devices with 1 mm, 2 mm and 4 mm length and 360 and 1080 nm Bragg period, with 5 pm V-1 tuning efficiency, have been characterized. Some applications were identified. Optical simulation based on finite element model (FEM) software showing the optical filtering curve and the coupling factor dependence on the manufacturing parameter is reported. The tuning of the filter window position is electro-optically controlled.

  18. Au nanostructure arrays for plasmonic applications: annealed island films versus nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lopatynskyi, Andrii M.; Lytvyn, Vitalii K.; Nazarenko, Volodymyr I.; Guo, L. Jay; Lucas, Brandon D.; Chegel, Volodymyr I.

    2015-03-01

    This paper attempts to compare the main features of random and highly ordered gold nanostructure arrays (NSA) prepared by thermally annealed island film and nanoimprint lithography (NIL) techniques, respectively. Each substrate possesses different morphology in terms of plasmonic enhancement. Both methods allow such important features as spectral tuning of plasmon resonance position depending on size and shape of nanostructures; however, the time and cost is quite different. The respective comparison was performed experimentally and theoretically for a number of samples with different geometrical parameters. Spectral characteristics of fabricated NSA exhibited an expressed plasmon peak in the range from 576 to 809 nm for thermally annealed samples and from 606 to 783 nm for samples prepared by NIL. Modelling of the optical response for nanostructures with typical shapes associated with these techniques (parallelepiped for NIL and semi-ellipsoid for annealed island films) was performed using finite-difference time-domain calculations. Mathematical simulations have indicated the dependence of electric field enhancement on the shape and size of the nanoparticles. As an important point, the distribution of electric field at so-called `hot spots' was considered. Parallelepiped-shaped nanoparticles were shown to yield maximal enhancement values by an order of magnitude greater than their semi-ellipsoid-shaped counterparts; however, both nanoparticle shapes have demonstrated comparable effective electrical field enhancement values. Optimized Au nanostructures with equivalent diameters ranging from 85 to 143 nm and height equal to 35 nm were obtained for both techniques, resulting in the largest electrical field enhancement. The application of island film thermal annealing method for nanochips fabrication can be considered as a possible cost-effective platform for various surface-enhanced spectroscopies; while the NIL-fabricated NSA looks like more effective for sensing of

  19. Ecofriendly ethanol-developable processes for electron beam lithography using positive-tone dextrin resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sugino, Naoto; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2017-07-01

    From the viewpoints of the utilization of agricultural resources and advanced use of biomass, this study is aimed at expanding the resolution limits of ecofriendly ethanol-developable processes for electron-beam lithography using a positive-tone dextrin resist material with high hydrophilicity on a cellulose-based underlayer. The images of 20-nm-hole and 40-nm-line patterns with an exposure dose of approximately 1800 µC/cm2 were provided by ecofriendly ethanol-developable processes instead of the common development processes using tetramethylammonium hydroxide and organic solvents. The CF4 etching selectivity of the positive-tone dextrin resist material was approximately 10% lower than that of the polymethyl methacrylate used as a reference resist material.

  20. High-density patterned media fabrication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Ramos, Rick; Brooks, Cynthia; Simpson, Logan; Fretwell, John; Carden, Scott; Hellebrekers, Paul; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FIL®) process uses drop dispensing of UV curable resists for high resolution patterning. Several applications, including patterned media, are better, and more economically served by a full substrate patterning process since the alignment requirements are minimal. Patterned media is particularly challenging because of the aggressive feature sizes necessary to achieve storage densities required for manufacturing beyond the current technology of perpendicular recording. In this paper, the key process steps for the application of J-FIL to pattern media fabrication are reviewed with special attention to substrate cleaning, vapor adhesion of the adhesion layer and imprint performance at >300 disk per hour. Also discussed are recent results for imprinting discrete track patterns at half pitches of 24nm and bit patterned media patterns at densities of 1 Tb/in2.

  1. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  2. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  3. Development of inorganic resists for electron beam lithography: Novel materials and simulations

    NASA Astrophysics Data System (ADS)

    Jeyakumar, Augustin

    Electron beam lithography is gaining widespread utilization as the semiconductor industry progresses towards both advanced optical and non-optical lithographic technologies for high resolution patterning. The current resist technologies are based on organic systems that are imaged most commonly through chain scission, networking, or a chemically amplified polarity change in the material. Alternative resists based on inorganic systems were developed and characterized in this research for high resolution electron beam lithography and their interactions with incident electrons were investigated using Monte Carlo simulations. A novel inorganic resist imaging scheme was developed using metal-organic precursors which decompose to form metal oxides upon electron beam irradiation that can serve as inorganic hard masks for hybrid bilayer inorganic-organic imaging systems and also as directly patternable high resolution metal oxide structures. The electron beam imaging properties of these metal-organic materials were correlated to the precursor structure by studying effects such as interactions between high atomic number species and the incident electrons. Optimal single and multicomponent precursors were designed for utilization as viable inorganic resist materials for sub-50nm patterning in electron beam lithography. The electron beam imaging characteristics of the most widely used inorganic resist material, hydrogen silsesquioxane (HSQ), was also enhanced using a dual processing imaging approach with thermal curing as well as a sensitizer catalyzed imaging approach. The interaction between incident electrons and the high atomic number species contained in these inorganic resists was also studied using Monte Carlo simulations. The resolution attainable using inorganic systems as compared to organic systems can be greater for accelerating voltages greater than 50 keV due to minimized lateral scattering in the high density inorganic systems. The effects of loading

  4. 12 CFR 950.2 - Authorization and application for advances; obligation to repay advances.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 12 Banks and Banking 7 2010-01-01 2010-01-01 false Authorization and application for advances; obligation to repay advances. 950.2 Section 950.2 Banks and Banking FEDERAL HOUSING FINANCE BOARD FEDERAL HOME LOAN BANK ASSETS AND OFF-BALANCE SHEET ITEMS ADVANCES Advances to Members § 950.2 Authorization...

  5. Electron-beam lithography with character projection technique for high-throughput exposure with line-edge quality control

    NASA Astrophysics Data System (ADS)

    Ikeno, Rimon; Maruyama, Satoshi; Mita, Yoshio; Ikeda, Makoto; Asada, Kunihiro

    2016-07-01

    The high throughput of character projection (CP) electron-beam (EB) lithography makes it a promising technique for low-to-medium volume device fabrication with regularly arranged layouts, such as for standard-cell logics and memory arrays. However, non-VLSI applications such as MEMS and MOEMS may not be able to fully utilize the benefits of the CP method due to the wide variety of layout figures including curved and oblique edges. In addition, the stepwise shapes that appear because of the EB exposure process often result in intolerable edge roughness, which degrades device performances. In this study, we propose a general EB lithography methodology for such applications utilizing a combination of the CP and variable-shaped beam methods. In the process of layout data conversion with CP character instantiation, several control parameters were optimized to minimize the shot count, improve the edge quality, and enhance the overall device performance. We have demonstrated EB shot reduction and edge-quality improvement with our methodology by using a leading-edge EB exposure tool, ADVANTEST F7000S-VD02, and a high-resolution hydrogen silsesquioxane resist. Atomic force microscope observations were used to analyze the resist edge profiles' quality to determine the influence of the control parameters used in the data conversion process.

  6. Polystyrene negative resist for high-resolution electron beam lithography

    PubMed Central

    2011-01-01

    We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL) resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern. PMID:21749679

  7. Fabrication of resonant patterns using thermal nano-imprint lithography for thin-film photovoltaic applications.

    PubMed

    Khaleque, Tanzina; Svavarsson, Halldor Gudfinnur; Magnusson, Robert

    2013-07-01

    A single-step, low-cost fabrication method to generate resonant nano-grating patterns on poly-methyl-methacrylate (PMMA; plexiglas) substrates using thermal nano-imprint lithography is reported. A guided-mode resonant structure is obtained by subsequent deposition of thin films of transparent conductive oxide and amorphous silicon on the imprinted area. Referenced to equivalent planar structures, around 25% and 45% integrated optical absorbance enhancement is observed over the 450-nm to 900-nm wavelength range in one- and two-dimensional patterned samples, respectively. The fabricated elements provided have 300-nm periods. Thermally imprinted thermoplastic substrates hold potential for low-cost fabrication of nano-patterned thin-film solar cells for efficient light management.

  8. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    NASA Astrophysics Data System (ADS)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  9. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  10. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  11. Fabrication of flexible grating sensing waveguide based on nano-imprint lithography and micro-replication process

    NASA Astrophysics Data System (ADS)

    Liu, Yueming; Tian, Weijian; Zhang, Shaojun

    2009-05-01

    Soft and flexible grating sensing waveguides is urgently demanded in application of micro-bending sensing and surface distortion sensing in medical catheter and smart skin sensing unit etc. Based on Nano-imprint Lithography and micro-replication process, polymer grating waveguides with core size 4μm×20μm and pitch 0.75μm are fabricated successfully in this paper. This novel grating waveguides is soft and flexible enough for related application and with the bio-medical safe feature when used in human body catheter. Fabricated processes are presented including the fabrication of micro mould and UV-replication process, and relative skills are discussed also in this paper.

  12. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  13. Silk protein nanowires patterned using electron beam lithography.

    PubMed

    Pal, Ramendra K; Yadavalli, Vamsi K

    2018-08-17

    Nanofabrication approaches to pattern proteins at the nanoscale are useful in applications ranging from organic bioelectronics to cellular engineering. Specifically, functional materials based on natural polymers offer sustainable and environment-friendly substitutes to synthetic polymers. Silk proteins (fibroin and sericin) have emerged as an important class of biomaterials for next generation applications owing to excellent optical and mechanical properties, inherent biocompatibility, and biodegradability. However, the ability to precisely control their spatial positioning at the nanoscale via high throughput tools continues to remain a challenge. In this study electron beam lithography (EBL) is used to provide nanoscale patterning using methacrylate conjugated silk proteins that are photoreactive 'photoresists' materials. Very low energy electron beam radiation can be used to pattern silk proteins at the nanoscale and over large areas, whereby such nanostructure fabrication can be performed without specialized EBL tools. Significantly, using conducting polymers in conjunction with these silk proteins, the formation of protein nanowires down to 100 nm is shown. These wires can be easily degraded using enzymatic degradation. Thus, proteins can be precisely and scalably patterned and doped with conducting polymers and enzymes to form degradable, organic bioelectronic devices.

  14. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  15. Electron-beam lithography with character projection exposure for throughput enhancement with line-edge quality optimization

    NASA Astrophysics Data System (ADS)

    Ikeno, Rimon; Maruyama, Satoshi; Mita, Yoshio; Ikeda, Makoto; Asada, Kunihiro

    2016-03-01

    Among various electron-beam lithography (EBL) techniques, variable-shaped beam (VSB) and character projection (CP) methods have attracted many EBL users for their high-throughput feature, but they are considered to be more suited to small-featured VLSI fabrication with regularly-arranged layouts like standard-cell logics and memory arrays. On the other hand, non-VLSI applications like photonics, MEMS, MOEMS, and so on, have not been fully utilized the benefit of CP method due to their wide variety of layout patterns. In addition, the stepwise edge shapes by VSB method often causes intolerable edge roughness to degrade device characteristics from its intended performance with smooth edges. We proposed an overall EBL methodology applicable to wade-variety of EBL applications utilizing VSB and CP methods. Its key idea is in our layout data conversion algorithm that decomposes curved or oblique edges of arbitrary layout patterns into CP shots. We expect significant reduction in EB shot count with a CP-bordered exposure data compared to the corresponding VSB-alone conversion result. Several CP conversion parameters are used to optimize EB exposure throughput, edge quality, and resultant device characteristics. We demonstrated out methodology using the leading-edge VSB/CP EBL tool, ADVANTEST F7000S-VD02, with high resolution Hydrogen Silsesquioxane (HSQ) resist. Through our experiments of curved and oblique edge lithography under various data conversion conditions, we learned correspondence of the conversion parameters to the resultant edge roughness and other conditions. They will be utilized as the fundamental data for further enhancement of our EBL strategy for optimized EB exposure.

  16. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  17. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  18. Scanning probes for lithography: Manipulation and devices

    NASA Astrophysics Data System (ADS)

    Rolandi, Marco

    2005-11-01

    Scanning probes are relatively low cost equipment that can push the limit of lithography in the nanometer range, with the advantages of high resolution, accuracy in the positioning of the overlayers and no proximity aberrations. We have developed three novel scanning probe lithography (SPL) resists based on thin films of Titanium, Molybdenum and Tungsten and we have manipulated single walled carbon nanotubes using the sharp tip of an atomic force microscope (AFM) for the fabrication of nanostructures. A dendrimer-passivated Ti film was imaged in the positive and the negative tone using SPL. This is the first example of SPL imaging in both tones using a unique resist. Positive tone patterning was obtained by locally scribing the dendrimer molecules and subsequent acid etch of the deprotected Ti film. Local anodic oxidation transforms Ti into TiO2 and deposits a thin layer of amorphous carbon on the patterned areas. This is very resistive to base etch and affords negative tone imaging of the Ti surface. Molybdenum and Tungsten were patterned using local anodic oxidation. This scheme is particularly flexible thanks to the solubility in water of the fully oxidized states of the two metals. We will present the facile fabrication of several nanostructures such as of trenches, dots wires and nanoelectrodes and show the potential of this scheme for competing with conventional lithographic techniques based on radiation. Quasi one dimensional electrodes for molecular electronics applications were also fabricated by creating nanogaps in single walled carbon nanotubes. The tubes, connected to microscopic contacts, were controllably cut via local anodic oxidation using the tip of the AFM. This technique leads to nanoscopic carboxyl terminated wires to which organic molecules can be linked using covalent chemistry. This geometry is particularly useful for the high gate efficiency without the need of a thin gate dielectric and the stability of the junction. Room temperature and

  19. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  20. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  1. Advances in microscale separations towards nanoproteomics applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yi, Lian; Piehowski, Paul D.; Shi, Tujin

    Microscale separations (e.g., liquid chromatography or capillary electrophoresis) coupled with mass spectrometry (MS) has become the primary tool for advanced proteomics, an indispensable technology for gaining understanding of complex biological processes. While significant advances have been achieved in MS-based proteomics, the current platforms still face a significant challenge in overall sensitivity towards nanoproteomics (i.e., with less than 1 g total amount of proteins available) applications such as cellular heterogeneity in tissue pathologies. Herein, we review recent advances in microscale separation techniques and integrated sample processing systems that improve the overall sensitivity and coverage of the proteomics workflow, and their contributionsmore » towards nanoproteomics applications.« less

  2. Wrinkling Non-Spherical Particles and Its Application in Cell Attachment Promotion

    NASA Astrophysics Data System (ADS)

    Li, Minggan; Joung, Dehi; Hughes, Bethany; Waldman, Stephen D.; Kozinski, Janusz A.; Hwang, Dae Kun

    2016-07-01

    Surface wrinkled particles are ubiquitous in nature and present in different sizes and shapes, such as plant pollens and peppercorn seeds. These natural wrinkles provide the particles with advanced functions to survive and thrive in nature. In this work, by combining flow lithography and plasma treatment, we have developed a simple method that can rapidly create wrinkled non-spherical particles, mimicking the surface textures in nature. Due to the oxygen inhibition in flow lithography, the non-spherical particles synthesized in a microfluidic channel are covered by a partially cured polymer (PCP) layer. When exposed to plasma treatment, this PCP layer rapidly buckles, forming surface-wrinkled particles. We designed and fabricated various particles with desired shapes and sizes. The surfaces of these shapes were tuned to created wrinkle morphologies by controlling UV exposure time and the washing process. We further demonstrated that wrinkles on the particles significantly promoted cell attachment without any chemical modification, potentially providing a new route for cell attachment for various biomedical applications.

  3. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  4. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Nannan; Institute of Electronic Engineering, Chinese Academy of Engineering Physics, Mianyang, 621900; Pang, Shucai

    2015-04-15

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided amore » new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics.« less

  5. Hybrid soft-lithography/laser machined microchips for the parallel generation of droplets†

    PubMed Central

    Muluneh, M.

    2015-01-01

    Microfluidic chips have been developed to generate droplets and microparticles with control over size, shape, and composition not possible using conventional methods. However, it has remained a challenge to scale-up production for practical applications due to the inherently limited throughput of micro-scale devices. To address this problem, we have developed a self-contained microchip that integrates many (N = 512) micro-scale droplet makers. This 3 × 3 cm2 PDMS microchip consists of a two-dimensional array of 32 × 16 flow-focusing droplet makers, a network of flow channels that connect them, and only two inputs and one output. The key innovation of this technology is the hybrid use of both soft-lithography and direct laser-micromachining. The microscale resolution of soft lithography is used to fabricate flow-focusing droplet makers that can produce small and precisely defined droplets. Deeply engraved (h ≈ 500 μm) laser-machined channels are utilized to supply each of the droplet makers with its oil phase, aqueous phase, and access to an output channel. The engraved channels' low hydrodynamic resistance ensures that each droplet maker is driven with the same flow rates for highly uniform droplet formation.To demonstrate the utility of this approach, water droplets (d ≈ 80 μm) were generated in hexadecane on both 8 × 1 and 32 × 16 geometries. PMID:24166156

  6. Novel conformal organic antireflective coatings for advanced I-line lithography

    NASA Astrophysics Data System (ADS)

    Deshpande, Shreeram V.; Nowak, Kelly A.; Fowler, Shelly; Williams, Paul; Arjona, Mikko

    2001-08-01

    Flash memory chips are playing a critical role in semiconductor devices due to increased popularity of hand held electronic communication devices such as cell phones and PDAs (personal Digital Assistants). Flash memory offers two primary advantages in semiconductor devices. First, it offers flexibility of in-circuit programming capability to reduce the loss from programming errors and to significantly reduce commercialization time to market for new devices. Second, flash memory has a double density memory capability through stacked gate structures which increases the memory capability and thus saves significantly on chip real estate. However, due to stacked gate structures the requirements for manufacturing of flash memory devices are significantly different from traditional memory devices. Stacked gate structures also offer unique challenges to lithographic patterning materials such as Bottom Anti-Reflective Coating (BARC) compositions used to achieve CD control and to minimize standing wave effect in photolithography. To be applicable in flash memory manufacturing a BARC should form a conformal coating on high topography of stacked gate features as well as provide the normal anti-reflection properties for CD control. In this paper we report on a new highly conformal advanced i-line BARC for use in design and manufacture of flash memory devices. Conformal BARCs being significantly thinner in trenches than the planarizing BARCs offer the advantage of reducing BARC overetch and thus minimizing resist thickness loss.

  7. Branched poly(ethyleneimine): a versatile scaffold for patterning polymer brushes by means of remote photocatalytic lithography

    NASA Astrophysics Data System (ADS)

    Panzarasa, Guido; Dübner, Matthias; Soliveri, Guido; Edler, Matthias; Griesser, Thomas

    2017-09-01

    Patterning of functional surfaces is one of the cornerstones of nanotechnology as it allows the fabrication of sensors and lab-on-a-chip devices. Here, the patterning of self-assembled monolayers of branched poly(ethyleneimine) (bPEI) on silica was achieved by means of remote photocatalytic lithography. Moreover, when 2-bromoisobutyryl-modified bPEI was used, the resulting pattern could be amplified by grafting polymer brushes by means of surface-initiated atom transfer radical polymerization. In contrast to previous reports for the patterning of bPEI, the present approach can be conducted in minutes instead of hours, reducing the exposure time to UV radiation and enhancing the overall efficiency. Furthermore, our approach is much more user-friendly, allowing a facile fabrication of patterned initiator-modified surfaces and the use of inexpensive instrumentation such as a low-power UV source and a simple photomask. Considering the versatility of bPEI as a scaffold for the development of biosensors, patterning by means of remote photocatalytic lithography will open new opportunities in a broad field of applications.

  8. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE PAGES

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.; ...

    2017-05-10

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  9. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  10. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  11. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  12. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  13. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  14. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  15. Application of Advanced Materials in Petroleum Engineering

    NASA Astrophysics Data System (ADS)

    Zhao, Gufan; Di, Weina; Wang, Minsheng

    With the background of increasing requirements on the petroleum engineering technology from more high demanding exploration targets, global oil companies and oil service companies are making more efforts on both R&D and application of new petroleum engineering technology. Advanced materials always have a decisive role in the functionality of a new product. Technology transplantation has become the important means of innovation in oil and gas industry. Here, we mainly discuss the properties and scope of application of several advanced materials. Based on the material requirements in petroleum engineering, we provide several candidates for downhole electronics protection, drilling fluid additives, downhole tools, etc. Based on the analysis of petroleum engineering technology characteristics, this paper made analysis and research on such advanced materials as new insulation materials, functional gradient materials, self-healing polymers, and introduced their application prospect in petroleum engineering in terms of specific characteristics.

  16. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  17. Advances in microscale separations towards nanoproteomics applications

    DOE PAGES

    Yi, Lian; Piehowski, Paul D.; Shi, Tujin; ...

    2017-07-21

    Microscale separation (e.g., liquid chromatography or capillary electrophoresis) coupled with mass spectrometry (MS) has become the primary tool for advanced proteomics, an indispensable technology for gaining understanding of complex biological processes. In recent decades significant advances have been achieved in MS-based proteomics. But, the current proteomics platforms still face an analytical challenge in overall sensitivity towards nanoproteomics applications for starting materials of less than 1 μg total proteins (e.g., cellular heterogeneity in tissue pathologies). We review recent advances in microscale separation techniques and integrated sample processing strategies that improve the overall sensitivity and proteome coverage of the proteomics workflow, andmore » their contributions towards nanoproteomics applications.« less

  18. Advances in microscale separations towards nanoproteomics applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yi, Lian; Piehowski, Paul D.; Shi, Tujin

    Microscale separation (e.g., liquid chromatography or capillary electrophoresis) coupled with mass spectrometry (MS) has become the primary tool for advanced proteomics, an indispensable technology for gaining understanding of complex biological processes. In recent decades significant advances have been achieved in MS-based proteomics. But, the current proteomics platforms still face an analytical challenge in overall sensitivity towards nanoproteomics applications for starting materials of less than 1 μg total proteins (e.g., cellular heterogeneity in tissue pathologies). We review recent advances in microscale separation techniques and integrated sample processing strategies that improve the overall sensitivity and proteome coverage of the proteomics workflow, andmore » their contributions towards nanoproteomics applications.« less

  19. Tilted pillar array fabrication by the combination of proton beam writing and soft lithography for microfluidic cell capture: Part 1 Design and feasibility.

    PubMed

    Rajta, Istvan; Huszánk, Robert; Szabó, Atilla T T; Nagy, Gyula U L; Szilasi, Szabolcs; Fürjes, Peter; Holczer, Eszter; Fekete, Zoltan; Járvás, Gabor; Szigeti, Marton; Hajba, Laszlo; Bodnár, Judit; Guttman, Andras

    2016-02-01

    Design, fabrication, integration, and feasibility test results of a novel microfluidic cell capture device is presented, exploiting the advantages of proton beam writing to make lithographic irradiations under multiple target tilting angles and UV lithography to easily reproduce large area structures. A cell capture device is demonstrated with a unique doubly tilted micropillar array design for cell manipulation in microfluidic applications. Tilting the pillars increased their functional surface, therefore, enhanced fluidic interaction when special bioaffinity coating was used, and improved fluid dynamic behavior regarding cell culture injection. The proposed microstructures were capable to support adequate distribution of body fluids, such as blood, spinal fluid, etc., between the inlet and outlet of the microfluidic sample reservoirs, offering advanced cell capture capability on the functionalized surfaces. The hydrodynamic characteristics of the microfluidic systems were tested with yeast cells (similar size as red blood cells) for efficient capture. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Advances in hypersonic vehicle synthesis with application to studies of advanced thermal protection system

    NASA Technical Reports Server (NTRS)

    Ardema, Mark D.

    1995-01-01

    This report summarizes the work entitled 'Advances in Hypersonic Vehicle Synthesis with Application to Studies of Advanced Thermal Protection Systems.' The effort was in two areas: (1) development of advanced methods of trajectory and propulsion system optimization; and (2) development of advanced methods of structural weight estimation. The majority of the effort was spent in the trajectory area.

  1. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  2. 48 CFR 32.408 - Application for advance payments.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... amount of advance payments. (4) The name and address of the financial institution at which the contractor... 48 Federal Acquisition Regulations System 1 2010-10-01 2010-10-01 false Application for advance... GENERAL CONTRACTING REQUIREMENTS CONTRACT FINANCING Advance Payments for Non-Commercial Items 32.408...

  3. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  4. The challenges of transitioning from linear to high-order overlay control in advanced lithography

    NASA Astrophysics Data System (ADS)

    Adel, M.; Izikson, P.; Tien, D.; Huang, C. K.; Robinson, J. C.; Eichelberger, B.

    2008-03-01

    In the lithography section of the ITRS 2006 update, at the top of the list of difficult challenges appears the text "overlay of multiple exposures including mask image placement". This is a reflection of the fact that today overlay is becoming a major yield risk factor in semiconductor manufacturing. Historically, lithographers have achieved sufficient alignment accuracy and hence layer to layer overlay control by relying on models which define overlay as a linear function of the field and wafer coordinates. These linear terms were easily translated to correctibles in the available exposure tool degrees of freedom on the wafer and reticle stages. However, as the 45 nm half pitch node reaches production, exposure tool vendors have begun to make available, and lithographers have begun to utilize so called high order wafer and field control, in which either look up table or high order polynomial models are modified on a product by product basis. In this paper, the major challenges of this transition will be described. It will include characterization of the sources of variation which need to be controlled by these new models and the overlay and alignment sampling optimization problem which needs to be addressed, while maintaining the ever tightening demands on productivity and cost of ownership.

  5. A method to restrain the charging effect on an insulating substrate in high energy electron beam lithography

    NASA Astrophysics Data System (ADS)

    Mingyan, Yu; Shirui, Zhao; Yupeng, Jing; Yunbo, Shi; Baoqin, Chen

    2014-12-01

    Pattern distortions caused by the charging effect should be reduced while using the electron beam lithography process on an insulating substrate. We have developed a novel process by using the SX AR-PC 5000/90.1 solution as a spin-coated conductive layer, to help to fabricate nanoscale patterns of poly-methyl-methacrylate polymer resist on glass for phased array device application. This method can restrain the influence of the charging effect on the insulating substrate effectively. Experimental results show that the novel process can solve the problems of the distortion of resist patterns and electron beam main field stitching error, thus ensuring the accuracy of the stitching and overlay of the electron beam lithography system. The main characteristic of the novel process is that it is compatible to the multi-layer semiconductor process inside a clean room, and is a green process, quite simple, fast, and low cost. It can also provide a broad scope in the device development on insulating the substrate, such as high density biochips, flexible electronics and liquid crystal display screens.

  6. Controllable liquid colour-changing lenses with microfluidic channels for vision protection, camouflage and optical filtering based on soft lithography fabrication.

    PubMed

    Zhang, Min; Li, Songjing

    2016-01-01

    In this work, liquid colour-changing lenses for vision protection, camouflage and optical filtering are developed by circulating colour liquids through microfluidic channels on the lenses manually. Soft lithography technology is applied to fabricate the silicone liquid colour-changing layers with microfluidic channels on the lenses instead of mechanical machining. To increase the hardness and abrasion resistance of the silicone colour-changing layers on the lenses, proper fabrication parameters such as 6:1 (mass ration) mixing proportion and 100 °C curing temperature for 2 h are approved for better soft lithography process of the lenses. Meanwhile, a new surface treatment for the irreversible bonding of silicone colour-changing layer with optical resin (CR39) substrate lens by using 5 % (volume ratio) 3-Aminopropyltriethoxysilane solution is proposed. Vision protection, camouflage and optical filtering functions of the lenses are investigated with different designs of the channels and multi-layer structures. Each application can not only well achieve their functional demands, but also shows the advantages of functional flexibility, rapid prototyping and good controllability compared with traditional ways. Besides optometry, some other designs and applications of the lenses are proposed for potential utility in the future.

  7. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  8. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  9. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  10. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  11. Organic solvent-free sugar-based transparency nanopatterning material derived from biomass for eco-friendly optical biochips using green lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ito, Kenta; Sugahara, Kigenn; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2014-05-01

    An organic solvent-free sugar-based transparency nanopatterning material which had specific desired properties such as nanostructures of subwavelength grating and moth-eye antireflection, acceptable thermal stability of 160 °C, and low imaginary refractive index of less than 0.005 at 350-800 nm was proposed using electron beam lithography. The organic solvent-free sugar-based transparency nanopatterning material is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of tetramethylammonium hydroxide. 120 nm moth-eye antireflection nanopatterns images with exposure dose of 10 μC/cm2 were provided by specific process conditions of electron beam lithography. The developed sugar derivatives with hydroxyl groups and EB sensitive groups in the organic solvent-free sugar-based transparency nanopatterning material were applicable to future development of optical interface films of biology and electronics as a novel chemical design.

  12. Multifunctional Carbon Nanostructures for Advanced Energy Storage Applications

    PubMed Central

    Wang, Yiran; Wei, Huige; Lu, Yang; Wei, Suying; Wujcik, Evan K.; Guo, Zhanhu

    2015-01-01

    Carbon nanostructures—including graphene, fullerenes, etc.—have found applications in a number of areas synergistically with a number of other materials.These multifunctional carbon nanostructures have recently attracted tremendous interest for energy storage applications due to their large aspect ratios, specific surface areas, and electrical conductivity. This succinct review aims to report on the recent advances in energy storage applications involving these multifunctional carbon nanostructures. The advanced design and testing of multifunctional carbon nanostructures for energy storage applications—specifically, electrochemical capacitors, lithium ion batteries, and fuel cells—are emphasized with comprehensive examples. PMID:28347034

  13. Advanced Turbine Technology Applications Project (ATTAP)

    NASA Technical Reports Server (NTRS)

    1994-01-01

    Reports technical effort by AlliedSignal Engines in sixth year of DOE/NASA funded project. Topics include: gas turbine engine design modifications of production APU to incorporate ceramic components; fabrication and processing of silicon nitride blades and nozzles; component and engine testing; and refinement and development of critical ceramics technologies, including: hot corrosion testing and environmental life predictive model; advanced NDE methods for internal flaws in ceramic components; and improved carbon pulverization modeling during impact. ATTAP project is oriented toward developing high-risk technology of ceramic structural component design and fabrication to carry forward to commercial production by 'bridging the gap' between structural ceramics in the laboratory and near-term commercial heat engine application. Current ATTAP project goal is to support accelerated commercialization of advanced, high-temperature engines for hybrid vehicles and other applications. Project objectives are to provide essential and substantial early field experience demonstrating ceramic component reliability and durability in modified, available, gas turbine engine applications; and to scale-up and improve manufacturing processes of ceramic turbine engine components and demonstrate application of these processes in the production environment.

  14. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    PubMed

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  15. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  16. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  17. Advanced Power Technology Development Activities for Small Satellite Applications

    NASA Technical Reports Server (NTRS)

    Piszczor, Michael F.; Landis, Geoffrey A.; Miller, Thomas B.; Taylor, Linda M.; Hernandez-Lugo, Dionne; Raffaelle, Ryne; Landi, Brian; Hubbard, Seth; Schauerman, Christopher; Ganter, Mathew; hide

    2017-01-01

    NASA Glenn Research Center (GRC) has a long history related to the development of advanced power technology for space applications. This expertise covers the breadth of energy generation (photovoltaics, thermal energy conversion, etc.), energy storage (batteries, fuel cell technology, etc.), power management and distribution, and power systems architecture and analysis. Such advanced technology is now being developed for small satellite and cubesat applications and could have a significant impact on the longevity and capabilities of these missions. A presentation during the Pre-Conference Workshop will focus on various advanced power technologies being developed and demonstrated by NASA, and their possible application within the small satellite community.

  18. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  19. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  20. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  1. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  2. Ceramic applications in the advanced Stirling automotive engine

    NASA Technical Reports Server (NTRS)

    Tomazic, W. A.; Cairelli, J. E.

    1977-01-01

    The ideal cycle, its application to a practical machine, and the specific advantages of high efficiency, low emissions, multi-fuel capability, and low noise of the stirling engine are discussed. Certain portions of the Stirling engine must operate continuously at high temperature. Ceramics offer the potential of cost reduction and efficiency improvement for advanced engine applications. Potential applications for ceramics in Stirling engines, and some of the special problems pertinent to using ceramics in the Stirling engine are described. The research and technology program in ceramics which is planned to support the development of advanced Stirling engines is outlined.

  3. Controlled Chemical Patterns with ThermoChemical NanoLithography (TCNL)

    NASA Astrophysics Data System (ADS)

    Carroll, Keith; Giordano, Anthony; Wang, Debin; Kodali, Vamsi; King, W. P.; Marder, S. R.; Riedo, E.; Curtis, J. E.

    2012-02-01

    Many research areas, both fundamental and applied, rely upon the ability to organize non-trivial assemblies of molecules on surfaces. In this work, we introduce a significant extension of ThermoChemical NanoLithography (TCNL), a high throughput chemical patterning technique that uses temperature-driven chemical reactions localized near the tip of a thermal cantilever. By combining a chemical kinetics based model with experiments, we have developed a protocol for varying the concentration of surface bound molecules. The result is an unprecedented ability to fabricate extremely complex patterns comprised of varying chemical concentrations, as demonstrated by sinusoidal patterns of amine groups with varying pitches (˜5-15 μm) and the replication of Leonardo da Vinci's Mona Lisa with dimensions of ˜30 x 40 μm^2. Programmed control of the chemical reaction rate should have widespread applications for a technique which has already been shown to nanopattern various substrates including graphene nanowires, piezoelectric crystals, and optoelectronic materials.

  4. Interpreting cost of ownership for mix-and-match lithography

    NASA Astrophysics Data System (ADS)

    Levine, Alan L.; Bergendahl, Albert S.

    1994-05-01

    Cost of ownership modeling is a critical and emerging tool that provides significant insight into the ways to optimize device manufacturing costs. The development of a model to deal with a particular application, mix-and-match lithography, was performed in order to determine the level of cost savings and the optimum ways to create these savings. The use of sensitivity analysis with cost of ownership allows the user to make accurate trade-offs between technology and cost. The use and interpretation of the model results are described in this paper. Parameters analyzed include several manufacturing considerations -- depreciation, maintenance, engineering and operator labor, floorspace, resist, consumables and reticles. Inherent in this study is the ability to customize this analysis for a particular operating environment. Results demonstrate the clear advantages of a mix-and-match approach for three different operating environments. These case studies also demonstrate various methods to efficiently optimize cost savings strategies.

  5. Multiphoton lithography using a high-repetition rate microchip laser.

    PubMed

    Ritschdorff, Eric T; Shear, Jason B

    2010-10-15

    Multiphoton lithography (MPL) provides a means to create prototype, three-dimensional (3D) materials for numerous applications in analysis and cell biology. A major impediment to the broad adoption of MPL in research laboratories is its reliance on high peak-power light sources, a requirement that typically has been met using expensive femtosecond titanium:sapphire lasers. Development of affordable microchip laser sources has the potential to substantially extend the reach of MPL, but previous lasers have provided relatively low pulse repetition rates (low kilohertz range), thereby limiting the rate at which microforms could be produced using this direct-write approach. In this report, we examine the MPL capabilities of a new, high-repetition-rate (36.6 kHz) microchip Nd:YAG laser. We show that this laser enables an approximate 4-fold decrease in fabrication times for protein-based microforms relative to the existing state-of-the-art microchip source and demonstrate its utility for creating complex 3D microarchitectures.

  6. Advanced composite applications for sub-micron biologically derived microstructures

    NASA Technical Reports Server (NTRS)

    Schnur, J. M.; Price, R. R.; Schoen, P. E.; Bonanventura, Joseph; Kirkpatrick, Douglas

    1991-01-01

    A major thrust of advanced material development is in the area of self-assembled ultra-fine particulate based composites (micro-composites). The application of biologically derived, self-assembled microstructures to form advanced composite materials is discussed. Hollow 0.5 micron diameter cylindrical shaped microcylinders self-assemble from diacetylenic lipids. These microstructures have a multiplicity of potential applications in the material sciences. Exploratory development is proceeding in application areas such as controlled release for drug delivery, wound repair, and biofouling as well as composites for electronic and magnetic applications, and high power microwave cathodes.

  7. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  8. Advanced ceramic materials for next-generation nuclear applications

    NASA Astrophysics Data System (ADS)

    Marra, John

    2011-10-01

    The nuclear industry is at the eye of a 'perfect storm' with fuel oil and natural gas prices near record highs, worldwide energy demands increasing at an alarming rate, and increased concerns about greenhouse gas (GHG) emissions that have caused many to look negatively at long-term use of fossil fuels. This convergence of factors has led to a growing interest in revitalization of the nuclear power industry within the United States and across the globe. Many are surprised to learn that nuclear power provides approximately 20% of the electrical power in the US and approximately 16% of the world-wide electric power. With the above factors in mind, world-wide over 130 new reactor projects are being considered with approximately 25 new permit applications in the US. Materials have long played a very important role in the nuclear industry with applications throughout the entire fuel cycle; from fuel fabrication to waste stabilization. As the international community begins to look at advanced reactor systems and fuel cycles that minimize waste and increase proliferation resistance, materials will play an even larger role. Many of the advanced reactor concepts being evaluated operate at high-temperature requiring the use of durable, heat-resistant materials. Advanced metallic and ceramic fuels are being investigated for a variety of Generation IV reactor concepts. These include the traditional TRISO-coated particles, advanced alloy fuels for 'deep-burn' applications, as well as advanced inert-matrix fuels. In order to minimize wastes and legacy materials, a number of fuel reprocessing operations are being investigated. Advanced materials continue to provide a vital contribution in 'closing the fuel cycle' by stabilization of associated low-level and high-level wastes in highly durable cements, ceramics, and glasses. Beyond this fission energy application, fusion energy will demand advanced materials capable of withstanding the extreme environments of high

  9. Extreme-ultraviolet and electron beam lithography processing using water developable resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi

    2017-08-01

    In order to achieve the use of pure water in the developable process of extreme-ultraviolet and electron beam lithography, instead of conventionally used tetramethylammonium hydroxide and organic solvents, a water developable resist material was designed and developed. The water-developable resist material was derived from woody biomass with beta-linked disaccharide unit for environmental affair, safety, easiness of handling, and health of the working people. 80 nm dense line patterning images with exposure dose of 22 μC/cm2 and CF4 etching selectivity of 1.8 with hardmask layer were provided by specific process conditions. The approach of our water-developable resist material will be one of the most promising technologies ready to be investigated into production of medical device applications.

  10. 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography

    PubMed Central

    2015-01-01

    Biomimetic functional surfaces are attracting increasing attention for their relevant technological applications. Despite these efforts, inherent limitations of microfabrication techniques prevent the replication of complex hierarchical microstructures. Using a 3D laser lithography technique, we fabricated a 3D patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs, with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the dimensions of natural features, so that microscale hairs with submicrometric resolution were attained. The micropatterned surface, in analogy with the natural model, shows interesting properties in terms of hydrophobicity and air retention when submerged by water, even if realized with a hydrophilic material. Furthermore, we successfully demonstrated the capability to promote localized condensation of water droplets from moisture in the atmosphere. PMID:26558410

  11. 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography.

    PubMed

    Tricinci, Omar; Terencio, Tercio; Mazzolai, Barbara; Pugno, Nicola M; Greco, Francesco; Mattoli, Virgilio

    2015-11-25

    Biomimetic functional surfaces are attracting increasing attention for their relevant technological applications. Despite these efforts, inherent limitations of microfabrication techniques prevent the replication of complex hierarchical microstructures. Using a 3D laser lithography technique, we fabricated a 3D patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs, with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the dimensions of natural features, so that microscale hairs with submicrometric resolution were attained. The micropatterned surface, in analogy with the natural model, shows interesting properties in terms of hydrophobicity and air retention when submerged by water, even if realized with a hydrophilic material. Furthermore, we successfully demonstrated the capability to promote localized condensation of water droplets from moisture in the atmosphere.

  12. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  13. Enzyme Mimics: Advances and Applications.

    PubMed

    Kuah, Evelyn; Toh, Seraphina; Yee, Jessica; Ma, Qian; Gao, Zhiqiang

    2016-06-13

    Enzyme mimics or artificial enzymes are a class of catalysts that have been actively pursued for decades and have heralded much interest as potentially viable alternatives to natural enzymes. Aside from having catalytic activities similar to their natural counterparts, enzyme mimics have the desired advantages of tunable structures and catalytic efficiencies, excellent tolerance to experimental conditions, lower cost, and purely synthetic routes to their preparation. Although still in the midst of development, impressive advances have already been made. Enzyme mimics have shown immense potential in the catalysis of a wide range of chemical and biological reactions, the development of chemical and biological sensing and anti-biofouling systems, and the production of pharmaceuticals and clean fuels. This Review concerns the development of various types of enzyme mimics, namely polymeric and dendrimeric, supramolecular, nanoparticulate and proteinic enzyme mimics, with an emphasis on their synthesis, catalytic properties and technical applications. It provides an introduction to enzyme mimics and a comprehensive summary of the advances and current standings of their applications, and seeks to inspire researchers to perfect the design and synthesis of enzyme mimics and to tailor their functionality for a much wider range of applications. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. High-Throughput Nanofabrication of Infra-red and Chiral Metamaterials using Nanospherical-Lens Lithography

    PubMed Central

    Chang, Yun-Chorng; Lu, Sih-Chen; Chung, Hsin-Chan; Wang, Shih-Ming; Tsai, Tzung-Da; Guo, Tzung-Fang

    2013-01-01

    Various infra-red and planar chiral metamaterials were fabricated using the modified Nanospherical-Lens Lithography. By replacing the light source with a hand-held ultraviolet lamp, its asymmetric light emission pattern produces the elliptical-shaped photoresist holes after passing through the spheres. The long axis of the ellipse is parallel to the lamp direction. The fabricated ellipse arrays exhibit localized surface plasmon resonance in mid-infra-red and are ideal platforms for surface enhanced infra-red absorption (SEIRA). We also demonstrate a way to design and fabricate complicated patterns by tuning parameters in each exposure step. This method is both high-throughput and low-cost, which is a powerful tool for future infra-red metamaterials applications. PMID:24284941

  15. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  16. Trehalose glycopolymer resists allow direct writing of protein patterns by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Bat, Erhan; Lee, Juneyoung; Lau, Uland Y.; Maynard, Heather D.

    2015-03-01

    Direct-write patterning of multiple proteins on surfaces is of tremendous interest for a myriad of applications. Precise arrangement of different proteins at increasingly smaller dimensions is a fundamental challenge to apply the materials in tissue engineering, diagnostics, proteomics and biosensors. Herein, we present a new resist that protects proteins during electron-beam exposure and its application in direct-write patterning of multiple proteins. Polymers with pendant trehalose units are shown to effectively crosslink to surfaces as negative resists, while at the same time providing stabilization to proteins during the vacuum and electron-beam irradiation steps. In this manner, arbitrary patterns of several different classes of proteins such as enzymes, growth factors and immunoglobulins are realized. Utilizing the high-precision alignment capability of electron-beam lithography, surfaces with complex patterns of multiple proteins are successfully generated at the micrometre and nanometre scale without requiring cleanroom conditions.

  17. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  18. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  19. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  20. High-NA EUV lithography enabling Moore's law in the next decade

    NASA Astrophysics Data System (ADS)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  1. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  2. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  3. Fabrication of Periodic Gold Nanocup Arrays Using Colloidal Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeVetter, Brent M.; Bernacki, Bruce E.; Bennett, Wendy D.

    Within recent years, the field of plasmonics has exploded as researchers have demonstrated exciting applications related to chemical and optical sensing in combination with new nanofabrication techniques. A plasmon is a quantum of charge density oscillation that lends nanoscale metals such as gold and silver unique optical properties. In particular, gold and silver nanoparticles exhibit localized surface plasmon resonances—collective charge density oscillations on the surface of the nanoparticle—in the visible spectrum. Here, we focus on the fabrication of periodic arrays of anisotropic plasmonic nanostructures. These half-shell (or nanocup) structures can exhibit additional unique light-bending and polarization dependent optical properties thatmore » simple isotropic nanostructures cannot. Researchers are interested in the fabrication of periodic arrays of nanocups for a wide variety of applications such as low-cost optical devices, surface-enhanced Raman scattering, and tamper indication. We present a scalable technique based on colloidal lithography in which it is possible to easily fabricate large periodic arrays of nanocups using spin-coating and self-assembled commercially available polymeric nanospheres. Electron microscopy and optical spectroscopy from the visible to near-IR was performed to confirm successful nanocup fabrication. We conclude with a demonstration of the transfer of nanocups to a flexible, conformal adhesive film.« less

  4. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    NASA Astrophysics Data System (ADS)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  5. The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

    NASA Astrophysics Data System (ADS)

    Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru

    2016-03-01

    Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.

  6. Applications and advances in electronic-nose technologies

    Treesearch

    A. D. Wilson; M. Baietto

    2009-01-01

    Electronic-nose devices have received considerable attention in the field of sensor technology during the past twenty years, largely due to the discovery of numerous applications derived from research in diverse fields of applied sciences. Recent applications of electronic nose technologies have come through advances in sensor design, material improvements, software...

  7. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  8. Resolution improvement of 3D stereo-lithography through the direct laser trajectory programming: Application to microfluidic deterministic lateral displacement device.

    PubMed

    Juskova, Petra; Ollitrault, Alexis; Serra, Marco; Viovy, Jean-Louis; Malaquin, Laurent

    2018-02-13

    The vast majority of current microfluidic devices are produced using soft lithography, a technique with strong limitations regarding the fabrication of three-dimensional architectures. Additive manufacturing holds great promises to overcome these limitations, but conventional machines still lack the resolution required by most microfluidic applications. 3D printing machines based on two-photon lasers, in contrast, have the needed resolution but are too limited in speed and size of the global device. Here we demonstrate how the resolution of conventional stereolithographic machines can be improved by a direct programming of the laser path and can contribute to bridge the gap between the two above technologies, allowing the direct printing of features between 10 and 100 μm, corresponding to a large fraction of microfluidic applications. This strategy allows to achieve resolutions limited only by the physical size of the laser beam, decreasing by a factor at least 2× the size of the smallest features printable, and increasing their reproducibility by a factor 5. The approach was applied to produce an open microfluidic device with the reversible seal, integrating periodical patterns using the simple motifs, and validated by the fabrication of a deterministic lateral displacement particles sorting device. The sorting of polystyrene beads (diameter: 20 μm and 45 μm) was achieved with a specificity >95%, comparable with that achieved with arrays prepared by microlithography. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  10. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  11. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  12. 28nm node process optimization: a lithography centric view

    NASA Astrophysics Data System (ADS)

    Seltmann, Rolf

    2014-10-01

    Many experts claim that the 28nm technology node will be the most cost effective technology node forever. This results from primarily from the cost of manufacturing due to the fact that 28nm is the last true Single Patterning (SP) node. It is also affected by the dramatic increase of design costs and the limited shrink factor of the next following nodes. Thus, it is assumed that this technology still will be alive still for many years. To be cost competitive, high yields are mandatory. Meanwhile, leading edge foundries have optimized the yield of the 28nm node to such a level that that it is nearly exclusively defined by random defectivity. However, it was a long way to go to come to that level. In my talk I will concentrate on the contribution of lithography to this yield learning curve. I will choose a critical metal patterning application. I will show what was needed to optimize the process window to a level beyond the usual OPC model work that was common on previous nodes. Reducing the process (in particular focus) variability is a complementary need. It will be shown which improvements were needed in tooling, process control and design-mask-wafer interaction to remove all systematic yield detractors. Over the last couple of years new scanner platforms were introduced that were targeted for both better productivity and better parametric performance. But this was not a clear run-path. It needed some extra affords of the tool suppliers together with the Fab to bring the tool variability down to the necessary level. Another important topic to reduce variability is the interaction of wafer none-planarity and lithography optimization. Having an accurate knowledge of within die topography is essential for optimum patterning. By completing both the variability reduction work and the process window enhancement work we were able to transfer the original marginal process budget to a robust positive budget and thus ensuring high yield and low costs.

  13. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  14. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  15. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  16. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  17. Advanced Laboratory NMR Spectrometer with Applications.

    ERIC Educational Resources Information Center

    Biscegli, Clovis; And Others

    1982-01-01

    A description is given of an inexpensive nuclear magnetic resonance (NMR) spectrometer suitable for use in advanced laboratory courses. Applications to the nondestructive analysis of the oil content in corn seeds and in monitoring the crystallization of polymers are presented. (SK)

  18. Microintaglio Printing for Soft Lithography-Based in Situ Microarrays

    PubMed Central

    Biyani, Manish; Ichiki, Takanori

    2015-01-01

    Advances in lithographic approaches to fabricating bio-microarrays have been extensively explored over the last two decades. However, the need for pattern flexibility, a high density, a high resolution, affordability and on-demand fabrication is promoting the development of unconventional routes for microarray fabrication. This review highlights the development and uses of a new molecular lithography approach, called “microintaglio printing technology”, for large-scale bio-microarray fabrication using a microreactor array (µRA)-based chip consisting of uniformly-arranged, femtoliter-size µRA molds. In this method, a single-molecule-amplified DNA microarray pattern is self-assembled onto a µRA mold and subsequently converted into a messenger RNA or protein microarray pattern by simultaneously producing and transferring (immobilizing) a messenger RNA or a protein from a µRA mold to a glass surface. Microintaglio printing allows the self-assembly and patterning of in situ-synthesized biomolecules into high-density (kilo-giga-density), ordered arrays on a chip surface with µm-order precision. This holistic aim, which is difficult to achieve using conventional printing and microarray approaches, is expected to revolutionize and reshape proteomics. This review is not written comprehensively, but rather substantively, highlighting the versatility of microintaglio printing for developing a prerequisite platform for microarray technology for the postgenomic era. PMID:27600226

  19. Method for maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    2000-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  20. Achieving pattern uniformity in plasmonic lithography by spatial frequency selection

    NASA Astrophysics Data System (ADS)

    Liang, Gaofeng; Chen, Xi; Zhao, Qing; Guo, L. Jay

    2018-01-01

    The effects of the surface roughness of thin films and defects on photomasks are investigated in two representative plasmonic lithography systems: thin silver film-based superlens and multilayer-based hyperbolic metamaterial (HMM). Superlens can replicate arbitrary patterns because of its broad evanescent wave passband, which also makes it inherently vulnerable to the roughness of the thin film and imperfections of the mask. On the other hand, the HMM system has spatial frequency filtering characteristics and its pattern formation is based on interference, producing uniform and stable periodic patterns. In this work, we show that the HMM system is more immune to such imperfections due to its function of spatial frequency selection. The analyses are further verified by an interference lithography system incorporating the photoresist layer as an optical waveguide to improve the aspect ratio of the pattern. It is concluded that a system capable of spatial frequency selection is a powerful method to produce deep-subwavelength periodic patterns with high degree of uniformity and fidelity.

  1. Flexible palladium-based H2 sensor with fast response and low leakage detection by nanoimprint lithography.

    PubMed

    Lim, Su Hui; Radha, Boya; Chan, Jie Yong; Saifullah, Mohammad S M; Kulkarni, Giridhar U; Ho, Ghim Wei

    2013-08-14

    Flexible palladium-based H2 sensors have a great potential in advanced sensing applications, as they offer advantages such as light weight, space conservation, and mechanical durability. Despite these advantages, the paucity of such sensors is due to the fact that they are difficult to fabricate while maintaining excellent sensing performance. Here, we demonstrate, using direct nanoimprint lithography of palladium, the fabrication of a flexible, durable, and fast responsive H2 sensor that is capable of detecting H2 gas concentration as low as 50 ppm. High resolution and high throughput patterning of palladium gratings over a 2 cm × 1 cm area on a rigid substrate was achieved by heat-treating nanoimprinted palladium benzyl mercaptide at 250 °C for 1 h. The flexible and robust H2 sensing device was fabricated by subsequent transfer nanoimprinting of these gratings into a polycarbonate film at its glass transition temperature. This technique produces flexible H2 sensors with improved durability, sensitivity, and response time in comparison to palladium thin films. At ambient pressure and temperature, the device showed a fast response time of 18 s at a H2 concentration of 3500 ppm. At 50 ppm concentration, the response time was found to be 57 s. The flexibility of the sensor does not appear to compromise its performance.

  2. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  3. Modification of symmetrically substituted phthalocyanines using click chemistry: phthalocyanine nanostructures by nanoimprint lithography.

    PubMed

    Chen, Xiaochun; Thomas, Jayan; Gangopadhyay, Palash; Norwood, Robert A; Peyghambarian, N; McGrath, Dominic V

    2009-09-30

    Phthalocyanines (Pcs) are commonly applied to advanced technologies such as optical limiting, photodynamic therapy (PDT), organic field-effect transistors (OFETs), and organic photovoltaic (OPV) devices, where they are used as the p-type layer. An approach to Pc structural diversity and the incorporation of a functional group that allows fabrication of solvent resistant Pc nanostructures formed by using a newly developed nanoimprint by melt processing (NIMP) technique, a variant of standard nanoimprint lithography (NIL), is reported. Copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC), a click chemistry reaction, serves as an approach to structural diversity in Pc macrocycles. We have prepared octaalkynyl Pc 1b and have modified this Pc using the CuAAC reaction to yield four Pc derivatives 5a-5d with different peripheral substituents on the macrocycle. One of these derivatives, 5c, has photo-cross-linkable cinnamate residues, and we have demonstrated the fabrication of robust cross-linked photopatterned and imprinted nanostructures from this material.

  4. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  5. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  6. Finding the right way: DFM versus area efficiency for 65 nm gate layer lithography

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra S.; Scheer, Steven; Herold, Klaus; Fonseca, Carlos; Thomas, Alan; Schroeder, Uwe P.

    2006-03-01

    DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.

  7. Nanoscale Advances in Catalysis and Energy Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Yimin; Somorjai, Gabor A.

    2010-05-12

    In this perspective, we present an overview of nanoscience applications in catalysis, energy conversion, and energy conservation technologies. We discuss how novel physical and chemical properties of nanomaterials can be applied and engineered to meet the advanced material requirements in the new generation of chemical and energy conversion devices. We highlight some of the latest advances in these nanotechnologies and provide an outlook at the major challenges for further developments.

  8. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  9. Field emitter arrays and displays produced by ion tracking lithography

    NASA Astrophysics Data System (ADS)

    Felter, T. E.; Musket, R. G.; Bernhardt, A. F.

    2005-12-01

    When ions of sufficient electronic energy loss traverse a dielectric film or foil, they alter the chemical bonding along their nominally straight path within the material. A suitable etchant can quickly dissolve these so-called latent tracks leaving holes of small diameter (∼10 nm) but long length - several microns. Continuing the etching process gradually increases the diameter reproducibly and uniformly. The trackable medium can be applied as a uniform film onto large substrates. The small, monodisperse holes produced by this track etching can be used in conjunction with additional thin film processing to create functional structures attached to the substrate. For example, Lawrence Livermore National Laboratory and Candescent Technologies Corporation (CTC) co-developed a process to make arrays of gated field emitters (∼100 nm diameter electron guns) for CTC's Thin CRTTM displays, which have been fabricated to diagonal dimensions >13 in. Additional technological applications of ion tracking lithography will be briefly covered.

  10. Scanning two-photon continuous flow lithography for synthesis of high-resolution 3D microparticles.

    PubMed

    Shaw, Lucas A; Chizari, Samira; Shusteff, Maxim; Naghsh-Nilchi, Hamed; Di Carlo, Dino; Hopkins, Jonathan B

    2018-05-14

    Demand continues to rise for custom-fabricated and engineered colloidal microparticles across a breadth of application areas. This paper demonstrates an improvement in the fabrication rate of high-resolution 3D colloidal particles by using two-photon scanning lithography within a microfluidic channel. To accomplish this, we present (1) an experimental setup that supports fast, 3D scanning by synchronizing a galvanometer, piezoelectric stage, and an acousto-optic switch, and (2) a new technique for modifying the laser's scan path to compensate for the relative motion of the rapidly-flowing photopolymer medium. The result is an instrument that allows for rapid conveyor-belt-like fabrication of colloidal objects with arbitrary 3D shapes and micron-resolution features.

  11. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  12. Recent advances in engineering microparticles and their nascent utilization in biomedical delivery and diagnostic applications.

    PubMed

    Choi, Andrew; Seo, Kyoung Duck; Kim, Do Wan; Kim, Bum Chang; Kim, Dong Sung

    2017-02-14

    Complex microparticles (MPs) bearing unique characteristics such as well-tailored sizes, various morphologies, and multi-compartments have been attempted to be produced by many researchers in the past decades. However, a conventionally used method of fabricating MPs, emulsion polymerization, has a limitation in achieving the aforementioned characteristics and several approaches such as the microfluidics-assisted (droplet-based microfluidics and flow lithography-based microfluidics), electrohydrodynamics (EHD)-based, centrifugation-based, and template-based methods have been recently suggested to overcome this limitation. The outstanding features of complex MPs engineered through these suggested methods have provided new opportunities for MPs to be applied in a wider range of applications including cell carriers, drug delivery agents, active pigments for display, microsensors, interface stabilizers, and catalyst substrates. Overall, the engineered MPs expose their potential particularly in the field of biomedical engineering as the increased complexity in the engineered MPs fulfills well the requirements of the high-end applications. This review outlines the current trends of newly developed techniques used for engineered MPs fabrication and focuses on the current state of engineered MPs in biomedical applications.

  13. Improved mask-based CD uniformity for gridded-design-rule lithography

    NASA Astrophysics Data System (ADS)

    Faivishevsky, Lev; Khristo, Sergey; Sagiv, Amir; Mangan, Shmoolik

    2009-03-01

    The difficulties encountered during lithography of state-of-the-art 2D patterns are formidable, and originate from the fact that deep sub-wavelength features are being printed. This results in a practical limit of k1 >=0.4 as well as a multitude of complex restrictive design rules, in order to mitigate or minimize lithographic hot spots. An alternative approach, that is gradually attracting the lithographic community's attention, restricts the design of critical layers to straight, dense lines (a 1D grid), that can be relatively easily printed using current lithographic technology. This is then followed by subsequent, less critical trimming stages to obtain circuit functionality. Thus, the 1D gridded approach allows hotspot-free, proximity-effect free lithography of ultra low- k1 features. These advantages must be supported by a stable CD control mechanism. One of the overriding parameters impacting CDU performance is photo mask quality. Previous publications have demonstrated that IntenCDTM - a novel, mask-based CDU mapping technology running on Applied Materials' Aera2TM aerial imaging mask inspection tool - is ideally fit for detecting mask-based CDU issues in 1D (L&S) patterned masks for memory production. Owing to the aerial nature of image formation, IntenCD directly probes the CD as it is printed on the wafer. In this paper we suggest that IntenCD is naturally fit for detecting mask-based CDU issues in 1D GDR masks. We then study a novel method of recovering and quantifying the physical source of printed CDU, using a novel implementation of the IntenCD technology. We demonstrate that additional, simple measurements, which can be readily performed on board the Aera2TM platform with minimal throughput penalty, may complement IntenCD and allow a robust estimation of the specific nature and strength of mask error source, such as pattern width variation or phase variation, which leads to CDU issues on the printed wafer. We finally discuss the roles played by

  14. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  15. Manufacturing and advanced characterization of sub-25nm diameter CD-AFM probes with sub-10nm tip edges radius

    NASA Astrophysics Data System (ADS)

    Foucher, Johann; Filippov, Pavel; Penzkofer, Christian; Irmer, Bernd; Schmidt, Sebastian W.

    2013-04-01

    Atomic force microscopy (AFM) is increasingly used in the semiconductor industry as a versatile monitoring tool for highly critical lithography and etching process steps. Applications range from the inspection of the surface roughness of new materials, over accurate depth measurements to the determination of critical dimension structures. The aim to address the rapidly growing demands on measurement uncertainty and throughput more and more shifts the focus of attention to the AFM tip, which represents the crucial link between AFM tool and the sample to be monitored. Consequently, in order to reach the AFM tool's full potential, the performance of the AFM tip has to be considered as a determining parameter. Currently available AFM tips made from silicon are generally limited by their diameter, radius, and sharpness, considerably restricting the AFM measurement capabilities on sub-30nm spaces. In addition to that, there's lack of adequate characterization structures to accurately characterize sub-25nm tip diameters. Here, we present and discuss a recently introduced AFM tip design (T-shape like design) with precise tip diameters down to 15nm and tip radii down to 5nm fabricated from amorphous, high density diamond-like carbon (HDC/DLC) using electron beam induced processing (EBIP). In addition to that advanced design, we propose a new characterizer structure, which allows for accurate characterization and design control of sub-25nm tip diameters and sub-10nm tip edges radii. We demonstrate the potential advantages of combining a small tip shape design, i.e. tip diameter and tip edge radius, and an advanced tip characterizer for the semiconductor industry by the measurement of advanced lithography patterns.

  16. Economic consequences of high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Hartley, John G.; Govindaraju, Lakshmi

    2005-11-01

    Many people in the semiconductor industry bemoan the high costs of masks and view mask cost as one of the significant barriers to bringing new chip designs to market. All that is needed is a viable maskless technology and the problem will go away. Numerous sites around the world are working on maskless lithography but inevitably, the question asked is "Wouldn't a one wafer per hour maskless tool make a really good mask writer?" Of course, the answer is yes, the hesitation you hear in the answer isn't based on technology concerns, it's financial. The industry needs maskless lithography because mask costs are too high. Mask costs are too high because mask pattern generators (PG's) are slow and expensive. If mask PG's become much faster, mask costs go down, the maskless market goes away and the PG supplier is faced with an even smaller tool demand from the mask shops. Technical success becomes financial suicide - or does it? In this paper we will present the results of a model that examines some of the consequences of introducing high throughput maskless pattern generation. Specific features in the model include tool throughput for masks and wafers, market segmentation by node for masks and wafers and mask cost as an entry barrier to new chip designs. How does the availability of low cost masks and maskless tools affect the industries tool makeup and what is the ultimate potential market for high throughput maskless pattern generators?

  17. Method for maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.« less

  18. The future of EUV lithography: enabling Moore's Law in the next decade

    NASA Astrophysics Data System (ADS)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  19. Fabrication of superconducting nanowire single-photon detectors by nonlinear femtosecond optical lithography

    NASA Astrophysics Data System (ADS)

    Minaev, N. V.; Tarkhov, M. A.; Dudova, D. S.; Timashev, P. S.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-02-01

    This paper describes a new approach to the fabrication of superconducting nanowire single-photon detectors from ultrathin NbN films on SiO2 substrates. The technology is based on nonlinear femtosecond optical lithography and includes direct formation of the sensitive element of the detector (the meander) through femtosecond laser exposure of the polymethyl methacrylate resist at a wavelength of 525 nm and subsequent removal of NbN using plasma-chemical etching. The nonlinear femtosecond optical lithography method allows the formation of planar structures with a spatial resolution of ~50 nm. These structures were used to fabricate single-photon superconducting detectors with quantum efficiency no worse than 8% at a wavelength of 1310 nm and dark count rate of 10 s-1 at liquid helium temperature.

  20. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    NASA Astrophysics Data System (ADS)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  1. Pressure driven digital logic in PDMS based microfluidic devices fabricated by multilayer soft lithography.

    PubMed

    Devaraju, Naga Sai Gopi K; Unger, Marc A

    2012-11-21

    Advances in microfluidics now allow an unprecedented level of parallelization and integration of biochemical reactions. However, one challenge still faced by the field has been the complexity and cost of the control hardware: one external pressure signal has been required for each independently actuated set of valves on chip. Using a simple post-modification to the multilayer soft lithography fabrication process, we present a new implementation of digital fluidic logic fully analogous to electronic logic with significant performance advances over the previous implementations. We demonstrate a novel normally closed static gain valve capable of modulating pressure signals in a fashion analogous to an electronic transistor. We utilize these valves to build complex fluidic logic circuits capable of arbitrary control of flows by processing binary input signals (pressure (1) and atmosphere (0)). We demonstrate logic gates and devices including NOT, NAND and NOR gates, bi-stable flip-flops, gated flip-flops (latches), oscillators, self-driven peristaltic pumps, delay flip-flops, and a 12-bit shift register built using static gain valves. This fluidic logic shows cascade-ability, feedback, programmability, bi-stability, and autonomous control capability. This implementation of fluidic logic yields significantly smaller devices, higher clock rates, simple designs, easy fabrication, and integration into MSL microfluidics.

  2. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  3. Photoinhibition superresolution lithography

    NASA Astrophysics Data System (ADS)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  4. High precision processing CaF2 application research based on the magnetorheological finishing (MRF) technology

    NASA Astrophysics Data System (ADS)

    Zhong, Xianyun; Fan, Bin; Wu, Fan

    2017-10-01

    Single crystal calcium fluoride (CaF2) is the excellent transparent optical substance that has extremely good permeability and refractive index from 120nm wavelength ultraviolet range to 12μm wavelength infrared range and it has widely used in the applications of various advanced optical instrument, such as infrared optical systems (IR), short wavelength optical lithography systems (DUV), as well as high power UV laser systems. Nevertheless, the characteristics of CaF2 material, including low fracture toughness, low hardness, low thermal conductivity and high thermal expansion coefficient, result in that the conventional pitch polishing techniques usually expose to lots of problems, such as subsurface damage, scratches, digs and so on. Single point diamond turning (SPDT) is a prospective technology for manufacture the brittle material, but the residual surface textures or artifacts of SPDT will cause great scattering losses. Meanwhile, the roughness also falls far short from the requirement in the short wavelength optical systems. So, the advanced processing technologies for obtaining the shape accuracy, roughness, surface flaw at the same time need to put forward. In this paper, the authors investigate the Magnetorheological Finishing (MRF) technology for the high precision processing of CaF2 material. We finish the surface accuracy RMS λ/150 and roughness Rq 0.3nm on the concave aspheric from originate shape error 0.7λ and roughness 17nm by the SPDT. The studying of the MRF techniques makes a great effort to the processing level of CaF2 material for the state-of-the-art DUV lithography systems applications.

  5. Applications and Advances in Electronic-Nose Technologies

    PubMed Central

    Wilson, Alphus D.; Baietto, Manuela

    2009-01-01

    Electronic-nose devices have received considerable attention in the field of sensor technology during the past twenty years, largely due to the discovery of numerous applications derived from research in diverse fields of applied sciences. Recent applications of electronic nose technologies have come through advances in sensor design, material improvements, software innovations and progress in microcircuitry design and systems integration. The invention of many new e-nose sensor types and arrays, based on different detection principles and mechanisms, is closely correlated with the expansion of new applications. Electronic noses have provided a plethora of benefits to a variety of commercial industries, including the agricultural, biomedical, cosmetics, environmental, food, manufacturing, military, pharmaceutical, regulatory, and various scientific research fields. Advances have improved product attributes, uniformity, and consistency as a result of increases in quality control capabilities afforded by electronic-nose monitoring of all phases of industrial manufacturing processes. This paper is a review of the major electronic-nose technologies, developed since this specialized field was born and became prominent in the mid 1980s, and a summarization of some of the more important and useful applications that have been of greatest benefit to man. PMID:22346690

  6. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Lu, Xiaoming; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2012-07-01

    Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high-end memory devices. Defects occurring during imprinting can generally be broken into two categories; random defects and repeating defects. Examples of random defects include fluid phase imprint defects, such as bubbles, and solid phase imprint defects, such as line collapse. Examples of repeater defects include mask fabrication defects and particle induced defects. Previous studies indicated that soft particles cause nonrepeating defects. Hard particles, on the other hand, can cause either permanent resist plugging or mask damage. In a previous study, two specific defect types were examined; random nonfill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. We attempted to identify the different types of imprint defect types using a mask with line/space patterns at dimensions as small as 26 nm. An Imprio 500 twenty-wafer per hour development tool was used to study the various defect types. The imprint defect density was reduced nearly four orders of magnitude, down to ˜4/cm2 in a period of two years following the availability of low defect imprint masks at 26-nm half-pitch. This reduction was achieved by identifying the root cause of various defects and then taking the appropriate corrective action.

  7. Directional photofluidization lithography: micro/nanostructural evolution by photofluidic motions of azobenzene materials.

    PubMed

    Lee, Seungwoo; Kang, Hong Suk; Park, Jung-Ki

    2012-04-24

    This review demonstrates directional photofluidization lithography (DPL), which makes it possible to fabricate a generic and sophisticated micro/nanoarchitecture that would be difficult or impossible to attain with other methods. In particular, DPL differs from many of the existing micro/nanofabrication methods in that the post-treatment (i.e., photofluidization), after the preliminary fabrication process of the original micro/nanostructures, plays a pivotal role in the various micro/nanostructural evolutions including the deterministic reshaping of architectures, the reduction of structural roughness, and the dramatic enhancement of pattern resolution. Also, DPL techniques are directly compatible with a parallel and scalable micro/nanofabrication. Thus, DPL with such extraordinary advantages in micro/nanofabrication could provide compelling opportunities for basic micro/nanoscale science as well as for general technology applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  9. 14 CFR 151.117 - Advance planning proposals: Procedures; application.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 14 Aeronautics and Space 3 2013-01-01 2013-01-01 false Advance planning proposals: Procedures; application. 151.117 Section 151.117 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION (CONTINUED) AIRPORTS FEDERAL AID TO AIRPORTS Rules and Procedures for Advance Planning and...

  10. 14 CFR 151.117 - Advance planning proposals: Procedures; application.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 14 Aeronautics and Space 3 2012-01-01 2012-01-01 false Advance planning proposals: Procedures; application. 151.117 Section 151.117 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION (CONTINUED) AIRPORTS FEDERAL AID TO AIRPORTS Rules and Procedures for Advance Planning and...

  11. 14 CFR 151.117 - Advance planning proposals: Procedures; application.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 14 Aeronautics and Space 3 2014-01-01 2014-01-01 false Advance planning proposals: Procedures; application. 151.117 Section 151.117 Aeronautics and Space FEDERAL AVIATION ADMINISTRATION, DEPARTMENT OF TRANSPORTATION (CONTINUED) AIRPORTS FEDERAL AID TO AIRPORTS Rules and Procedures for Advance Planning and...

  12. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    NASA Astrophysics Data System (ADS)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  13. Gap Fill Materials Using Cyclodextrin Derivatives in ArF Lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Shinjo, Tetsuya; Sakaida, Yasushi; Hashimoto, Keisuke

    2007-11-01

    derivatives. The cyclodextrin derivatives may be applicable as a new type of sacrificial material under the photoresist in ArF lithography.

  14. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  15. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    NASA Astrophysics Data System (ADS)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  16. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    NASA Astrophysics Data System (ADS)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  17. New self-assembly strategies for next generation lithography

    NASA Astrophysics Data System (ADS)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  18. Extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Sweeney, Donald W.; Shafer, David; McGuire, James

    2001-01-01

    Condenser system for use with a ringfield camera in projection lithography where the condenser includes a series of segments of a parent aspheric mirror having one foci at a quasi-point source of radiation and the other foci at the radius of a ringfield have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ringfield camera about one of the beams and fall onto the ringfield radius as a coincident image as an arc of the ringfield. The condenser has a set of correcting mirrors with one of the correcting mirrors of each set, or a mirror that is common to said sets of mirrors, from which the radiation emanates, is a concave mirror that is positioned to shape a beam segment having a chord angle of about 25 to 85 degrees into a second beam segment having a chord angle of about 0 to 60 degrees.

  19. Water Management Applications of Advanced Precipitation Products

    NASA Astrophysics Data System (ADS)

    Johnson, L. E.; Braswell, G.; Delaney, C.

    2012-12-01

    Advanced precipitation sensors and numerical models track storms as they occur and forecast the likelihood of heavy rain for time frames ranging from 1 to 8 hours, 1 day, and extended outlooks out to 3 to 7 days. Forecast skill decreases at the extended time frames but the outlooks have been shown to provide "situational awareness" which aids in preparation for flood mitigation and water supply operations. In California the California-Nevada River Forecast Centers and local Weather Forecast Offices provide precipitation products that are widely used to support water management and flood response activities of various kinds. The Hydrometeorology Testbed (HMT) program is being conducted to help advance the science of precipitation tracking and forecasting in support of the NWS. HMT high-resolution products have found applications for other non-federal water management activities as well. This presentation will describe water management applications of HMT advanced precipitation products, and characterization of benefits expected to accrue. Two case examples will be highlighted, 1) reservoir operations for flood control and water supply, and 2) urban stormwater management. Application of advanced precipitation products in support of reservoir operations is a focus of the Sonoma County Water Agency. Examples include: a) interfacing the high-resolution QPE products with a distributed hydrologic model for the Russian-Napa watersheds, b) providing early warning of in-coming storms for flood preparedness and water supply storage operations. For the stormwater case, San Francisco wastewater engineers are developing a plan to deploy high resolution gap-filling radars looking off shore to obtain longer lead times on approaching storms. A 4 to 8 hour lead time would provide opportunity to optimize stormwater capture and treatment operations, and minimize combined sewer overflows into the Bay.ussian River distributed hydrologic model.

  20. Advanced Turbine Technology Applications Project (ATTAP)

    NASA Technical Reports Server (NTRS)

    1989-01-01

    Work to develop and demonstrate the technology of structural ceramics for automotive engines and similar applications is described. Long-range technology is being sought to produce gas turbine engines for automobiles with reduced fuel consumption and reduced environmental impact. The Advanced Turbine Technology Application Project (ATTAP) test bed engine is designed such that, when installed in a 3,000 pound inertia weight automobile, it will provide low emissions, 42 miles per gallon fuel economy on diesel fuel, multifuel capability, costs competitive with current spark ignition engines, and noise and safety characteristics that meet Federal standards.

  1. Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3-D arrays on glass substrates

    NASA Astrophysics Data System (ADS)

    Wiles, Kenton B.; Wiles, Natasha S.; Herlihy, Kevin P.; Maynor, Benjamin W.; Rolland, Jason P.; DeSimone, Joseph M.

    2006-03-01

    The fabrication of nanometer size structures and complex devices for microelectronics is of increasing importance so as to meet the challenges of large-scale commercial applications. Soft lithography typically employs elastomeric polydimethylsiloxane (PDMS) molds to replicate micro- and nanoscale features. However, the difficulties of PDMS for nanoscale fabrication include inherent incompatibility with organic liquids and the production of a residual scum or flash layer that link features where the nano-structures meet the substrate. An emerging technologically advanced technique known as Pattern Replication in Non-wetting Templates (PRINT) avoids both of these dilemmas by utilizing photocurable perfluorinated polyether (PFPE) rather than PDMS as the elastomeric molding material. PFPE is a liquid at room temperature that exhibits low modulus and high gas permeability when cured. The highly fluorinated PFPE material allows for resistance to swelling by organic liquids and very low surface energies, thereby preventing flash layer formation and ease of separation of PFPE molds from the substrates. These enhanced characteristics enable easy removal of the stamp from the molded material, thereby minimizing damage to the nanoscale features. Herein we describe that PRINT can be operated in two different modes depending on whether the objects to be molded are to be removed and harvested (i.e. to make shape specific organic particles) or whether scum free objects are desired which are adhered onto the substrate (i.e. for scum free pattern generation using imprint lithography). The former can be achieved using a non-reactive, low surface energy substrate (PRINT: Particle Replication in Non-wetting Templates) and the latter can be achieved using a reactive, low surface energy substrate (PRINT: Pattern Replication in Non-wetting Templates). We show that the PRINT technology can been used to fabricate nano-particle arrays covalently bound to a glass substrate with no scum layer

  2. Advanced Ceramic Materials for Future Aerospace Applications

    NASA Technical Reports Server (NTRS)

    Misra, Ajay

    2015-01-01

    With growing trend toward higher temperature capabilities, lightweight, and multifunctionality, significant advances in ceramic matrix composites (CMCs) will be required for future aerospace applications. The presentation will provide an overview of material requirements for future aerospace missions, and the role of ceramics and CMCs in meeting those requirements. Aerospace applications will include gas turbine engines, aircraft structure, hypersonic and access to space vehicles, space power and propulsion, and space communication.

  3. High-power disk lasers: advances and applications

    NASA Astrophysics Data System (ADS)

    Havrilla, David; Ryba, Tracey; Holzer, Marco

    2012-03-01

    Though the genesis of the disk laser concept dates to the early 90's, the disk laser continues to demonstrate the flexibility and the certain future of a breakthrough technology. On-going increases in power per disk, and improvements in beam quality and efficiency continue to validate the genius of the disk laser concept. As of today, the disk principle has not reached any fundamental limits regarding output power per disk or beam quality, and offers numerous advantages over other high power resonator concepts, especially over monolithic architectures. With about 2,000 high power disk lasers installations, and a demand upwards of 1,000 lasers per year, the disk laser has proven to be a robust and reliable industrial tool. With advancements in running cost, investment cost and footprint, manufacturers continue to implement disk laser technology with more vigor than ever. This paper will explain recent advances in disk laser technology and process relevant features of the laser, like pump diode arrangement, resonator design and integrated beam guidance. In addition, advances in applications in the thick sheet area and very cost efficient high productivity applications like remote welding, remote cutting and cutting of thin sheets will be discussed.

  4. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  5. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  6. A survey of advanced battery systems for space applications

    NASA Technical Reports Server (NTRS)

    Attia, Alan I.

    1989-01-01

    The results of a survey on advanced secondary battery systems for space applications are presented. Fifty-five battery experts from government, industry and universities participated in the survey by providing their opinions on the use of several battery types for six space missions, and their predictions of likely technological advances that would impact the development of these batteries. The results of the survey predict that only four battery types are likely to exceed a specific energy of 150 Wh/kg and meet the safety and reliability requirements for space applications within the next 15 years.

  7. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    NASA Astrophysics Data System (ADS)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  8. DNA-Assembled Advanced Plasmonic Architectures.

    PubMed

    Liu, Na; Liedl, Tim

    2018-03-28

    The interaction between light and matter can be controlled efficiently by structuring materials at a length scale shorter than the wavelength of interest. With the goal to build optical devices that operate at the nanoscale, plasmonics has established itself as a discipline, where near-field effects of electromagnetic waves created in the vicinity of metallic surfaces can give rise to a variety of novel phenomena and fascinating applications. As research on plasmonics has emerged from the optics and solid-state communities, most laboratories employ top-down lithography to implement their nanophotonic designs. In this review, we discuss the recent, successful efforts of employing self-assembled DNA nanostructures as scaffolds for creating advanced plasmonic architectures. DNA self-assembly exploits the base-pairing specificity of nucleic acid sequences and allows for the nanometer-precise organization of organic molecules but also for the arrangement of inorganic particles in space. Bottom-up self-assembly thus bypasses many of the limitations of conventional fabrication methods. As a consequence, powerful tools such as DNA origami have pushed the boundaries of nanophotonics and new ways of thinking about plasmonic designs are on the rise.

  9. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  10. The Scanning Electron Microscope As An Accelerator For The Undergraduate Advanced Physics Laboratory

    NASA Astrophysics Data System (ADS)

    Peterson, Randolph S.; Berggren, Karl K.; Mondol, Mark

    2011-06-01

    Few universities or colleges have an accelerator for use with advanced physics laboratories, but many of these institutions have a scanning electron microscope (SEM) on site, often in the biology department. As an accelerator for the undergraduate, advanced physics laboratory, the SEM is an excellent substitute for an ion accelerator. Although there are no nuclear physics experiments that can be performed with a typical 30 kV SEM, there is an opportunity for experimental work on accelerator physics, atomic physics, electron-solid interactions, and the basics of modern e-beam lithography.

  11. Precision process calibration and CD predictions for low-k1 lithography

    NASA Astrophysics Data System (ADS)

    Chen, Ting; Park, Sangbong; Berger, Gabriel; Coskun, Tamer H.; de Vocht, Joep; Chen, Fung; Yu, Linda; Hsu, Stephen; van den Broeke, Doug; Socha, Robert; Park, Jungchul; Gronlund, Keith; Davis, Todd; Plachecki, Vince; Harris, Tom; Hansen, Steve; Lambson, Chuck

    2005-06-01

    Leading resist calibration for sub-0.3 k1 lithography demands accuracy <2nm for CD through pitch. An accurately calibrated resist process is the prerequisite for establishing production-worthy manufacturing under extreme low k1. From an integrated imaging point of view, the following key components must be simultaneously considered during the calibration - high numerical aperture (NA>0.8) imaging characteristics, customized illuminations (measured vs. modeled pupil profiles), resolution enhancement technology (RET) mask with OPC, reticle metrology, and resist thin film substrate. For imaging at NA approaching unity, polarized illumination can impact significantly the contrast formation in the resist film stack, and therefore it is an important factor to consider in the CD-based resist calibration. For aggressive DRAM memory core designs at k1<0.3, pattern-specific illumination optimization has proven to be critical for achieving the required imaging performance. Various optimization techniques from source profile optimization with fixed mask design to the combined source and mask optimization have been considered for customer designs and available imaging capabilities. For successful low-k1 process development, verification of the optimization results can only be made with a sufficiently tunable resist model that can predicate the wafer printing accurately under various optimized process settings. We have developed, for resist patterning under aggressive low-k1 conditions, a novel 3D diffusion model equipped with double-Gaussian convolution in each dimension. Resist calibration with the new diffusion model has demonstrated a fitness and CD predication accuracy that rival or outperform the traditional 3D physical resist models. In this work, we describe our empirical approach to achieving the nm-scale precision for advanced lithography process calibrations, using either measured 1D CD through-pitch or 2D memory core patterns. We show that for ArF imaging, the

  12. Nanosphere Lithography on Fiber: Towards Engineered Lab-On-Fiber SERS Optrodes

    PubMed Central

    Quero, Giuseppe; Zito, Gianluigi; Cusano, Andrea

    2018-01-01

    In this paper we report on the engineering of repeatable surface enhanced Raman scattering (SERS) optical fiber sensor devices (optrodes), as realized through nanosphere lithography. The Lab-on-Fiber SERS optrode consists of polystyrene nanospheres in a close-packed arrays configuration covered by a thin film of gold on the optical fiber tip. The SERS surfaces were fabricated by using a nanosphere lithography approach that is already demonstrated as able to produce highly repeatable patterns on the fiber tip. In order to engineer and optimize the SERS probes, we first evaluated and compared the SERS performances in terms of Enhancement Factor (EF) pertaining to different patterns with different nanosphere diameters and gold thicknesses. To this aim, the EF of SERS surfaces with a pitch of 500, 750 and 1000 nm, and gold films of 20, 30 and 40 nm have been retrieved, adopting the SERS signal of a monolayer of biphenyl-4-thiol (BPT) as a reliable benchmark. The analysis allowed us to identify of the most promising SERS platform: for the samples with nanospheres diameter of 500 nm and gold thickness of 30 nm, we measured values of EF of 4 × 105, which is comparable with state-of-the-art SERS EF achievable with highly performing colloidal gold nanoparticles. The reproducibility of the SERS enhancement was thoroughly evaluated. In particular, the SERS intensity revealed intra-sample (i.e., between different spatial regions of a selected substrate) and inter-sample (i.e., between regions of different substrates) repeatability, with a relative standard deviation lower than 9 and 15%, respectively. Finally, in order to determine the most suitable optical fiber probe, in terms of excitation/collection efficiency and Raman background, we selected several commercially available optical fibers and tested them with a BPT solution used as benchmark. A fiber probe with a pure silica core of 200 µm diameter and high numerical aperture (i.e., 0.5) was found to be the most

  13. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  14. Nanostructures Enabled by On-Wire Lithography (OWL)

    PubMed Central

    Braunschweig, Adam B.; Schmucker, Abrin L.; Wei, Wei David; Mirkin, Chad A.

    2010-01-01

    Nanostructures fabricated by a novel technique, termed On-Wire-Lithography (OWL), can be combined with organic and biological molecules to create systems with emergent and highly functional properties. OWL is a template-based, electrochemical process for forming gapped cylindrical structures on a solid support, with feature sizes (both gap and segment length) that can be controlled on the sub-100 nm length scale. Structures prepared by this method have provided valuable insight into the plasmonic properties of noble metal nanomaterials and have formed the basis for novel molecular electronic, encoding, and biological detection devices. PMID:20396668

  15. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    NASA Astrophysics Data System (ADS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  16. AFM-based micro/nanoscale lithography of poly(dimethylsiloxane): stick-slip on a softpolymer

    NASA Astrophysics Data System (ADS)

    Watson, Jolanta A.; Myhra, Sverre; Brown, Christopher L.; Watson, Gregory S.

    2005-02-01

    Silicone rubbers have steadily gained importance in industry since their introduction in the 1960"s. Poly(dimethylsiloxane) (PDMS) is a relatively soft and optically clear, two-part elastomer with interesting and, more importantly, useful physical and electrical properties. Some of its common applications include protective coatings (e.g., against moisture, environmental attack, mechanical and thermal shock and vibrations), and encapsulation (e.g., amplifiers, inductive coils, connectors and circuit boards). The polymer has attracted recent interest for applications in soft lithography. The polymer is now routinely used as a patterned micro-stamp for chemical modification of surfaces, in particular Au substrates. Prominent stick-slip effects, surface relaxation and elastic recovery were found to be associated with micro/nano manipulation of the polymer by an AFM-based contact mode methodology. Those effects provide the means to explore in detail the meso-scale tip-to-surface interactions between a tip and a soft surface. The dependence of scan speed, loading force, attack angle and number of scan lines have been investigated.

  17. Recent Advances in Cyanamide Chemistry: Synthesis and Applications.

    PubMed

    Prabhath, M R Ranga; Williams, Luke; Bhat, Shreesha V; Sharma, Pallavi

    2017-04-12

    The application of alkyl and aryl substituted cyanamides in synthetic chemistry has diversified multi-fold in recent years. In this review, we discuss recent advances (since 2012) in the chemistry of cyanamides and detail their application in cycloaddition chemistry, aminocyanation reactions, as well as electrophilic cyanide-transfer agents and their unique radical and coordination chemistry.

  18. Immersion lithography: its history, current status and future prospects

    NASA Astrophysics Data System (ADS)

    Owa, Soichi; Nagasaka, Hiroyuki

    2008-11-01

    Since the 1980's, immersion exposure has been proposed several times. At the end of 1990's, however, these concepts were almost forgotten because other technologies, such as electron beam projection, EUVL, and 157 nm were believed to be more promising than immersion exposures. The current work in immersion lithography started in 2001 with the report of Switkes and Rothschild. Although their first proposal was at 157 nm wavelength, their report in the following year on 193 nm immersion with purified water turned out to be the turning point for the introduction of water-based 193 nm immersion lithography. In February, 2003, positive feasibility study results of 193 nm immersion were presented at the SPIE microlithography conference. Since then, the development of 193 nm immersion exposure tools accelerated. Currently (year 2008), multiple hyper NA (NA>1.0) scanners are generating mass production 45 nm half pitch devices in semiconductor manufacturing factories. As a future extension, high index immersion was studied over the past few years, but material development lagged more than expected, which resulted in the cancellation of high index immersion plans at scanner makers. Instead, double patterning, double dipole exposure, and customized illuminations techniques are expected as techniques to extend immersion for the 32 nm node and beyond.

  19. Micro-optical foundry: 3D lithography by freezing liquid instabilities at nanoscale

    NASA Astrophysics Data System (ADS)

    Grilli, S.; Coppola, S.; Vespini, V.; Merola, F.; Finizio, A.; Ferraro, P.

    2012-06-01

    The pyroelectric functionality of a Lithium Niobate (LN) substrate is used for non-contact manipulation of polymeric material. In this work we introduced a novel approach for fabricating a wide variety of soft solid-like microstructures, thus leading to a new concept in 3D lithography. A relatively easy to accomplish technique has been demonstrated for curing different transient stages of polymer fluids by rapid cross-linking of PDMS. The method is twofold innovative thanks to the electrode-less configuration and to the rapid formation of a wide variety of 3D solid-like structures by exploiting polymer instabilities. This new and unique technique is named "pyro-electrohydrodynamic (PEHD) lithography", meaning the generation of structures by using forces produced by electric fields generated by the pyroelectric effect. The fabrication of polymer wires, needles, pillars, cones, or microspheres is reported, and practical proofs of their use in photonics are presented.

  20. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  1. Spun-wrapped aligned nanofiber (SWAN) lithography for fabrication of micro/nano-structures on 3D objects

    NASA Astrophysics Data System (ADS)

    Ye, Zhou; Nain, Amrinder S.; Behkam, Bahareh

    2016-06-01

    Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for fabrication of multiscale (nano to microscale) structures on 3D objects without restriction on substrate material and geometry. SWAN lithography combines precise deposition of polymeric nanofiber masks, in aligned single or multilayer configurations, with well-controlled solvent vapor treatment and etching processes to enable high throughput (>10-7 m2 s-1) and large-area fabrication of sub-50 nm to several micron features with high pattern fidelity. Using this technique, we demonstrate whole-surface nanopatterning of bulk and thin film surfaces of cubes, cylinders, and hyperbola-shaped objects that would be difficult, if not impossible to achieve with existing methods. We demonstrate that the fabricated feature size (b) scales with the fiber mask diameter (D) as b1.5 ~ D. This scaling law is in excellent agreement with theoretical predictions using the Johnson, Kendall, and Roberts (JKR) contact theory, thus providing a rational design framework for fabrication of systems and devices that require precisely designed multiscale features.Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for

  2. Tunable multipole resonances in plasmonic crystals made by four-beam holographic lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luo, Y.; Li, X.; Zhang, X.

    2016-02-01

    Plasmonic nanostructures confine light to sub-wavelength scales, resulting in drastically enhanced light-matter interactions. Recent interest has focused on controlled symmetry breaking to create higher-order multipole plasmonic modes that store electromagnetic energy more efficiently than dipole modes. Here we demonstrate that four-beam holographic lithography enables fabrication of large-area plasmonic crystals with near-field coupled plasmons as well as deliberately broken symmetry to sustain multipole modes and Fano-resonances. Compared with the spectrally broad dipole modes we demonstrate an order of magnitude improved Q-factors (Q = 21) when the quadrupole mode is activated. We further demonstrate continuous tuning of the Fano-resonances using the polarization state ofmore » the incident light beam. The demonstrated technique opens possibilities to extend the rich physics of multipole plasmonic modes to wafer-scale applications that demand low-cost and high-throughput.« less

  3. Application of Advanced Technology to Undergraduate Medical Education. Memorandum.

    ERIC Educational Resources Information Center

    Farquhar, J. A.; And Others

    Advanced technology will have a great effect on medical education because it can speed up medical education and boost the quality of instruction without straining the capacity of medical schools to expand or driving costs to unreasonable levels. Six examples of an application of advanced technology to medical education are described in this…

  4. Quantum memories: emerging applications and recent advances

    NASA Astrophysics Data System (ADS)

    Heshami, Khabat; England, Duncan G.; Humphreys, Peter C.; Bustard, Philip J.; Acosta, Victor M.; Nunn, Joshua; Sussman, Benjamin J.

    2016-11-01

    Quantum light-matter interfaces are at the heart of photonic quantum technologies. Quantum memories for photons, where non-classical states of photons are mapped onto stationary matter states and preserved for subsequent retrieval, are technical realizations enabled by exquisite control over interactions between light and matter. The ability of quantum memories to synchronize probabilistic events makes them a key component in quantum repeaters and quantum computation based on linear optics. This critical feature has motivated many groups to dedicate theoretical and experimental research to develop quantum memory devices. In recent years, exciting new applications, and more advanced developments of quantum memories, have proliferated. In this review, we outline some of the emerging applications of quantum memories in optical signal processing, quantum computation and non-linear optics. We review recent experimental and theoretical developments, and their impacts on more advanced photonic quantum technologies based on quantum memories.

  5. Quantum memories: emerging applications and recent advances.

    PubMed

    Heshami, Khabat; England, Duncan G; Humphreys, Peter C; Bustard, Philip J; Acosta, Victor M; Nunn, Joshua; Sussman, Benjamin J

    2016-11-12

    Quantum light-matter interfaces are at the heart of photonic quantum technologies. Quantum memories for photons, where non-classical states of photons are mapped onto stationary matter states and preserved for subsequent retrieval, are technical realizations enabled by exquisite control over interactions between light and matter. The ability of quantum memories to synchronize probabilistic events makes them a key component in quantum repeaters and quantum computation based on linear optics. This critical feature has motivated many groups to dedicate theoretical and experimental research to develop quantum memory devices. In recent years, exciting new applications, and more advanced developments of quantum memories, have proliferated. In this review, we outline some of the emerging applications of quantum memories in optical signal processing, quantum computation and non-linear optics. We review recent experimental and theoretical developments, and their impacts on more advanced photonic quantum technologies based on quantum memories.

  6. Quantum memories: emerging applications and recent advances

    PubMed Central

    Heshami, Khabat; England, Duncan G.; Humphreys, Peter C.; Bustard, Philip J.; Acosta, Victor M.; Nunn, Joshua; Sussman, Benjamin J.

    2016-01-01

    Quantum light–matter interfaces are at the heart of photonic quantum technologies. Quantum memories for photons, where non-classical states of photons are mapped onto stationary matter states and preserved for subsequent retrieval, are technical realizations enabled by exquisite control over interactions between light and matter. The ability of quantum memories to synchronize probabilistic events makes them a key component in quantum repeaters and quantum computation based on linear optics. This critical feature has motivated many groups to dedicate theoretical and experimental research to develop quantum memory devices. In recent years, exciting new applications, and more advanced developments of quantum memories, have proliferated. In this review, we outline some of the emerging applications of quantum memories in optical signal processing, quantum computation and non-linear optics. We review recent experimental and theoretical developments, and their impacts on more advanced photonic quantum technologies based on quantum memories. PMID:27695198

  7. Fabrication of Tunnel Junctions For Direct Detector Arrays With Single-Electron Transistor Readout Using Electron-Beam Lithography

    NASA Technical Reports Server (NTRS)

    Stevenson, T. R.; Hsieh, W.-T.; Li, M. J.; Stahle, C. M.; Rhee, K. W.; Teufel, J.; Schoelkopf, R. J.

    2002-01-01

    This paper will describe the fabrication of small aluminum tunnel junctions for applications in astronomy. Antenna-coupled superconducting tunnel junctions with integrated single-electron transistor readout have the potential for photon-counting sensitivity at sub-millimeter wavelengths. The junctions for the detector and single-electron transistor can be made with electron-beam lithography and a standard self-aligned double-angle deposition process. However, high yield and uniformity of the junctions is required for large-format detector arrays. This paper will describe how measurement and modification of the sensitivity ratio in the resist bilayer was used to greatly improve the reliability of forming devices with uniform, sub-micron size, low-leakage junctions.

  8. Advanced decision aiding techniques applicable to space

    NASA Technical Reports Server (NTRS)

    Kruchten, Robert J.

    1987-01-01

    RADC has had an intensive program to show the feasibility of applying advanced technology to Air Force decision aiding situations. Some aspects of the program, such as Satellite Autonomy, are directly applicable to space systems. For example, RADC has shown the feasibility of decision aids that combine the advantages of laser disks and computer generated graphics; decision aids that interface object-oriented programs with expert systems; decision aids that solve path optimization problems; etc. Some of the key techniques that could be used in space applications are reviewed. Current applications are reviewed along with their advantages and disadvantages, and examples are given of possible space applications. The emphasis is to share RADC experience in decision aiding techniques.

  9. Micro-optics: enabling technology for illumination shaping in optical lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard

    2014-03-01

    Optical lithography has been the engine that has empowered semiconductor industry to continually reduce the half-pitch for over 50 years. In early mask aligners a simple movie lamp was enough to illuminate the photomask. Illumination started to play a more decisive role when proximity mask aligners appeared in the mid-1970s. Off-axis illumination was introduced to reduce diffraction effects. For early projection lithography systems (wafer steppers), the only challenge was to collect the light efficiently to ensure short exposure time. When projection optics reached highest level of perfection, further improvement was achieved by optimizing illumination. Shaping the illumination light, also referred as pupil shaping, allows the optical path from reticle to wafer to be optimized and thus has a major impact on aberrations and diffraction effects. Highly-efficient micro-optical components are perfectly suited for this task. Micro-optics for illumination evolved from simple flat-top (fly's-eye) to annular, dipole, quadrupole, multipole and freeform illumination. Today, programmable micro-mirror arrays allow illumination to be changed on the fly. The impact of refractive, diffractive and reflective microoptics for photolithography will be discussed.

  10. Business dynamics of lithography at very low k1 factors

    NASA Astrophysics Data System (ADS)

    Harrell, Sam; Preil, Moshe E.

    1999-07-01

    Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.

  11. Nano-patterned SU-8 surface using nanosphere-lithography for enhanced neuronal cell growth

    NASA Astrophysics Data System (ADS)

    Kim, Eunhee; Yoo, Seung-Jun; Kim, Eunjung; Kwon, Tae-Hwan; Zhang, Li; Moon, Cheil; Choi, Hongsoo

    2016-04-01

    Mimicking the nanoscale surface texture of the extracellular matrix can affect the regulation of cellular behavior, including adhesion, differentiation, and neurite outgrowth. In this study, SU-8-based polymer surfaces with well-ordered nanowell arrays were fabricated using nanosphere lithography with polystyrene nanoparticles. We show that the SU-8 surface with nanowells resulted in similar neuronal development of rat pheochromocytoma (PC12) cells compared with an unpatterned poly-L-lysine (PLL)-coated SU-8 surface. Additionally, even after soaking the substrate in cell culture medium for two weeks, cells on the nanowell SU-8 surface showed long-term neurite outgrowth compared to cells on the PLL-coated SU-8 surface. The topographical surface modification of the nanowell array demonstrates potential as a replacement for cell adhesive material coatings such as PLL, for applications requiring long-term use of polymer-based implantable devices.

  12. Latest evolution in a 300mm graphoepitaxy pilot line flow for L/S applications

    NASA Astrophysics Data System (ADS)

    Claveau, G.; Argoud, M.; Pimenta-Barros, P.; Chamiot-Maitral, G.; Tiron, R.; Chevalier, X.; Navarro, C.

    2017-03-01

    Directed Self Assembly (DSA) of block-copolymers (BCPs) used as a complementary technique to the 193nm immersion lithography has demonstrated sub-10nm node applications in both via and line/space patterning. We propose however to study the performance of graphoepitaxy which allows DSA with thicker initial BCP layer, higher multiplication factors and stronger orientation control of lamellae. The aim of this work is to use the 300mm pilot line available at LETI and Arkema's advanced materials to evaluate the performances of a novel graphoepitaxy process based on the work on a 38nm period lamellar PS-b-PMMA (L38) reported before.

  13. Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch(2) and Beyond.

    PubMed

    Yang, Xiaomin; Wan, Lei; Xiao, Shuaigang; Xu, Yuan; Weller, Dieter K

    2009-07-28

    The directed self-assembly of block copolymer (BCP) offers a new route to perfect nanolithographic patterning at sub-50 nm length scale with molecular scale precision. We have explored the feasibility of using the BCP approach versus the conventional electron beam (e-beam) lithography to create highly dense dot patterns for bit-patterned media (BPM) applications. Cylinder-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) directly self-assembled on a chemically prepatterned substrate. The nearly perfect hexagonal arrays of perpendicularly oriented cylindrical pores at a density of approximately 1 Terabit per square inch (Tb/in.(2)) are achieved over an arbitrarily large area. Considerable gains in the BCP process are observed relative to the conventional e-beam lithography in terms of the dot size variation, the placement accuracy, the pattern uniformity, and the exposure latitude. The maximum dimensional latitude in the cylinder-forming BCP patterns and the maximum skew angle that the BCP can tolerate have been investigated for the first time. The dimensional latitude restricts the formation of more than one lattice configuration in certain ranges. More defects in BCP patterns are observed when using low molecular weight BCP materials or on non-hexagonal prepatterns due to the dimensional latitude restriction. Finally, the limitations and challenges in the BCP approach that are associated with BPM applications will be briefly discussed.

  14. Direct nanopatterning of 100 nm metal oxide periodic structures by Deep-UV immersion lithography.

    PubMed

    Stehlin, Fabrice; Bourgin, Yannick; Spangenberg, Arnaud; Jourlin, Yves; Parriaux, Olivier; Reynaud, Stéphanie; Wieder, Fernand; Soppera, Olivier

    2012-11-15

    Deep-UV lithography using high-efficiency phase mask has been developed to print 100 nm period grating on sol-gel based thin layer. High efficiency phase mask has been designed to produce a high-contrast interferogram (periodic fringes) under water immersion conditions for 244 nm laser. The demonstration has been applied to a new developed immersion-compatible sol-gel layer. A sol-gel photoresist prepared from zirconium alkoxides caped with methacrylic acids was developed to achieve 50 nm resolution in a single step exposure. The nanostructures can be thermally annealed into ZrO(2). Such route considerably simplifies the process for elaborating nanopatterned surfaces of transition metal oxides, and opens new routes for integrating materials of interest for applications in the field of photocatalysis, photovoltaic, optics, photonics or microelectronics.

  15. Accoustic waveform logging--Advances in theory and application

    USGS Publications Warehouse

    Paillet, F.L.; Cheng, C.H.; Pennington , W.D.

    1992-01-01

    Full-waveform acoustic logging has made significant advances in both theory and application in recent years, and these advances have greatly increased the capability of log analysts to measure the physical properties of formations. Advances in theory provide the analytical tools required to understand the properties of measured seismic waves, and to relate those properties to such quantities as shear and compressional velocity and attenuation, and primary and fracture porosity and permeability of potential reservoir rocks. The theory demonstrates that all parts of recorded waveforms are related to various modes of propagation, even in the case of dipole and quadrupole source logging. However, the theory also indicates that these mode properties can be used to design velocity and attenuation picking schemes, and shows how source frequency spectra can be selected to optimize results in specific applications. Synthetic microseismogram computations are an effective tool in waveform interpretation theory; they demonstrate how shear arrival picks and mode attenuation can be used to compute shear velocity and intrinsic attenuation, and formation permeability for monopole, dipole and quadrupole sources. Array processing of multi-receiver data offers the opportunity to apply even more sophisticated analysis techniques. Synthetic microseismogram data is used to illustrate the application of the maximum-likelihood method, semblance cross-correlation, and Prony's method analysis techniques to determine seismic velocities and attenuations. The interpretation of acoustic waveform logs is illustrated by reviews of various practical applications, including synthetic seismogram generation, lithology determination, estimation of geomechanical properties in situ, permeability estimation, and design of hydraulic fracture operations.

  16. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  17. Vacuum system for room temperature X-ray lithography source (XLS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schuchman, J.C.

    1988-09-30

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  18. All-optical lithography process for contacting nanometer precision donor devices

    NASA Astrophysics Data System (ADS)

    Ward, D. R.; Marshall, M. T.; Campbell, D. M.; Lu, T. M.; Koepke, J. C.; Scrymgeour, D. A.; Bussmann, E.; Misra, S.

    2017-11-01

    We describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  19. Vacuum system for room temperature X-ray lithography source (XLS)

    NASA Astrophysics Data System (ADS)

    Schuchman, J. C.

    1988-09-01

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  20. Non-CAR resists and advanced materials for Massively Parallel E-Beam Direct Write process integration

    NASA Astrophysics Data System (ADS)

    Pourteau, Marie-Line; Servin, Isabelle; Lepinay, Kévin; Essomba, Cyrille; Dal'Zotto, Bernard; Pradelles, Jonathan; Lattard, Ludovic; Brandt, Pieter; Wieland, Marco

    2016-03-01

    The emerging Massively Parallel-Electron Beam Direct Write (MP-EBDW) is an attractive high resolution high throughput lithography technology. As previously shown, Chemically Amplified Resists (CARs) meet process/integration specifications in terms of dose-to-size, resolution, contrast, and energy latitude. However, they are still limited by their line width roughness. To overcome this issue, we tested an alternative advanced non-CAR and showed it brings a substantial gain in sensitivity compared to CAR. We also implemented and assessed in-line post-lithographic treatments for roughness mitigation. For outgassing-reduction purpose, a top-coat layer is added to the total process stack. A new generation top-coat was tested and showed improved printing performances compared to the previous product, especially avoiding dark erosion: SEM cross-section showed a straight pattern profile. A spin-coatable charge dissipation layer based on conductive polyaniline has also been tested for conductivity and lithographic performances, and compatibility experiments revealed that the underlying resist type has to be carefully chosen when using this product. Finally, the Process Of Reference (POR) trilayer stack defined for 5 kV multi-e-beam lithography was successfully etched with well opened and straight patterns, and no lithography-etch bias.

  1. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    ERIC Educational Resources Information Center

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  2. A high resolution water soluble fullerene molecular resist for electron beam lithography.

    PubMed

    Chen, X; Palmer, R E; Robinson, A P G

    2008-07-09

    Traditionally, many lithography resists have used hazardous, environmentally damaging or flammable chemicals as casting solvent and developer. There is now a strong drive towards processes that are safer and more environmentally friendly. We report nanometre-scale patterning of a fullerene molecular resist film with electron beam lithography, using water as casting solvent and developer. Negative tone behaviour is demonstrated after exposure and development. The sensitivity of this resist to 20 keV electrons is 1.5 × 10(-2) C cm(-2). Arrays of lines with a width of 30-35 nm and pitches of 200 and 400 nm, and arrays of dots with a diameter of 40 nm and a pitch of 200 nm have been patterned at 30 keV. The etch durability of this resist was found to be ∼2 times that of a standard novolac based resist. Initial results of the chemical amplification of this material for enhanced sensitivity are also presented.

  3. Photomask quality evaluation using lithography simulation and precision SEM image contour data

    NASA Astrophysics Data System (ADS)

    Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Hagiwara, Kazuyuki; Matsushita, Shohei; Hara, Daisuke; Adamov, Anthony

    2012-11-01

    To evaluate photomask quality, the current method uses spatial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to extract. To simulate the mask error-enhancement factor (MEEF) influence for aggressive OPC in 1Xnm node, wide FOV contour data and tone information are derived from high precision SEM images. For this purpose we have developed a new contour data extraction algorithm with sub-nanometer accuracy resulting in a wide Field of View (FOV) SEM image: (for example, more than 10um x 10um square). We evaluated MEEF influence of high-end photomask pattern using the wide FOV contour data of "E3630 MVM-SEMTM" and lithography simulator "TrueMaskTM DS" of D2S, Inc. As a result, we can detect the "invisible defect" as the MEEF influence using the wide FOV contour data and lithography simulator.

  4. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  5. Nanomanipulation and Lithography: The Building (and Modeling) of Carbon Nanotube Magnetic Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Louie, Richard Nam

    2002-12-01

    Aircraft fuselages suffer alternating stress during takeoffs and landings, and fatigue cracks begin to grow, usually at rivet holes. The detection of these fatigue cracks under installed fasteners in aging aircraft is a major goal of the nondestructive evaluation (NDE) community. The use of giant magnetoresistance (GMR) sensors in electromagnetic (EM) NDE has been increasing rapidly. For example, here at Langley Research Center, a Rotating Probe System (RPS) containing a GMR element has been incorporated into a product to detect deeply buried flaws in aerospace structures. In order to advance this eddy current probe application and many similar ones, research to create smaller, more sensitive and energy-efficient EM sensors has been aggressively pursued. Recent theoretical and experimental work on spin coherent transport supports the feasibility of carbon nanotube (CNT) based magnetic tunnel junctions. In this study, a spatial filtering scheme is presented that improves the signal to noise ratio of the RPS and does not significantly impact the number of false alarms. Signals due to buried flaws occur at higher frequencies than do signals due to rivet tilt or probe misalignment, and the strategy purposefully targets this fact. Furthermore, the spatial filtering scheme exploits decreases in the probe output that are observed immediately preceding and following the peak in output due to a fatigue crack. Using the new filters, an enhanced probability of flaw detection is expected. In the future, even tinier, more sensitive, low-power sensors are envisioned for the rotating probe and other nondestructive inspection systems. These may be comprised of single-walled carbon nanotubes (SWCNTs) that connect two ferromagnetic (FM) electrodes. Theoretical work has been done at Langley to model the electrical and magnetoconductance behavior of such junctions, for systems containing short "armchair" nanotubes. The present work facilitates the modeling of more realistic system

  6. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    PubMed

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  7. Environmental Applications of Biosurfactants: Recent Advances

    PubMed Central

    Pacwa-Płociniczak, Magdalena; Płaza, Grażyna A.; Piotrowska-Seget, Zofia; Cameotra, Swaranjit Singh

    2011-01-01

    Increasing public awareness of environmental pollution influences the search and development of technologies that help in clean up of organic and inorganic contaminants such as hydrocarbons and metals. An alternative and eco-friendly method of remediation technology of environments contaminated with these pollutants is the use of biosurfactants and biosurfactant-producing microorganisms. The diversity of biosurfactants makes them an attractive group of compounds for potential use in a wide variety of industrial and biotechnological applications. The purpose of this review is to provide a comprehensive overview of advances in the applications of biosurfactants and biosurfactant-producing microorganisms in hydrocarbon and metal remediation technologies. PMID:21340005

  8. Chemical Functionalization, Self-Assembly, and Applications of Nanomaterials and Nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiao, Tifeng; Yan, Xingbin; Balan, Lavinia

    2014-01-01

    This special issue addresses the research studies on chemical functionalization, self-assembly, and applications of nanomaterials and nanocomposites. It contains twentyfour articles including two reviews and twenty-two research articles. It is used to create new functional nanomaterials and nanocomposites with a variety of sizes and morphologies such as Zn/Al layered double hydroxide, tin oxide nanowires, FeOOH-modified anion resin, Au nanoclusters silica composite nanospheres, Ti-doped ZnO sol-composite films, TiO2/ZnO composite, graphene oxide nanocomposites, LiFePO4/C nanocomposites, and chitosan nanoparticles. These nanomaterials and nanocomposites have widespread applications in tissue engineering, antitumor, sensors, photoluminescence, electrochemical, and catalytic properties. In addition, this themed issue includes somemore » research articles about self-assembly systems covering organogels and Langmuir films. Furthermore, B. Blasiak et al. performed a literature survey on the recent advances in production, functionalization, toxicity reduction, and application of nanoparticles in cancer diagnosis, treatment, and treatment monitoring. P. Colson et al. performed a literature survey on the recent advances in nanosphere lithography due to its compatibility with wafer-scale processes as well as its potential to manufacture a wide variety of homogeneous one-, two-, or three-dimensional nanostructures.« less

  9. Proximity Effect Correction by Pattern Modified Stencil Mask in Large-Field Projection Electron-Beam Lithography

    NASA Astrophysics Data System (ADS)

    Kobinata, Hideo; Yamashita, Hiroshi; Nomura, Eiichi; Nakajima, Ken; Kuroki, Yukinori

    1998-12-01

    A new method for proximity effect correction, suitable for large-field electron-beam (EB) projection lithography with high accelerating voltage, such as SCALPEL and PREVAIL in the case where a stencil mask is used, is discussed. In this lithography, a large-field is exposed by the same dose, and thus, the dose modification method, which is used in the variable-shaped beam and the cell projection methods, cannot be used in this case. In this study, we report on development of a new proximity effect correction method which uses a pattern modified stencil mask suitable for high accelerating voltage and large-field EB projection lithography. In order to obtain the mask bias value, we have investigated linewidth reduction, due to the proximity effect, in the peripheral memory cell area, and found that it could be expressed by a simple function and all the correction parameters were easily determined from only the mask pattern data. The proximity effect for the peripheral array pattern could also be corrected by considering the pattern density. Calculated linewidth deviation was 3% or less for a 0.07-µm-L/S memory cell pattern and 5% or less for a 0.14-µm-line and 0.42-µm-space peripheral array pattern, simultaneously.

  10. Layout compliance for triple patterning lithography: an iterative approach

    NASA Astrophysics Data System (ADS)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  11. 78 FR 16519 - Agency Information Collection Activities: Application for Advance Permission To Return to...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-03-15

    ...-0016] Agency Information Collection Activities: Application for Advance Permission To Return to... Currently Approved Collection. (2) Title of the Form/Collection: Application for Advance Permission to..., 10 minutes for reading the instructions, and 35 minutes for completing and submitting the application...

  12. 3D lithography by rapid curing of the liquid instabilities at nanoscale

    PubMed Central

    Coppola, Sara; Vespini, Veronica; Merola, Francesco; Finizio, Andrea; Ferraro, Pietro

    2011-01-01

    In liquids realm, surface tension and capillarity are the key forces driving the formation of the shapes pervading the nature. The steady dew drops appearing on plant leaves and spider webs result from the minimization of the overall surface energy [Zheng Y, et al. (2010) Nature 463:640–643]. Thanks to the surface tension, the interfaces of such spontaneous structures exhibit extremely good spherical shape and consequently worthy optical quality. Also nanofluidic instabilities generate a variety of fascinating liquid silhouettes, but they are however intrinsically short-lived. Here we show that such unsteady liquid structures, shaped in polymeric liquids by an electrohydrodynamic pressure, can be rapidly cured by appropriate thermal treatments. The fabrication of many solid microstructures exploitable in photonics is demonstrated, thus leading to a new concept in 3D lithography. The applicability of specific structures as optical tweezers and as novel remotely excitable quantum dots–embedded microresonators is presented. PMID:21896720

  13. Tunable laser interference lithography preparation of plasmonic nanoparticle arrays tailored for SERS.

    PubMed

    Gisbert Quilis, Nestor; Lequeux, Médéric; Venugopalan, Priyamvada; Khan, Imran; Knoll, Wolfgang; Boujday, Souhir; Lamy de la Chapelle, Marc; Dostalek, Jakub

    2018-05-23

    The facile preparation of arrays of plasmonic nanoparticles over a square centimeter surface area is reported. The developed method relies on tailored laser interference lithography (LIL) that is combined with dry etching and it offers means for the rapid fabrication of periodic arrays of metallic nanostructures with well controlled morphology. Adjusting the parameters of the LIL process allows for the preparation of arrays of nanoparticles with a diameter below hundred nanometers independently of their lattice spacing. Gold nanoparticle arrays were precisely engineered to support localized surface plasmon resonance (LSPR) with different damping at desired wavelengths in the visible and near infrared part of the spectrum. The applicability of these substrates for surface enhanced Raman scattering is demonstrated where cost-effective, uniform and reproducible substrates are of paramount importance. The role of deviations in the spectral position and the width of the LSPR band affected by slight variations of plasmonic nanostructures is discussed.

  14. Application of Advanced Fracture Mechanics Technology to Ensure Structural Reliability in Critical Titanium Structures,

    DTIC Science & Technology

    1982-11-22

    RD-Ri42 354 APPLICATION OF ADVANCED FRACTURE MECHANICS TECHNOLOGY i/i TT ENSURE STRUCTURA..(U) 1WESTINGHOUSE RESEARCH FND DEVELOPMENT CENTER...I Iml .4. 47 Igo 12. 4 %B 1. __ ~. ~% ski Z L __ 12 APPLICATION OF ADVANCED FRACTURE MECHANICS -p TECHNOLOGY TO ENSURE STRUCTURAL RELIABILITY IN...Road W Pilttsburgh. Pennsylvania 15235 84 06 18 207 APPLICATION OF ADVANCED FRACTURE MECHANICS TECHNOLOGY TO ENSURE STRUCTURAL RELIABILITY IN CRITICAL

  15. Nanobiocatalyst advancements and bioprocessing applications

    PubMed Central

    Misson, Mailin; Zhang, Hu; Jin, Bo

    2015-01-01

    The nanobiocatalyst (NBC) is an emerging innovation that synergistically integrates advanced nanotechnology with biotechnology and promises exciting advantages for improving enzyme activity, stability, capability and engineering performances in bioprocessing applications. NBCs are fabricated by immobilizing enzymes with functional nanomaterials as enzyme carriers or containers. In this paper, we review the recent developments of novel nanocarriers/nanocontainers with advanced hierarchical porous structures for retaining enzymes, such as nanofibres (NFs), mesoporous nanocarriers and nanocages. Strategies for immobilizing enzymes onto nanocarriers made from polymers, silicas, carbons and metals by physical adsorption, covalent binding, cross-linking or specific ligand spacers are discussed. The resulting NBCs are critically evaluated in terms of their bioprocessing performances. Excellent performances are demonstrated through enhanced NBC catalytic activity and stability due to conformational changes upon immobilization and localized nanoenvironments, and NBC reutilization by assembling magnetic nanoparticles into NBCs to defray the high operational costs associated with enzyme production and nanocarrier synthesis. We also highlight several challenges associated with the NBC-driven bioprocess applications, including the maturation of large-scale nanocarrier synthesis, design and development of bioreactors to accommodate NBCs, and long-term operations of NBCs. We suggest these challenges are to be addressed through joint collaboration of chemists, engineers and material scientists. Finally, we have demonstrated the great potential of NBCs in manufacturing bioprocesses in the near future through successful laboratory trials of NBCs in carbohydrate hydrolysis, biofuel production and biotransformation. PMID:25392397

  16. All-optical lithography process for contacting nanometer precision donor devices

    DOE PAGES

    Ward, Daniel Robert; Marshall, Michael Thomas; Campbell, DeAnna Marie; ...

    2017-11-06

    In this article, we describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  17. All-optical lithography process for contacting nanometer precision donor devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ward, Daniel Robert; Marshall, Michael Thomas; Campbell, DeAnna Marie

    In this article, we describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  18. Nanosphere lithography applied to magnetic thin films

    NASA Astrophysics Data System (ADS)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  19. Low-cost method for producing extreme ultraviolet lithography optics

    DOEpatents

    Folta, James A [Livermore, CA; Montcalm, Claude [Fort Collins, CO; Taylor, John S [Livermore, CA; Spiller, Eberhard A [Mt. Kisco, NY

    2003-11-21

    Spherical and non-spherical optical elements produced by standard optical figuring and polishing techniques are extremely expensive. Such surfaces can be cheaply produced by diamond turning; however, the roughness in the diamond turned surface prevent their use for EUV lithography. These ripples are smoothed with a coating of polyimide before applying a 60 period Mo/Si multilayer to reflect a wavelength of 134 .ANG. and have obtained peak reflectivities close to 63%. The savings in cost are about a factor of 100.

  20. Spacecraft applications of advanced global positioning system technology

    NASA Technical Reports Server (NTRS)

    1988-01-01

    This is the final report on the Texas Instruments Incorporated (TI) simulations study of Spacecraft Application of Advanced Global Positioning System (GPS) Technology. This work was conducted for the NASA Johnson Space Center (JSC) under contract NAS9-17781. GPS, in addition to its baselined capability as a highly accurate spacecraft navigation system, can provide traffic control, attitude control, structural control, and uniform time base. In Phase 1 of this program, another contractor investigated the potential of GPS in these four areas and compared GPS to other techniques. This contract was for the Phase 2 effort, to study the performance of GPS for these spacecraft applications through computer simulations. TI had previously developed simulation programs for GPS differential navigation and attitude measurement. These programs were adapted for these specific spacecraft applications. In addition, TI has extensive expertise in the design and production of advanced GPS receivers, including space-qualified GPS receivers. We have drawn on this background to augment the simulation results in the system level overview, which is Section 2 of this report.

  1. A survey of advanced battery systems for space applications

    NASA Technical Reports Server (NTRS)

    Attia, Alan I.

    1989-01-01

    The results of a survey on advanced secondary battery systems for space applications are presented. The objectives were: to identify advanced battery systems capable of meeting the requirements of various types of space missions, with significant advantages over currently available batteries, to obtain an accurate estimate of the anticipated improvements of these advanced systems, and to obtain a consensus for the selection of systems most likely to yield the desired improvements. Few advanced systems are likely to exceed a specific energy of 150 Wh/kg and meet the additional requirements of safety and reliability within the next 15 years. The few that have this potential are: (1) regenerative fuel cells, both alkaline and solid polymer electrolyte (SPE) types for large power systems; (2) lithium-intercalatable cathodes, particularly the metal ozides intercalatable cathodes (MnO2 or CoO2), with applications limited to small spacecrafts requiring limited cycle life and low power levels; (3) lithium molten salt systems (e.g., LiAl-FeS2); and (4) Na/beta Alumina/Sulfur or metal chlorides cells. Likely technological advances that would enhance the performance of all the above systems are also identified, in particular: improved bifunctional oxygen electrodes; improved manufacturing technology for thin film lithium electrodes in combination with polymeric electrolytes; improved seals for the lithium molten salt cells; and improved ceramics for sodium/solid electrolyte cells.

  2. Advanced Lithium Batteries for Automobile Applications at ABAA-9

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhan, Chun; Cai, Feng; Amine, Khalil

    The battery-electrified vehicle industry is booming since the last decade, orientated by consumers’ growing demand for ''green'' cars with zero-emission of the greenhouse gases and the speedy-but-silent driving experience. Aiming for advanced battery technology to support electric vehicles, the International Conference on Advanced Lithium Batteries for Automobile Applications (ABAA) was launched in 2008. This paper describes the activities at ABAA-9.

  3. Advanced Lithium Batteries for Automobile Applications at ABAA-9

    DOE PAGES

    Zhan, Chun; Cai, Feng; Amine, Khalil; ...

    2017-06-14

    The battery-electrified vehicle industry is booming since the last decade, orientated by consumers’ growing demand for ''green'' cars with zero-emission of the greenhouse gases and the speedy-but-silent driving experience. Aiming for advanced battery technology to support electric vehicles, the International Conference on Advanced Lithium Batteries for Automobile Applications (ABAA) was launched in 2008. This paper describes the activities at ABAA-9.

  4. Advanced Boost System Developing for High EGR Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Harold

    2012-09-30

    To support industry efforts of clean and efficient internal combustion engine development for passenger and commercial applications • This program focuses on turbocharger improvement for medium and light duty diesel applications, from complete system optimization percepective to enable commercialization of advanced diesel combustion technologies, such as HCCI/LTC. • Improve combined turbocharger efficiency up to 10% or fuel economy by 3% on FTP cycle at Tier II Bin 5 emission level.

  5. Advances in nanopatterned and nanostructured supported lipid membranes and their applications.

    PubMed

    Reimhult, Erik; Baumann, Martina; Kaufmann, Stefan; Kumar, Karthik; Spycher, Philipp

    2010-01-01

    Lipid membranes are versatile and convenient alternatives to study the properties of natural cell membranes. Self-assembled, artificial, substrate-supported lipid membranes have taken a central role in membrane research due to a combination of factors such as ease of creation, control over complexity, stability and the applicability of a large range of different analytical techniques. While supported lipid bilayers have been investigated for several decades, recent advances in the understanding of the assembly of such membranes from liposomes have spawned a renaissance in the field. Supported lipid bilayers are a highly promising tool to study transmembrane proteins in their native state, an application that could have tremendous impact on, e.g. drug discovery, development of biointerfaces and as platforms for glycomics and probing of multivalent binding which requires ligand mobility. Parallel advances in microfluidics, biosensor design, micro- and nanofabrication have converged to bring self-assembled supported lipid bilayers closer to a versatile and easy to use research tool as well as closer to industrial applications. The field of supported lipid bilayer research and application is thus rapidly expanding and diversifying with new platforms continuously being proposed and developed. In order to use supported lipid bilayers for such applications several advances have to be made: decoupling of the membrane from the support while maintaining it close to the surface, making use of biologically relevant lipid compositions, patterning of lipid membranes into arrays, and application to nanostructured substrates and sensors. This review summarizes recent advances in the field which addresses these challenges.

  6. Masculinity and Material Culture in Technological Transitions: From Letterpress to Offset Lithography, 1960s-1980s.

    PubMed

    Stein, Jesse Adams

    Between the 1960s and the 1980s the printing industry in advanced capitalist economies underwent dramatic technological change. While the transition from "hot metal" compositing to computerized typesetting has been extensively analyzed, there was another transformation occurring simultaneously: in the pressroom, letterpress was gradually replaced by offset lithography. Many letterpress machinists retrained, moving from a heavy, manual technology (with an entrenched patriarchal culture) to a method that was faster and less physically taxing. However, unlike their compositor counterparts, the press-machinists' transition involved a continuity of traditional masculine craft identities rather than a rupture associated with "deskilling." Intrinsic to this experience of technological change was a masculine embodiment that was attuned to and shaped by the materiality and aesthetics of printing technologies. This article establishes how masculine craft identities do not rely exclusively on skill-based mastery of traditional technologies, but also relate to other dimensions of technology, such as aesthetics, embodied "know-how," and the physicality of industrial machinery.

  7. Lithography-induced limits to scaling of design quality

    NASA Astrophysics Data System (ADS)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  8. Recent advances in vacuum sciences and applications

    NASA Astrophysics Data System (ADS)

    Mozetič, M.; Ostrikov, K.; Ruzic, D. N.; Curreli, D.; Cvelbar, U.; Vesel, A.; Primc, G.; Leisch, M.; Jousten, K.; Malyshev, O. B.; Hendricks, J. H.; Kövér, L.; Tagliaferro, A.; Conde, O.; Silvestre, A. J.; Giapintzakis, J.; Buljan, M.; Radić, N.; Dražić, G.; Bernstorff, S.; Biederman, H.; Kylián, O.; Hanuš, J.; Miloševič, S.; Galtayries, A.; Dietrich, P.; Unger, W.; Lehocky, M.; Sedlarik, V.; Stana-Kleinschek, K.; Drmota-Petrič, A.; Pireaux, J. J.; Rogers, J. W.; Anderle, M.

    2014-04-01

    Recent advances in vacuum sciences and applications are reviewed. Novel optical interferometer cavity devices enable pressure measurements with ppm accuracy. The innovative dynamic vacuum standard allows for pressure measurements with temporal resolution of 2 ms. Vacuum issues in the construction of huge ultra-high vacuum devices worldwide are reviewed. Recent advances in surface science and thin films include new phenomena observed in electron transport near solid surfaces as well as novel results on the properties of carbon nanomaterials. Precise techniques for surface and thin-film characterization have been applied in the conservation technology of cultural heritage objects and recent advances in the characterization of biointerfaces are presented. The combination of various vacuum and atmospheric-pressure techniques enables an insight into the complex phenomena of protein and other biomolecule conformations on solid surfaces. Studying these phenomena at solid-liquid interfaces is regarded as the main issue in the development of alternative techniques for drug delivery, tissue engineering and thus the development of innovative techniques for curing cancer and cardiovascular diseases. A review on recent advances in plasma medicine is presented as well as novel hypotheses on cell apoptosis upon treatment with gaseous plasma. Finally, recent advances in plasma nanoscience are illustrated with several examples and a roadmap for future activities is presented.

  9. Roll-to-roll nanopatterning using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Sean; Ganapathisubramanian, Maha; Miller, Mike; Yang, Jack; Choi, Jin; Xu, Frank; Resnick, Douglas J.; Sreenivasan, S. V.

    2012-03-01

    The ability to pattern materials at the nanoscale can enable a variety of applications ranging from high density data storage, displays, photonic devices and CMOS integrated circuits to emerging applications in the biomedical and energy sectors. These applications require varying levels of pattern control, short and long range order, and have varying cost tolerances. Extremely large area R2R manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. The cost of manufacturing is typically driven by speed (or throughput), tool complexity, cost of consumables (materials used, mold or master cost, etc.), substrate cost, and the downstream processing required (annealing, deposition, etching, etc.). In order to achieve low cost nanopatterning, it is imperative to move towards high speed imprinting, less complex tools, near zero waste of consumables and low cost substrates. The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. In this paper we address the key challenges for roll based nanopatterning by introducing a novel concept: Ink Jet based Roll-to-Roll Nanopatterning. To address this challenge, we have introduced a J-FIL based demonstrator product, the LithoFlex 100. Topics that are discussed in the paper include tool design and process performance. In addition, we have used the LithoFlex 100 to fabricate high performance wire grid polarizers on flexible polycarbonate (PC) films. Transmission of better than 80% and extinction ratios on the order of

  10. Resist development status for immersion lithography

    NASA Astrophysics Data System (ADS)

    Tsuji, Hiromitsu; Yoshida, Masaaki; Ishizuka, Keita; Hirano, Tomoyuki; Endo, Kotaro; Sato, Mitsuru

    2005-05-01

    Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to the solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturing stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and structure while the PAG anion elution was dependent on the molecular structure and mobility. In this paper, lithographic performance is also discussed with the low elution type resist.

  11. Conventional and modified Schwarzschild objective for EUV lithography: design relations

    NASA Astrophysics Data System (ADS)

    Bollanti, S.; di Lazzaro, P.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.

    2006-12-01

    The design criteria of a Schwarzschild-type optical system are reviewed in relation to its use as an imaging system in an extreme ultraviolet lithography setup. Both the conventional and the modified reductor imaging configurations are considered, and the respective performances, as far as the geometrical resolution in the image plane is concerned, are compared. In this connection, a formal relation defining the modified configuration is elaborated, refining a rather naïve definition presented in an earlier work. The dependence of the geometrical resolution on the image-space numerical aperture for a given magnification is investigated in detail for both configurations. So, the advantages of the modified configuration with respect to the conventional one are clearly evidenced. The results of a semi-analytical procedure are compared with those obtained from a numerical simulation performed by an optical design program. The Schwarzschild objective based system under implementation at the ENEA Frascati Center within the context of the Italian FIRB project for EUV lithography has been used as a model. Best-fit functions accounting for the behaviour of the system parameters vs. the numerical aperture are reported; they can be a useful guide for the design of Schwarzschild objective type optical systems.

  12. Advances in multi-sensor data fusion: algorithms and applications.

    PubMed

    Dong, Jiang; Zhuang, Dafang; Huang, Yaohuan; Fu, Jingying

    2009-01-01

    With the development of satellite and remote sensing techniques, more and more image data from airborne/satellite sensors have become available. Multi-sensor image fusion seeks to combine information from different images to obtain more inferences than can be derived from a single sensor. In image-based application fields, image fusion has emerged as a promising research area since the end of the last century. The paper presents an overview of recent advances in multi-sensor satellite image fusion. Firstly, the most popular existing fusion algorithms are introduced, with emphasis on their recent improvements. Advances in main applications fields in remote sensing, including object identification, classification, change detection and maneuvering targets tracking, are described. Both advantages and limitations of those applications are then discussed. Recommendations are addressed, including: (1) Improvements of fusion algorithms; (2) Development of "algorithm fusion" methods; (3) Establishment of an automatic quality assessment scheme.

  13. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  14. 78 FR 19691 - Applications for New Awards; Advanced Placement (AP) Test Fee Program

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-04-02

    ... DEPARTMENT OF EDUCATION Applications for New Awards; Advanced Placement (AP) Test Fee Program... Information Advanced Placement Test Fee Program. Notice inviting applications for new awards for fiscal year... Program: The AP Test Fee program awards grants to eligible State educational agencies (SEAs) to enable...

  15. 77 FR 8848 - Application for New Awards; Advanced Placement (AP) Test Fee Program

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-02-15

    ... DEPARTMENT OF EDUCATION Application for New Awards; Advanced Placement (AP) Test Fee Program... Information: Advanced Placement Test Fee Program. Notice inviting applications for new awards for fiscal year... Description Purpose of Program: The AP Test Fee program awards grants to eligible State educational agencies...

  16. Photonic integrated circuits: new challenges for lithography

    NASA Astrophysics Data System (ADS)

    Bolten, Jens; Wahlbrink, Thorsten; Prinzen, Andreas; Porschatis, Caroline; Lerch, Holger; Giesecke, Anna Lena

    2016-10-01

    In this work routes towards the fabrication of photonic integrated circuits (PICs) and the challenges their fabrication poses on lithography, such as large differences in feature dimension of adjacent device features, non-Manhattan-type features, high aspect ratios and significant topographic steps as well as tight lithographic requirements with respect to critical dimension control, line edge roughness and other key figures of merit not only for very small but also for relatively large features, are highlighted. Several ways those challenges are faced in today's low-volume fabrication of PICs, including the concept multi project wafer runs and mix and match approaches, are presented and possible paths towards a real market uptake of PICs are discussed.

  17. Spacecraft applications of advanced global positioning system technology

    NASA Technical Reports Server (NTRS)

    Huth, Gaylord; Dodds, James; Udalov, Sergei; Austin, Richard; Loomis, Peter; Duboraw, I. Newton, III

    1988-01-01

    The purpose of this study was to evaluate potential uses of Global Positioning System (GPS) in spacecraft applications in the following areas: attitude control and tracking; structural control; traffic control; and time base definition (synchronization). Each of these functions are addressed. Also addressed are the hardware related issues concerning the application of GPS technology and comparisons are provided with alternative instrumentation methods for specific functions required for an advanced low earth orbit spacecraft.

  18. The state of advanced measurement and verification technology and industry application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Granderson, Jessica; Fernandes, Samuel

    2017-09-28

    With the expansion of advanced metering and increased use of energy analytics tools, the energy efficiency community has begun to explore the application of advanced measurement and verification (or ‘M & V 2.0') technologies. Current literature recognizes their promise, but does not offer in-depth assessment of technical underpinnings. Here, this paper assesses the state of the technology and its application. Sixteen commercially available technologies were characterized and combined with a national review of their use.

  19. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    PubMed

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  20. Study of nanoimprint lithography (NIL) for HVM of memory devices

    NASA Astrophysics Data System (ADS)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  1. Aberration-Corrected Electron Beam Lithography at the One Nanometer Length Scale

    DOE PAGES

    Manfrinato, Vitor R.; Stein, Aaron; Zhang, Lihua; ...

    2017-04-18

    Patterning materials efficiently at the smallest length scales has been a longstanding challenge in nanotechnology. Electron-beam lithography (EBL) is the primary method for patterning arbitrary features, but EBL has not reliably provided sub-4 nm patterns. The few competing techniques that have achieved this resolution are orders of magnitude slower than EBL. In this work, we employed an aberration-corrected scanning transmission electron microscope for lithography to achieve unprecedented resolution. Here we show aberration-corrected EBL at the one nanometer length scale using poly(methyl methacrylate) (PMMA) and have produced both the smallest isolated feature in any conventional resist (1.7 ± 0.5 nm) andmore » the highest density patterns in PMMA (10.7 nm pitch for negative-tone and 17.5 nm pitch for positive-tone PMMA). We also demonstrate pattern transfer from the resist to semiconductor and metallic materials at the sub-5 nm scale. These results indicate that polymer-based nanofabrication can achieve feature sizes comparable to the Kuhn length of PMMA and ten times smaller than its radius of gyration. Use of aberration-corrected EBL will increase the resolution, speed, and complexity in nanomaterial fabrication.« less

  2. Doppler Effect on Structure Period of Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Kara, Semih; Tokel, Onur; Pavlov, Ihor; Ilday, Fatih Omer

    Recently, Nonlinear Laser Lithography (NLL) was developed for large-area, nanopatterning of surfaces. In NLL, nanopatterns emerge through coherent scattering of the laser from the surface, and its interference with the incident beam. The period of the structures is determined by the laser wavelength. It has been shown by Sipe that the period depends on the laser incidence angle (θ) as λ / (1 +/- sinθ). Here, we show that the period not only depends on this angle, but also on the polarisation angle. We update the Sipe equation as λ / (1 +/- sinθsinα) , where ' α' is the angle between scanning direction and polarisation. The physical reason behind this is found through a formal analogy to Doppler effect. In Doppler effect, the measured wavelength of a moving emitter is given as λ / (1 +/- c / vsinθ) , where ' θ'is the angle between observer and the direction of emitter, 'c' is the speed of observer, 'v' is speed of source. In NLL, velocity of source can be written as vsinθ , and the period equation can be shown to take its new form. We believe that this is the first application of Doppler effect in laser-processing of solid materials.

  3. Recent advances in plasma modeling for space applications

    NASA Astrophysics Data System (ADS)

    Srinivasan, Bhuvana; Scales, Wayne; Cagas, Petr; Glesner, Colin

    2017-02-01

    This paper presents a brief overview of the application of advanced plasma modeling techniques to several space science and engineering problems currently of significant interest. Recent advances in both kinetic and fluid modeling provide the ability to study a wide variety of problems that may be important to space plasmas including spacecraft-environment interactions, plasma-material interactions for propulsion systems such as Hall thrusters, ionospheric plasma instabilities, plasma separation from magnetic nozzles, active space experiments, and a host of additional problems. Some of the key findings are summarized here.

  4. Diverse applications of advanced man-telerobot interfaces

    NASA Technical Reports Server (NTRS)

    Mcaffee, Douglas A.

    1991-01-01

    Advancements in man-machine interfaces and control technologies used in space telerobotics and teleoperators have potential application wherever human operators need to manipulate multi-dimensional spatial relationships. Bilateral six degree-of-freedom position and force cues exchanged between the user and a complex system can broaden and improve the effectiveness of several diverse man-machine interfaces.

  5. The range and intensity of backscattered electrons for use in the creation of high fidelity electron beam lithography patterns.

    PubMed

    Czaplewski, David A; Holt, Martin V; Ocola, Leonidas E

    2013-08-02

    We present a set of universal curves that predict the range and intensity of backscattered electrons which can be used in conjunction with electron beam lithography to create high fidelity nanoscale patterns. The experimental method combines direct write dose, backscattered dose, and a self-reinforcing pattern geometry to measure the dose provided by backscattered electrons to a nanoscale volume on the substrate surface at various distances from the electron source. Electron beam lithography is used to precisely control the number and position of incident electrons on the surface of the material. Atomic force microscopy is used to measure the height of the negative electron beam lithography resist. Our data shows that the range and the intensity of backscattered electrons can be predicted using the density and the atomic number of any solid material, respectively. The data agrees with two independent Monte Carlo simulations without any fitting parameters. These measurements are the most accurate electron range measurements to date.

  6. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  7. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  8. Fabrication and Characterization of Three Dimensional Photonic Crystals Generated by Multibeam Interference Lithography

    ERIC Educational Resources Information Center

    Chen, Ying-Chieh

    2009-01-01

    Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…

  9. Advanced boundary layer transition measurement methods for flight applications

    NASA Technical Reports Server (NTRS)

    Holmes, B. J.; Croom, C. C.; Gail, P. D.; Manuel, G. S.; Carraway, D. L.

    1986-01-01

    In modern laminar flow flight research, it is important to understand the specific cause(s) of laminar to turbulent boundary-layer transition. Such information is crucial to the exploration of the limits of practical application of laminar flow for drag reduction on aircraft. The transition modes of interest in current flight investigations include the viscous Tollmien-Schlichting instability, the inflectional instability at laminar separation, and the crossflow inflectional instability, as well as others. This paper presents the results to date of research on advanced devices and methods used for the study of laminar boundary-layer transition phenomena in the flight environment. Recent advancements in the development of arrayed hot-film devices and of a new flow visualization method are discussed. Arrayed hot-film devices have been designed to detect the presence of laminar separation, and of crossflow vorticity. The advanced flow visualization method utilizes color changes in liquid-crystal coatings to detect boundary-layer transition at high altitude flight conditions. Flight and wind tunnel data are presented to illustrate the design and operation of these advanced methods. These new research tools provide information on disturbance growth and transition mode which is essential to furthering our understanding of practical design limits for applications of laminar flow technology.

  10. Dr.LiTHO: a development and research lithography simulator

    NASA Astrophysics Data System (ADS)

    Fühner, Tim; Schnattinger, Thomas; Ardelean, Gheorghe; Erdmann, Andreas

    2007-03-01

    This paper introduces Dr.LiTHO, a research and development oriented lithography simulation environment developed at Fraunhofer IISB to flexibly integrate our simulation models into one coherent platform. We propose a light-weight approach to a lithography simulation environment: The use of a scripting (batch) language as an integration platform. Out of the great variety of different scripting languages, Python proved superior in many ways: It exhibits a good-natured learning-curve, it is efficient, available on virtually any platform, and provides sophisticated integration mechanisms for existing programs. In this paper, we will describe the steps, required to provide Python bindings for existing programs and to finally generate an integrated simulation environment. In addition, we will give a short introduction into selected software design demands associated with the development of such a framework. We will especially focus on testing and (both technical and user-oriented) documentation issues. Dr.LiTHO Python files contain not only all simulation parameter settings but also the simulation flow, providing maximum flexibility. In addition to relatively simple batch jobs, repetitive tasks can be pooled in libraries. And as Python is a full-blown programming language, users can add virtually any functionality, which is especially useful in the scope of simulation studies or optimization tasks, that often require masses of evaluations. Furthermore, we will give a short overview of the numerous existing Python packages. Several examples demonstrate the feasibility and productiveness of integrating Python packages into custom Dr.LiTHO scripts.

  11. Cost effective solution using inverse lithography OPC for DRAM random contact layer

    NASA Astrophysics Data System (ADS)

    Jun, Jinhyuck; Hwang, Jaehee; Choi, Jaeseung; Oh, Seyoung; Park, Chanha; Yang, Hyunjo; Dam, Thuc; Do, Munhoe; Lee, Dong Chan; Xiao, Guangming; Choi, Jung-Hoe; Lucas, Kevin

    2017-04-01

    Many different advanced devices and design layers currently employ double patterning technology (DPT) as a means to overcome lithographic and OPC limitations at low k1 values. Certainly device layers with k1 value below 0.25 require DPT or other pitch splitting methodologies. DPT has also been used to improve patterning of certain device layers with k1 values slightly above 0.25, due to the difficulty of achieving sufficient pattern fidelity with only a single exposure. Unfortunately, this broad adoption of DPT also came with a significant increase in patterning process cost. In this paper, we discuss the development of a single patterning technology process using an integrated Inverse Lithography Technology (ILT) flow for mask synthesis. A single pattering technology flow will reduce the manufacturing cost for a k1 > 0.25 full chip random contact layer in a memory device by replacing the more expensive DPT process with ILT flow, while also maintaining good lithographic production quality and manufacturable OPC/RET production metrics. This new integrated flow consists of applying ILT to the difficult core region and traditional rule-based assist features (RBAFs) with OPC to the peripheral region of a DRAM contact layer. Comparisons of wafer results between the ILT process and the non-ILT process showed the lithographic benefits of ILT and its ability to enable a robust single patterning process for this low-k1 device layer. Advanced modeling with a negative tone develop (NTD) process achieved the accuracy levels needed for ILT to control feature shapes through dose and focus. Details of these afore mentioned results will be described in the paper.

  12. Advances in computer imaging/applications in facial plastic surgery.

    PubMed

    Papel, I D; Jiannetto, D F

    1999-01-01

    Rapidly progressing computer technology, ever-increasing expectations of patients, and a confusing medicolegal environment requires a clarification of the role of computer imaging/applications. Advances in computer technology and its applications are reviewed. A brief historical discussion is included for perspective. Improvements in both hardware and software with the advent of digital imaging have allowed great increases in speed and accuracy in patient imaging. This facilitates doctor-patient communication and possibly realistic patient expectations. Patients seeking cosmetic surgery now often expect preoperative imaging. Although society in general has become more litigious, a literature search up to 1998 reveals no lawsuits directly involving computer imaging. It appears that conservative utilization of computer imaging by the facial plastic surgeon may actually reduce liability and promote communication. Recent advances have significantly enhanced the value of computer imaging in the practice of facial plastic surgery. These technological advances in computer imaging appear to contribute a useful technique for the practice of facial plastic surgery. Inclusion of computer imaging should be given serious consideration as an adjunct to clinical practice.

  13. Characterizing polarized illumination in high numerical aperture optical lithography with phase shifting masks

    NASA Astrophysics Data System (ADS)

    McIntyre, Gregory Russell

    The primary objective of this dissertation is to develop the phase shifting mask (PSM) as a precision instrument to characterize effects in optical lithography related to the use of polarized partially coherent illumination. The intent is to provide an in-situ characterization technique to add to the lithographer's tool-kit to help enable the stable and repeatable mass production of integrated circuits with feature sizes approaching 1/6th the wavelength of light being used. A series of complex-valued mathematical functions have been derived from basic principles and recent advances in photomask fabrication technology have enabled their implementation with four-phase mask making. When located in the object plane of an imaging system, these test functions serve to engineer a wavefiront that interacts with one particular optical effect, creating a measurable signal in the image plane. In most cases, these test patterns leverage proximity effects to create a central image intensity and are theoretically the most sensitive to the desired effect. Five novel classes of test patterns have been developed for in-situ characterization. The first two classes, The Linear Phase Grating (LPG) and Linear Phase Ring (LPR), both serve to characterize illumination angular distribution and uniformity by creating signals dependent on illumination angular frequency. The third class consists of the Radial Phase Grating (RPG) and Proximity Effect Polarization Analyzers (PEPA), which each create a polarization-dependent signal by taking advantage of the image reversal of one polarization component at high numerical aperture (NA). PSM Polarimetry employs a series of these patterns to form a complete polarization characterization of any arbitrary illumination scheme. The fourth and fifth classes employ sub-resolution interferometric reference probes to coherently interact with proximity effect spillover from a surrounding pattern. They measure the effective phase and transmission of the

  14. Subwavelength optical lithography via classical light: A possible implementation

    NASA Astrophysics Data System (ADS)

    You, Jieyu; Liao, Zeyang; Hemmer, P. R.; Zubairy, M. Suhail

    2018-04-01

    The resolution of an interferometric optical lithography system is about the half wavelength of the illumination light. We proposed a method based on Doppleron resonance to achieve a resolution beyond half wavelength [Phys. Rev. Lett. 96, 163603 (2006), 10.1103/PhysRevLett.96.163603]. Here, we analyze a possible experimental demonstration of this method in the negatively charged silicon-vacancy (SiV-) system by considering realistic experimental parameters. Our results show that quarter wavelength resolution and beyond can be achieved in this system even in room temperature without using perturbation theory.

  15. Multichannel silicon WDM ring filters fabricated with DUV lithography

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  16. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  17. Plasmonic and SERS performances of compound nanohole arrays fabricated by shadow sphere lithography

    NASA Astrophysics Data System (ADS)

    Skehan, Connor; Ai, Bin; Larson, Steven R.; Stone, Keenan M.; Dennis, William M.; Zhao, Yiping

    2018-03-01

    Several plasmonic compound nanohole arrays (CNAs), such as triangular nanoholes and fan-like nanoholes with multiple nanotips and nanogaps, are designed by a simple and efficient shadow sphere lithography technique by tuning the sphere mask size, the deposition and azimuthal angles, substrate temperature T S , and the number of deposition steps N. Compared with conventional circular nanohole arrays, the CNAs show more hot spots and exhibit new transmission speaks. Systematic finite-difference time-domain calculations indicate that different resonance modes excited by the various shaped and sized nanoholes are responsible for the enhanced plasmonic performances of CNAs. Compared to the CNA samples with only one circular hole in the unit cell, the Raman scattering intensity of the CNA with multiple triangular nanoholes, nanogaps, and nanotips can be enhanced up to 5-fold. These CNAs, due to the strong resonance due to the multiple structural features, are promising applications as optical filters, plasmonic sensors, and surface-enhanced spectroscopies.

  18. Advanced photovoltaic power system technology for lunar base applications

    NASA Astrophysics Data System (ADS)

    Brinker, David J.; Flood, Dennis J.

    1992-09-01

    The development of an advanced photovoltaic power system that would have application for a manned lunar base is currently planned under the Surface Power element of Pathfinder. Significant mass savings over state-of-the-art photovoltaic/battery systems are possible with the use of advanced lightweight solar arrays coupled with regenerative fuel cell storage. The solar blanket, using either ultrathin GaAs or amorphous silicon solar cells, would be integrated with a reduced-g structure. Regenerative fuel cells with high-pressure gas storage in filament-wound tanks are planned for energy storage. An advanced PV/RFC power system is a leading candidate for a manned lunar base as it offers a tremendous weight advantage over state-of-the-art photovoltaic/battery systems and is comparable in mass to other advanced power generation technologies.

  19. Investigation of electron beam lithography effects on metal-insulator transition behavior of vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Yuce, H.; Alaboz, H.; Demirhan, Y.; Ozdemir, M.; Ozyuzer, L.; Aygun, G.

    2017-11-01

    Vanadium dioxide (VO2) shows metal-insulator phase transition at nearly 68 °C. This metal-insulator transition (MIT) in VO2 leads to a significant change in near-infrared transmittance and an abrupt change in the resistivity of VO2. Due to these characteristics, VO2 plays an important role on optic and electronic devices, such as thermochromic windows, meta-materials with tunable frequency, uncooled bolometers and switching devices. In this work, VO2 thin films were fabricated by reactive direct current magnetron sputtering in O2/Ar atmosphere on sapphire substrates without any further post annealing processes. The effect of sputtering parameters on optical characteristics and structural properties of grown thin films was investigated by SEM, XRD, Raman and UV/VIS spectrophotometer measurements. Patterning process of VO2 thin films was realized by e-beam lithography technique to monitor the temperature dependent electrical characterization. Electrical properties of VO2 samples were characterized using microprobe station in a vacuum system. MIT with hysteresis behavior was observed for the unpatterned square samples at around 68 °C. By four orders of magnitude of resistivity change was measured for the deposited VO2 thin films at transition temperature. After e-beam lithography process, substantial results in patterned VO2 thin films were observed. In this stage, for patterned VO2 thin films as stripes, the change in resistivity of VO2 was reduced by a factor of 10. As a consequence of electrical resistivity measurements, MIT temperature was shifted from 68 °C to 50 °C. The influence of e-beam process on the properties of VO2 thin films and the mechanism of the effects are discussed. The presented results contribute to the achievement of VO2 based thermochromic windows and bolometer applications.

  20. Advanced composites: Design and application. Proceedings of the meeting of the Mechanical Failures Prevention Group

    NASA Technical Reports Server (NTRS)

    Shives, T. R.; Willard, W. A.

    1979-01-01

    The design and application of advanced composites is discussed with emphasis on aerospace, aircraft, automotive, marine, and industrial applications. Failure modes in advanced composites are also discussed.