Measuring bacterial cells size with AFM
Osiro, Denise; Filho, Rubens Bernardes; Assis, Odilio Benedito Garrido; Jorge, Lúcio André de Castro; Colnago, Luiz Alberto
2012-01-01
Atomic Force Microscopy (AFM) can be used to obtain high-resolution topographical images of bacteria revealing surface details and cell integrity. During scanning however, the interactions between the AFM probe and the membrane results in distortion of the images. Such distortions or artifacts are the result of geometrical effects related to bacterial cell height, specimen curvature and the AFM probe geometry. The most common artifact in imaging is surface broadening, what can lead to errors in bacterial sizing. Several methods of correction have been proposed to compensate for these artifacts and in this study we describe a simple geometric model for the interaction between the tip (a pyramidal shaped AFM probe) and the bacterium (Escherichia coli JM-109 strain) to minimize the enlarging effect. Approaches to bacteria immobilization and examples of AFM images analysis are also described. PMID:24031837
Nanomechanics of Yeast Surfaces Revealed by AFM
NASA Astrophysics Data System (ADS)
Dague, Etienne; Beaussart, Audrey; Alsteens, David
Despite the large and well-documented characterization of the microbial cell wall in terms of chemical composition, the determination of the mechanical properties of surface molecules in relation to their function remains a key challenge in cell biology.The emergence of powerful tools allowing molecular manipulations has already revolutionized our understanding of the surface properties of fungal cells. At the frontier between nanophysics and molecular biology, atomic force microscopy (AFM), and more specifically single-molecule force spectroscopy (SMFS), has strongly contributed to our current knowledge of the cell wall organization and nanomechanical properties. However, due to the complexity of the technique, measurements on live cells are still at their infancy.In this chapter, we describe the cell wall composition and recapitulate the principles of AFM as well as the main current methodologies used to perform AFM measurements on live cells, including sample immobilization and tip functionalization.The current status of the progress in probing nanomechanics of the yeast surface is illustrated through three recent breakthrough studies. Determination of the cell wall nanostructure and elasticity is presented through two examples: the mechanical response of mannoproteins from brewing yeasts and elasticity measurements on lacking polysaccharide mutant strains. Additionally, an elegant study on force-induced unfolding and clustering of adhesion proteins located at the cell surface is also presented.
Contact nanomechanical measurements with the AFM
NASA Astrophysics Data System (ADS)
Geisse, Nicholas
2013-03-01
The atomic force microscope (AFM) has found broad use in the biological sciences largely due to its ability to make measurements on unfixed and unstained samples under liquid. In addition to imaging at multiple spatial scales ranging from micro- to nanometer, AFMs are commonly used as nanomechanical probes. This is pertinent for cell biology, as it has been demonstrated that the geometrical and mechanical properties of the extracellular microenvironment are important in such processes as cancer, cardiovascular disease, muscular dystrophy, and even the control of cell life and death. Indeed, the ability to control and quantify these external geometrical and mechanical parameters arises as a key issue in the field. Because AFM can quantitatively measure the mechanical properties of various biological samples, novel insights to cell function and to cell-substrate interactions are now possible. As the application of AFM to these types of problems is widened, it is important to understand the performance envelope of the technique and its associated data analyses. This talk will discuss the important issues that must be considered when mechanical models are applied to real-world data. Examples of the effect of different model assumptions on our understanding of the measured material properties will be shown. Furthermore, specific examples of the importance of mechanical stimuli and the micromechanical environment to the structure and function of biological materials will be presented.
An AFM-based pit-measuring method for indirect measurements of cell-surface membrane vesicles
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Xiaojun; Department of Biotechnology, Nanchang University, Nanchang, Jiangxi 330031; Chen, Yuan
2014-03-28
Highlights: • Air drying induced the transformation of cell-surface membrane vesicles into pits. • An AFM-based pit-measuring method was developed to measure cell-surface vesicles. • Our method detected at least two populations of cell-surface membrane vesicles. - Abstract: Circulating membrane vesicles, which are shed from many cell types, have multiple functions and have been correlated with many diseases. Although circulating membrane vesicles have been extensively characterized, the status of cell-surface membrane vesicles prior to their release is less understood due to the lack of effective measurement methods. Recently, as a powerful, micro- or nano-scale imaging tool, atomic force microscopy (AFM)more » has been applied in measuring circulating membrane vesicles. However, it seems very difficult for AFM to directly image/identify and measure cell-bound membrane vesicles due to the similarity of surface morphology between membrane vesicles and cell surfaces. Therefore, until now no AFM studies on cell-surface membrane vesicles have been reported. In this study, we found that air drying can induce the transformation of most cell-surface membrane vesicles into pits that are more readily detectable by AFM. Based on this, we developed an AFM-based pit-measuring method and, for the first time, used AFM to indirectly measure cell-surface membrane vesicles on cultured endothelial cells. Using this approach, we observed and quantitatively measured at least two populations of cell-surface membrane vesicles, a nanoscale population (<500 nm in diameter peaking at ∼250 nm) and a microscale population (from 500 nm to ∼2 μm peaking at ∼0.8 μm), whereas confocal microscopy only detected the microscale population. The AFM-based pit-measuring method is potentially useful for studying cell-surface membrane vesicles and for investigating the mechanisms of membrane vesicle formation/release.« less
Noise in NC-AFM measurements with significant tip–sample interaction
Lübbe, Jannis; Temmen, Matthias
2016-01-01
The frequency shift noise in non-contact atomic force microscopy (NC-AFM) imaging and spectroscopy consists of thermal noise and detection system noise with an additional contribution from amplitude noise if there are significant tip–sample interactions. The total noise power spectral density D Δ f(f m) is, however, not just the sum of these noise contributions. Instead its magnitude and spectral characteristics are determined by the strongly non-linear tip–sample interaction, by the coupling between the amplitude and tip–sample distance control loops of the NC-AFM system as well as by the characteristics of the phase locked loop (PLL) detector used for frequency demodulation. Here, we measure D Δ f(f m) for various NC-AFM parameter settings representing realistic measurement conditions and compare experimental data to simulations based on a model of the NC-AFM system that includes the tip–sample interaction. The good agreement between predicted and measured noise spectra confirms that the model covers the relevant noise contributions and interactions. Results yield a general understanding of noise generation and propagation in the NC-AFM and provide a quantitative prediction of noise for given experimental parameters. We derive strategies for noise-optimised imaging and spectroscopy and outline a full optimisation procedure for the instrumentation and control loops. PMID:28144538
Noise in NC-AFM measurements with significant tip-sample interaction.
Lübbe, Jannis; Temmen, Matthias; Rahe, Philipp; Reichling, Michael
2016-01-01
The frequency shift noise in non-contact atomic force microscopy (NC-AFM) imaging and spectroscopy consists of thermal noise and detection system noise with an additional contribution from amplitude noise if there are significant tip-sample interactions. The total noise power spectral density D Δ f ( f m ) is, however, not just the sum of these noise contributions. Instead its magnitude and spectral characteristics are determined by the strongly non-linear tip-sample interaction, by the coupling between the amplitude and tip-sample distance control loops of the NC-AFM system as well as by the characteristics of the phase locked loop (PLL) detector used for frequency demodulation. Here, we measure D Δ f ( f m ) for various NC-AFM parameter settings representing realistic measurement conditions and compare experimental data to simulations based on a model of the NC-AFM system that includes the tip-sample interaction. The good agreement between predicted and measured noise spectra confirms that the model covers the relevant noise contributions and interactions. Results yield a general understanding of noise generation and propagation in the NC-AFM and provide a quantitative prediction of noise for given experimental parameters. We derive strategies for noise-optimised imaging and spectroscopy and outline a full optimisation procedure for the instrumentation and control loops.
AFM-porosimetry: density and pore volume measurements of particulate materials.
Sörensen, Malin H; Valle-Delgado, Juan J; Corkery, Robert W; Rutland, Mark W; Alberius, Peter C
2008-06-01
We introduced the novel technique of AFM-porosimetry and applied it to measure the total pore volume of porous particles with a spherical geometry. The methodology is based on using an atomic force microscope as a balance to measure masses of individual particles. Several particles within the same batch were measured, and by plotting particle mass versus particle volume, the bulk density of the sample can be extracted from the slope of the linear fit. The pore volume is then calculated from the densities of the bulk and matrix materials, respectively. In contrast to nitrogen sorption and mercury porosimetry, this method is capable of measuring the total pore volume regardless of pore size distribution and pore connectivity. In this study, three porous samples were investigated by AFM-porosimetry: one ordered mesoporous sample and two disordered foam structures. All samples were based on a matrix of amorphous silica templated by a block copolymer, Pluronic F127, swollen to various degrees with poly(propylene glycol). In addition, the density of silica spheres without a template was measured by two independent techniques: AFM and the Archimedes principle.
Mikulska-Ruminska, Karolina; Kulik, Andrej J; Benadiba, Carine; Bahar, Ivet; Dietler, Giovanni; Nowak, Wieslaw
2017-08-18
Contactin-4 (CNTN4) is a complex cell adhesion molecule (CAM) localized at neuronal membranes, playing a key role in maintaining the mechanical integrity and signaling properties of the synapse. CNTN4 consists of six immunoglobulin C2 type (IgC2) domains and four fibronectin type III (FnIII) domains that are shared with many other CAMs. Mutations in CNTN4 gene have been linked to various psychiatric disorders. Toward elucidating the response of this modular protein to mechanical stress, we studied its force-induced unfolding using single molecule atomic force microscopy (smAFM) and steered molecular dynamics (SMD) simulations. Extensive smAFM and SMD data both indicate the distinctive mechanical behavior of the two types of modules distinguished by unique force-extension signatures. The data also reveal the heterogeneity of the response of the individual FNIII and IgC2 modules, which presumably plays a role in the adaptability of CNTN4 to maintaining cell-cell communication and adhesion properties under different conditions. Results show that extensive sampling of force spectra, facilitated by robot-enhanced AFM, can help reveal the existence of weak stabilizing interactions between the domains of multidomain proteins, and provide insights into the nanomechanics of such multidomain or heteromeric proteins.
Amyloid and membrane complexity: The toxic interplay revealed by AFM.
Canale, Claudio; Oropesa-Nuñez, Reinier; Diaspro, Alberto; Dante, Silvia
2018-01-01
Lipid membranes play a fundamental role in the pathological development of protein misfolding diseases. Several pieces of evidence suggest that the lipid membrane could act as a catalytic surface for protein aggregation. Furthermore, a leading theory indicates the interaction between the cell membrane and misfolded oligomer species as the responsible for cytotoxicity, hence, for neurodegeneration in disorders such as Alzheimer's and Parkinson's disease. The definition of the mechanisms that drive the interaction between pathological protein aggregates and plasma membrane is fundamental for the development of effective therapies for a large class of diseases. Atomic force microscopy (AFM) has been employed to study how amyloid aggregates affect the cell physiological properties. Considerable efforts were spent to characterize the interaction with model systems, i.e., planar supported lipid bilayers, but some works also addressed the problem directly on living cells. Here, an overview of the main works involving the use of the AFM on both model system and living cells will be provided. Different kind of approaches will be presented, as well as the main results derived from the AFM analysis. Copyright © 2017 Elsevier Ltd. All rights reserved.
AFM nanoscale indentation in air of polymeric and hybrid materials with highly different stiffness
NASA Astrophysics Data System (ADS)
Suriano, Raffaella; Credi, Caterina; Levi, Marinella; Turri, Stefano
2014-08-01
In this study, nanomechanical properties of a variety of polymeric materials was investigated by means of AFM. In particular, selecting different AFM probes, poly(methyl methacrylate) (PMMA), polydimethylsiloxane (PDMS) bulk samples, sol-gel hybrid thin films and hydrated hyaluronic acid hydrogels were indented in air to determine the elastic modulus. The force-distance curves and the indentation data were found to be greatly affected by the cantilever stiffness and by tip geometry. AFM indentation tests show that the choice of the cantilever spring constant and of tip shape is crucially influenced by elastic properties of samples. When adhesion-dominated interactions occur between the tip and the surface of samples, force-displacement curves reveal that a suitable functionalization of AFM probes allows the control of such interactions and the extraction of Young' modulus from AFM curves that would be otherwise unfeasible. By applying different mathematical models depending on AFM probes and materials under investigation, the values of Young's modulus were obtained and compared to those measured by rheological and dynamic mechanical analysis or to literature data. Our results show that a wide range of elastic moduli (10 kPa-10 GPa) can be determined by AFM in good agreement with those measured by conventional macroscopic measurements.
Measuring protein isoelectric points by AFM-based force spectroscopy using trace amounts of sample
NASA Astrophysics Data System (ADS)
Guo, Shifeng; Zhu, Xiaoying; Jańczewski, Dominik; Lee, Serina Siew Chen; He, Tao; Teo, Serena Lay Ming; Vancso, G. Julius
2016-09-01
Protein charge at various pH and isoelectric point (pI) values is important in understanding protein function. However, often only trace amounts of unknown proteins are available and pI measurements cannot be obtained using conventional methods. Here, we show a method based on the atomic force microscope (AFM) to determine pI using minute quantities of proteins. The protein of interest is immobilized on AFM colloidal probes and the adhesion force of the protein is measured against a positively and a negatively charged substrate made by layer-by-layer deposition of polyelectrolytes. From the AFM force-distance curves, pI values with an estimated accuracy of ±0.25 were obtained for bovine serum albumin, myoglobin, fibrinogen and ribonuclease A over a range of 4.7-9.8. Using this method, we show that the pI of the ‘footprint’ of the temporary adhesive proteins secreted by the barnacle cyprid larvae of Amphibalanus amphitrite is in the range 9.6-9.7.
2015-01-01
Accurately defining the nanoporous structure and sensing the ionic flow across nanoscale pores in thin films and membranes has a wide range of applications, including characterization of biological ion channels and receptors, DNA sequencing, molecule separation by nanoparticle films, sensing by block co-polymers films, and catalysis through metal–organic frameworks. Ionic conductance through nanopores is often regulated by their 3D structures, a relationship that can be accurately determined only by their simultaneous measurements. However, defining their structure–function relationships directly by any existing techniques is still not possible. Atomic force microscopy (AFM) can image the structures of these pores at high resolution in an aqueous environment, and electrophysiological techniques can measure ion flow through individual nanoscale pores. Combining these techniques is limited by the lack of nanoscale interfaces. We have designed a graphene-based single-nanopore support (∼5 nm thick with ∼20 nm pore diameter) and have integrated AFM imaging and ionic conductance recording using our newly designed double-chamber recording system to study an overlaid thin film. The functionality of this integrated system is demonstrated by electrical recording (<10 pS conductance) of suspended lipid bilayers spanning a nanopore and simultaneous AFM imaging of the bilayer. PMID:24581087
Capillary force on a tilted cylinder: Atomic Force Microscope (AFM) measurements.
Kosgodagan Acharige, Sébastien; Laurent, Justine; Steinberger, Audrey
2017-11-01
The capillary force in situations where the liquid meniscus is asymmetric, such as the one around a tilted object, has been hitherto barely investigated even though these situations are very common in practice. In particular, the capillary force exerted on a tilted object may depend on the dipping angle i. We investigate experimentally the capillary force that applies on a tilted cylinder as a function of its dipping angle i, using a home-built tilting Atomic Force Microscope (AFM) with custom made probes. A micrometric-size rod is glued at the end of an AFM cantilever of known stiffness, whose deflection is measured when the cylindrical probe is dipped in and retracted from reference liquids. We show that a torque correction is necessary to understand the measured deflection. We give the explicit expression of this correction as a function of the probes' geometrical parameters, so that its magnitude can be readily evaluated. The results are compatible with a vertical capillary force varying as 1/cosi, in agreement with a recent theoretical prediction. Finally, we discuss the accuracy of the method for measuring the surface tension times the cosine of the contact angle of the liquid on the probe. Copyright © 2017 Elsevier Inc. All rights reserved.
Chae, Jungseok; An, Sangmin; Ramer, Georg; ...
2017-08-03
The atomic force microscope (AFM) offers a rich observation window on the nanoscale, yet many dynamic phenomena are too fast and too weak for direct AFM detection. Integrated cavity-optomechanics is revolutionizing micromechanical sensing; however, it has not yet impacted AFM. Here, we make a groundbreaking advance by fabricating picogram-scale probes integrated with photonic resonators to realize functional AFM detection that achieve high temporal resolution (<10 ns) and picometer vertical displacement uncertainty simultaneously. The ability to capture fast events with high precision is leveraged to measure the thermal conductivity (η), for the first time, concurrently with chemical composition at the nanoscalemore » in photothermal induced resonance experiments. The intrinsic η of metal–organic-framework individual microcrystals, not measurable by macroscale techniques, is obtained with a small measurement uncertainty (8%). The improved sensitivity (50×) increases the measurement throughput 2500-fold and enables chemical composition measurement of molecular monolayer-thin samples. In conclusion, our paradigm-shifting photonic readout for small probes breaks the common trade-off between AFM measurement precision and ability to capture transient events, thus transforming the ability to observe nanoscale dynamics in materials.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chae, Jungseok; An, Sangmin; Ramer, Georg
The atomic force microscope (AFM) offers a rich observation window on the nanoscale, yet many dynamic phenomena are too fast and too weak for direct AFM detection. Integrated cavity-optomechanics is revolutionizing micromechanical sensing; however, it has not yet impacted AFM. Here, we make a groundbreaking advance by fabricating picogram-scale probes integrated with photonic resonators to realize functional AFM detection that achieve high temporal resolution (<10 ns) and picometer vertical displacement uncertainty simultaneously. The ability to capture fast events with high precision is leveraged to measure the thermal conductivity (η), for the first time, concurrently with chemical composition at the nanoscalemore » in photothermal induced resonance experiments. The intrinsic η of metal–organic-framework individual microcrystals, not measurable by macroscale techniques, is obtained with a small measurement uncertainty (8%). The improved sensitivity (50×) increases the measurement throughput 2500-fold and enables chemical composition measurement of molecular monolayer-thin samples. In conclusion, our paradigm-shifting photonic readout for small probes breaks the common trade-off between AFM measurement precision and ability to capture transient events, thus transforming the ability to observe nanoscale dynamics in materials.« less
Atomic Force Microscope (AFM) measurements and analysis on Sagem 05R0025 secondary substrate
DOE Office of Scientific and Technical Information (OSTI.GOV)
Soufli, R; Baker, S L; Robinson, J C
2006-02-22
The summary of Atomic Force Microscope (AFM) on Sagem 05R0025 secondary substrate: (1) 2 x 2 {micro}m{sup 2} and 10 x 10 {micro}m{sup 2} AFM measurements and analysis on Sagem 05R0025 secondary substrate at LLNL indicate rather uniform and extremely isotropic finish across the surface, with high-spatial frequency roughness {sigma} in the range 5.1-5.5 {angstrom} rms; (2) the marked absence of pronounced long-range polishing marks in any direction, combined with increased roughness in the very high spatial frequencies, are consistent with ion-beam polishing treatment on the surface. These observations are consistent with all earlier mirrors they measured from the samemore » vendor; and (3) all data were obtained with a Digital Instruments Dimension 5000{trademark} atomic force microscope.« less
Measuring nanoscale viscoelastic parameters of cells directly from AFM force-displacement curves.
Efremov, Yuri M; Wang, Wen-Horng; Hardy, Shana D; Geahlen, Robert L; Raman, Arvind
2017-05-08
Force-displacement (F-Z) curves are the most commonly used Atomic Force Microscopy (AFM) mode to measure the local, nanoscale elastic properties of soft materials like living cells. Yet a theoretical framework has been lacking that allows the post-processing of F-Z data to extract their viscoelastic constitutive parameters. Here, we propose a new method to extract nanoscale viscoelastic properties of soft samples like living cells and hydrogels directly from conventional AFM F-Z experiments, thereby creating a common platform for the analysis of cell elastic and viscoelastic properties with arbitrary linear constitutive relations. The method based on the elastic-viscoelastic correspondence principle was validated using finite element (FE) simulations and by comparison with the existed AFM techniques on living cells and hydrogels. The method also allows a discrimination of which viscoelastic relaxation model, for example, standard linear solid (SLS) or power-law rheology (PLR), best suits the experimental data. The method was used to extract the viscoelastic properties of benign and cancerous cell lines (NIH 3T3 fibroblasts, NMuMG epithelial, MDA-MB-231 and MCF-7 breast cancer cells). Finally, we studied the changes in viscoelastic properties related to tumorigenesis including TGF-β induced epithelial-to-mesenchymal transition on NMuMG cells and Syk expression induced phenotype changes in MDA-MB-231 cells.
Zhang, Qinnan; Zhong, Liyun; Tang, Ping; Yuan, Yingjie; Liu, Shengde; Tian, Jindong; Lu, Xiaoxu
2017-05-31
Cell refractive index, an intrinsic optical parameter, is closely correlated with the intracellular mass and concentration. By combining optical phase-shifting interferometry (PSI) and atomic force microscope (AFM) imaging, we constructed a label free, non-invasive and quantitative refractive index of single cell measurement system, in which the accurate phase map of single cell was retrieved with PSI technique and the cell morphology with nanoscale resolution was achieved with AFM imaging. Based on the proposed AFM/PSI system, we achieved quantitative refractive index distributions of single red blood cell and Jurkat cell, respectively. Further, the quantitative change of refractive index distribution during Daunorubicin (DNR)-induced Jurkat cell apoptosis was presented, and then the content changes of intracellular biochemical components were achieved. Importantly, these results were consistent with Raman spectral analysis, indicating that the proposed PSI/AFM based refractive index system is likely to become a useful tool for intracellular biochemical components analysis measurement, and this will facilitate its application for revealing cell structure and pathological state from a new perspective.
Zhao, Binyu; Wang, Xingya; Song, Yang; Hu, Jun; Lü, Junhong; Zhou, Xingfei; Tai, Renzhong; Zhang, Xuehua; Zhang, Lijuan
2015-05-28
Micropancakes are quasi-two-dimensional micron-sized domains on crystalline substrates (e.g. highly oriented pyrolytic graphite (HOPG)) immersed in water. They are only a few nanometers thick, and are suspected to come from the accumulation of dissolved air at the solid-water interface. However, the exact chemical nature and basic physical properties of micropancakes have been under debate ever since their first observation, primarily due to the lack of a suitable characterization technique. In this study, the stiffness of micropancakes at the interface between HOPG and ethanol-water solutions was investigated by using PeakForce Quantitative NanoMechanics (PF-QNM) mode Atomic Force Microscopy (AFM). Our measurements showed that micropancakes were stiffer than nanobubbles, and for bilayer micropancakes, the bottom layer in contact with the substrate was stiffer than the top one. Interestingly, the micropancakes became smaller and softer with an increase in the ethanol concentration in the solution, and were undetectable by AFM above a critical concentration of ethanol. But they re-appeared after the ethanol concentration in the solution was reduced. Clearly the evolution and stiffness of the micropancakes were dependent on the chemical composition in the solution, which could be attributed to the correlation of the mechanical properties of the micropancakes with the surface tension of the liquid phase. Based on the "go-and-come" behaviors of micropancakes with the ethanol concentration, we found that the micropancakes could actually tolerate the ethanol concentration much higher than 5%, a value reported in the literature. The results from this work may be helpful in alluding the chemical nature of micropancakes.
Biological Applications of FM-AFM in Liquid Environment
NASA Astrophysics Data System (ADS)
Fukuma, Takeshi; Jarvis, Suzanne P.
Atomic force microscopy (AFM) was noted for its potential to study biological materials shortly after its first development in 1986 due to its ability to image insulators in liquid environments. The subsequent application of AFM to biology has included lateral characterization via imaging, unraveling of molecules under a tensile load and application of a force either to measure mechanical properties under the tip or to instigate a biochemical response in living cells. To date, the application of frequency modulation AFM (FM-AFM) specifically to biological materials has been limited to relatively few research groups when compared to the extensive application of AFM to biological materials. This is probably due to the perceived complexity of the technique both by researchers in the life sciences and those manufacturing liquid AFMs for biological research. In this chapter, we aim to highlight the advantages of applying the technique to biological materials.
Laurent, Justine; Steinberger, Audrey; Bellon, Ludovic
2013-06-07
The functionalization of an atomic force microscope (AFM) cantilever with a colloidal bead is a widely used technique when the geometry between the probe and the sample must be controlled, particularly in force spectroscopy. But some questions remain: how does a bead glued at the end of a cantilever influence its mechanical response? And more importantly for quantitative measurements, can we still determine the stiffness of the AFM probe with traditional techniques?In this paper, the influence of the colloidal mass loading on the eigenmode shape and resonant frequency is investigated by measuring the thermal noise on rectangular AFM microcantilevers with and without beads attached at their extremities. The experiments are performed with a home-made ultra-sensitive AFM, based on differential interferometry. The focused beam from the interferometer probes the cantilever at different positions and the spatial shapes of the modes are determined up to the fifth resonance, without external excitation. The results clearly demonstrate that the first eigenmode is almost unchanged by mass loading. However the oscillation behavior of higher resonances presents a marked difference: with a particle glued at its extremity, the nodes of the modes are displaced towards the free end of the cantilever. These results are compared to an analytical model taking into account the mass and inertial moment of the load in an Euler-Bernoulli framework, where the normalization of the eigenmodes is explicitly worked out in order to allow a quantitative prediction of the thermal noise amplitude of each mode. A good agreement between the experimental results and the analytical model is demonstrated, allowing a clean calibration of the probe stiffness.
Gierlinger, Notburga
2016-01-01
Abstract Scanning probe microscopies and spectroscopies, especially AFM and Confocal Raman microscopy are powerful tools to characterize biological materials. They are both non‐destructive methods and reveal mechanical and chemical properties on the micro and nano‐scale. In the last years the interest for increasing the lateral resolution of optical and spectral images has driven the development of new technologies that overcome the diffraction limit of light. The combination of AFM and Raman reaches resolutions of about 50–150 nm in near‐field Raman and 1.7–50 nm in tip enhanced Raman spectroscopy (TERS) and both give a molecular information of the sample and the topography of the scanned surface. In this review, the mentioned approaches are introduced, the main advantages and problems for application on biological samples discussed and some examples for successful experiments given. Finally the potential of colocated AFM and Raman measurements is shown on a case study of cellulose‐lignin films: the topography structures revealed by AFM can be related to a certain chemistry by the colocated Raman scan and additionally the mechanical properties be revealed by using the digital pulsed force mode. Microsc. Res. Tech. 80:30–40, 2017. © 2016 Wiley Periodicals, Inc. PMID:27514318
Tip Characterization Method using Multi-feature Characterizer for CD-AFM
Orji, Ndubuisi G.; Itoh, Hiroshi; Wang, Chumei; Dixson, Ronald G.; Walecki, Peter S.; Schmidt, Sebastian W.; Irmer, Bernd
2016-01-01
In atomic force microscopy (AFM) metrology, the tip is a key source of uncertainty. Images taken with an AFM show a change in feature width and shape that depends on tip geometry. This geometric dilation is more pronounced when measuring features with high aspect ratios, and makes it difficult to obtain absolute dimensions. In order to accurately measure nanoscale features using an AFM, the tip dimensions should be known with a high degree of precision. We evaluate a new AFM tip characterizer, and apply it to critical dimension AFM (CD-AFM) tips used for high aspect ratio features. The characterizer is made up of comb-shaped lines and spaces, and includes a series of gratings that could be used as an integrated nanoscale length reference. We also demonstrate a simulation method that could be used to specify what range of tip sizes and shapes the characterizer can measure. Our experiments show that for non re-entrant features, the results obtained with this characterizer are consistent to 1 nm with the results obtained by using widely accepted but slower methods that are common practice in CD-AFM metrology. A validation of the integrated length standard using displacement interferometry indicates a uniformity of better than 0.75%, suggesting that the sample could be used as highly accurate and SI traceable lateral scale for the whole evaluation process. PMID:26720439
Recent developments in dimensional nanometrology using AFMs
NASA Astrophysics Data System (ADS)
Yacoot, Andrew; Koenders, Ludger
2011-12-01
Scanning probe microscopes, in particular the atomic force microscope (AFM), have developed into sophisticated instruments that, throughout the world, are no longer used just for imaging, but for quantitative measurements. A role of the national measurement institutes has been to provide traceable metrology for these instruments. This paper presents a brief overview as to how this has been achieved, highlights the future requirements for metrology to support developments in AFM technology and describes work in progress to meet this need.
On CD-AFM bias related to probe bending
NASA Astrophysics Data System (ADS)
Ukraintsev, V. A.; Orji, N. G.; Vorburger, T. V.; Dixson, R. G.; Fu, J.; Silver, R. M.
2012-03-01
Critical Dimension AFM (CD-AFM) is a widely used reference metrology. To characterize modern semiconductor devices, very small and flexible probes, often 15 nm to 20 nm in diameter, are now frequently used. Several recent publications have reported on uncontrolled and significant probe-to-probe bias variation during linewidth and sidewall angle measurements [1,2]. Results obtained in this work suggest that probe bending can be on the order of several nanometers and thus potentially can explain much of the observed CD-AFM probe-to-probe bias variation. We have developed and experimentally tested one-dimensional (1D) and two-dimensional (2D) models to describe the bending of cylindrical probes. An earlier 1D bending model reported by Watanabe et al. [3] was refined. Contributions from several new phenomena were considered, including: probe misalignment, diameter variation near the carbon nanotube tip (CNT) apex, probe bending before snapping, distributed van der Waals-London force, etc. The methodology for extraction of the Hamaker probe-surface interaction energy from experimental probe bending data was developed. To overcome limitations of the 1D model, a new 2D distributed force (DF) model was developed. Comparison of the new model with the 1D single point force (SPF) model revealed about 27 % difference in probe bending bias between the two. A simple linear relation between biases predicted by the 1D SPF and 2D DF models was found. This finding simplifies use of the advanced 2D DF model of probe bending in various CD-AFM applications. New 2D and three-dimensional (3D) CDAFM data analysis software is needed to take full advantage of the new bias correction modeling capabilities.
Wang, Yuliang; Wang, Huimin; Bi, Shusheng; Guo, Bin
2016-01-01
The dynamic wetting properties of atomic force microscopy (AFM) tips are of much concern in many AFM-related measurement, fabrication, and manipulation applications. In this study, the wetting properties of silicon and silicon nitride AFM tips are investigated through dynamic contact angle measurement using a nano-Wilhelmy balance based method. This is done by capillary force measurement during extension and retraction motion of AFM tips relative to interfacial nanobubbles. The working principle of the proposed method and mathematic models for dynamic contact angle measurement are presented. Geometric models of AFM tips were constructed using scanning electronic microscopy (SEM) images taken from different view directions. The detailed process of tip-nanobubble interaction was investigated using force-distance curves of AFM on nanobubbles. Several parameters including nanobubble height, adhesion and capillary force between tip and nanobubbles are extracted. The variation of these parameters was studied over nanobubble surfaces. The dynamic contact angles of the AFM tips were calculated from the capillary force measurements. The proposed method provides direct measurement of dynamic contact angles for AFM tips and can also be taken as a general approach for nanoscale dynamic wetting property investigation. PMID:27452115
NASA Astrophysics Data System (ADS)
Wang, Yuliang; Wang, Huimin; Bi, Shusheng; Guo, Bin
2016-07-01
The dynamic wetting properties of atomic force microscopy (AFM) tips are of much concern in many AFM-related measurement, fabrication, and manipulation applications. In this study, the wetting properties of silicon and silicon nitride AFM tips are investigated through dynamic contact angle measurement using a nano-Wilhelmy balance based method. This is done by capillary force measurement during extension and retraction motion of AFM tips relative to interfacial nanobubbles. The working principle of the proposed method and mathematic models for dynamic contact angle measurement are presented. Geometric models of AFM tips were constructed using scanning electronic microscopy (SEM) images taken from different view directions. The detailed process of tip-nanobubble interaction was investigated using force-distance curves of AFM on nanobubbles. Several parameters including nanobubble height, adhesion and capillary force between tip and nanobubbles are extracted. The variation of these parameters was studied over nanobubble surfaces. The dynamic contact angles of the AFM tips were calculated from the capillary force measurements. The proposed method provides direct measurement of dynamic contact angles for AFM tips and can also be taken as a general approach for nanoscale dynamic wetting property investigation.
NASA Astrophysics Data System (ADS)
Moores, A. N.; Cadby, A. J.
2018-02-01
Correlative fluorescence and atomic force microscopy (AFM) imaging is a highly attractive technique for use in biological imaging, enabling force and mechanical measurements of particular structures whose locations are known due to the specificity of fluorescence imaging. The ability to perform these two measurements simultaneously (rather than consecutively with post-processing correlation) is highly valuable because it would allow the mechanical properties of a structure to be tracked over time as changes in the sample occur. We present an instrument which allows simultaneous AFM and fluorescence imaging by aligning an incident fluorescence excitation beam with an AFM-tip. Alignment was performed by calibrating a 2D galvanometer present in the excitation beam path and using it to reposition the incident beam. Two programs were developed (one manual and one automated) which correlate sample features between the AFM and fluorescence images, calculating the distance required to translate the incident beam towards the AFM-tip. Using this method, we were able to obtain beam-tip alignment (and therefore field-of-view alignment) from an offset of >15 μm to within one micron in two iterations of the program. With the program running alongside data acquisition for real-time feedback between AFM and optical images, this offset was maintained over a time period of several hours. Not only does this eliminate the need to image large areas with both techniques to ensure that fields-of-view overlap, but it also raises the possibility of using this instrument for tip-enhanced fluorescence applications, a technique in which super-resolution images have previously been achieved.
Nano Mechanical Machining Using AFM Probe
NASA Astrophysics Data System (ADS)
Mostofa, Md. Golam
and burr formations through intermittent cutting. Combining the AFM probe based machining with vibration-assisted machining enhanced nano mechanical machining processes by improving the accuracy, productivity and surface finishes. In this study, several scratching tests are performed with a single crystal diamond AFM probe to investigate the cutting characteristics and model the ploughing cutting forces. Calibration of the probe for lateral force measurements, which is essential, is also extended through the force balance method. Furthermore, vibration-assisted machining system is developed and applied to fabricate different materials to overcome some of the limitations of the AFM probe based single point nano mechanical machining. The novelty of this study includes the application of vibration-assisted AFM probe based nano scale machining to fabricate micro/nano scale features, calibration of an AFM by considering different factors, and the investigation of the nano scale material removal process from a different perspective.
AFM-IR: Technology and Applications in Nanoscale Infrared Spectroscopy and Chemical Imaging.
Dazzi, Alexandre; Prater, Craig B
2016-12-13
Atomic force microscopy-based infrared spectroscopy (AFM-IR) is a rapidly emerging technique that provides chemical analysis and compositional mapping with spatial resolution far below conventional optical diffraction limits. AFM-IR works by using the tip of an AFM probe to locally detect thermal expansion in a sample resulting from absorption of infrared radiation. AFM-IR thus can provide the spatial resolution of AFM in combination with the chemical analysis and compositional imaging capabilities of infrared spectroscopy. This article briefly reviews the development and underlying technology of AFM-IR, including recent advances, and then surveys a wide range of applications and investigations using AFM-IR. AFM-IR applications that will be discussed include those in polymers, life sciences, photonics, solar cells, semiconductors, pharmaceuticals, and cultural heritage. In the Supporting Information , the authors provide a theoretical section that reviews the physics underlying the AFM-IR measurement and detection mechanisms.
Wettability of AFM tip influences the profile of interfacial nanobubbles
NASA Astrophysics Data System (ADS)
Teshima, Hideaki; Takahashi, Koji; Takata, Yasuyuki; Nishiyama, Takashi
2018-02-01
To accurately characterize the shape of interfacial nanobubbles using atomic force microscopy (AFM), we investigated the effect of wettability of the AFM tip while operating in the peak force tapping (PFT) mode. The AFM tips were made hydrophobic and hydrophilic by Teflon AF coating and oxygen plasma treatment, respectively. It was found that the measured base radius of nanobubbles differed between AFM height images and adhesion images, and that this difference depended on the tip wettability. The force curves obtained during the measurements were also different depending on the wettability, especially in the range of the tip/nanobubble interaction and in the magnitude of the maximum attractive force in the retraction period. The difference suggests that hydrophobic tips penetrate the gas/liquid interface of the nanobubbles, with the three phase contact line being pinned on the tip surface; hydrophilic tips on the other hand do not penetrate the interface. We then quantitatively estimated the pinning position and recalculated the true profiles of the nanobubbles by comparing the height images and adhesion images. As the AFM tip was made more hydrophilic, the penetration depth decreased and eventually approached zero. This result suggests that the PFT measurement using a hydrophilic tip is vital for the acquisition of reliable nanobubble profiles.
NASA Astrophysics Data System (ADS)
Nysten, Bernard; Fretigny, Christian; Cuenot, Stephane
2005-05-01
Resonant contact atomic force microscopy (resonant C-AFM) is used to quantitatively measure the elastic modulus of polymer nanotubes and metallic nanowires. To achieve this, an oscillating electric field is applied between the sample holder and the microscope head to excite the oscillation of the cantilever in contact with the nanostructures suspended over the pores of a membrane. The resonance frequency of the cantilever with the tip in contact with a nanostructure is shifted to higher values with respect to the resonance frequency of the free cantilever. It is demonstrated that the system can simply be modeled by a cantilever with the tip in contact with two springs. The measurement of the frequency shift enables the direct determination of the spring stiffness, i.e. the nanowires or nanotube stiffness. The method also enables the determination of the boundary conditions of the nanobeam on the membrane. The tensile elastic modulus is then simply determined using the classical theory of beam deflection. The obtained results for the larger nanostructures fairly agree to the values reported in the literature for the macroscopic elastic modulus of the corresponding materials. The measured modulus of the nanomaterials with smaller diameters is significantly higher than that of the larger ones. The increase of the apparent elastic modulus for the smaller diameters is attributed to the surface tension effects. It is thus demonstrated that resonant C-AFM enables the measurement of the elastic modulus and of the surface tension of nanomaterials.
Friction measurements on InAs NWs by AFM manipulation
NASA Astrophysics Data System (ADS)
Pettersson, Hakan; Conache, Gabriela; Gray, Struan; Bordag, Michael; Ribayrol, Aline; Froberg, Linus; Samuelson, Lars; Montelius, Lars
2008-03-01
We discuss a new approach to measure the friction force between elastically deformed nanowires and a surface. The wires are bent, using an AFM, into an equilibrium shape determined by elastic restoring forces within the wire and friction between the wire and the surface. From measurements of the radius of curvature of the bent wires, elasticity theory allows the friction force per unit length to be calculated. We have studied friction properties of InAs nanowires deposited on SiO2, silanized SiO2 and Si3N4 substrates. The wires were typically from 0.5 to a few microns long, with diameters varying between 20 and 80 nm. Manipulation is done in a `Retrace Lift' mode, where feedback is turned off for the reverse scan and the tip follows a nominal path. The effective manipulation force during the reverse scan can be changed by varying an offset in the height of the tip over the surface. We will report on interesting static- and sliding friction experiments with nanowires on the different substrates, including how the friction force per unit length varies with the diameter of the wires.
Borrell, Jordi H; Montero, M Teresa; Morros, Antoni; Domènech, Òscar
2015-11-01
In this work, we will describe in quantitative terms the unspecific recognition between lactose permease (LacY) of Escherichia coli, a polytopic model membrane protein, and one of the main components of the inner membrane of this bacterium. Supported lipid bilayers of 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphoethanolamine (POPE) and 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphoglycerol (POPG) (3:1, mol/mol) in the presence of Ca(2+) display lateral phase segregation that can be distinguished by atomic force microscopy (AFM) as well as force spectroscopy. LacY shows preference for fluid (Lα) phases when it is reconstituted in POPE : POPG (3:1, mol/mol) proteoliposomes at a lipid-to-protein ratio of 40. When the lipid-to-protein ratio is decreased down to 0.5, two domains can be distinguished by AFM. While the upper domain is formed by self-segregated units of LacY, the lower domain is constituted only by phospholipids in gel (Lβ) phase. On the one hand, classical differential scanning calorimetry (DSC) measurements evidenced the segregation of a population of phospholipids and point to the existence of a boundary region at the lipid-protein interface. On the other hand, Förster Resonance Energy Transfer (FRET) measurements in solution evidenced that POPE is selectively recognized by LacY. A binary pseudophase diagram of POPE : POPG built from AFM observations enables to calculate the composition of the fluid phase where LacY is inserted. These results are consistent with a model where POPE constitutes the main component of the lipid-LacY interface segregated from the fluid bulk phase where POPG predominates. Copyright © 2015 John Wiley & Sons, Ltd.
Kim, Hyonchol; Yamagishi, Ayana; Imaizumi, Miku; Onomura, Yui; Nagasaki, Akira; Miyagi, Yohei; Okada, Tomoko; Nakamura, Chikashi
2017-07-01
Intercellular adhesion between a macrophage and cancer cells was quantitatively measured using atomic force microscopy (AFM). Cup-shaped metal hemispheres were fabricated using polystyrene particles as a template, and a cup was attached to the apex of the AFM cantilever. The cup-attached AFM chip (cup-chip) approached a murine macrophage cell (J774.2), the cell was captured on the inner concave of the cup, and picked up by withdrawing the cup-chip from the substrate. The cell-attached chip was advanced towards a murine breast cancer cell (FP10SC2), and intercellular adhesion between the two cells was quantitatively measured. To compare cell adhesion strength, the work required to separate two adhered cells (separation work) was used as a parameter. Separation work was almost 2-fold larger between a J774.2 cell and FP10SC2 cell than between J774.2 cell and three additional different cancer cells (4T1E, MAT-LyLu, and U-2OS), two FP10SC2 cells, or two J774.2 cells. FP10SC2 was established from 4T1E as a highly metastatic cell line, indicates separation work increased as the malignancy of cancer cells became higher. One possible explanation of the strong adhesion of macrophages to cancer cells observed in this study is that the measurement condition mimicked the microenvironment of tumor-associated macrophages (TAMs) in vivo, and J774.2 cells strongly expressed CD204, which is a marker of TAMs. The results of the present study, which were obtained by measuring cell adhesion strength quantitatively, indicate that the fabricated cup-chip is a useful tool for measuring intercellular adhesion easily and quantitatively. Copyright © 2017 Elsevier B.V. All rights reserved.
Characterization of the interaction between AFM tips and surface nanobubbles.
Walczyk, Wiktoria; Schönherr, Holger
2014-06-24
While the presence of gaseous enclosures observed at various solid-water interfaces, the so-called "surface nanobubles", has been confirmed by many groups in recent years, their formation, properties, and stability have not been convincingly and exhaustively explained. Here we report on an atomic force microscopy (AFM) study of argon nanobubbles on highly oriented pyrolitic graphite (HOPG) in water to elucidate the properties of nanobubble surfaces and the mechanism of AFM tip-nanobubble interaction. In particular, the deformation of the nanobubble-water interface by the AFM tip and the question whether the AFM tip penetrates the nanobubble during scanning were addressed by this combined intermittent contact (tapping) mode and force volume AFM study. We found that the stiffness of nanobubbles was smaller than the cantilever spring constant and comparable with the surface tension of water. The interaction with the AFM tip resulted in severe quasi-linear deformation of the bubbles; however, in the case of tip-bubble attraction, the interface deformed toward the tip. We tested two models of tip-bubble interaction, namely, the capillary force and the dynamic interaction model, and found, depending on the tip properties, good agreement with experimental data. The results showed that the tip-bubble interaction strength and the magnitude of the bubble deformation depend strongly on tip and bubble geometry and on tip and substrate material, and are very sensitive to the presence of contaminations that alter the interfacial tension. In particular, nanobubbles interacted differently with hydrophilic and hydrophobic AFM tips, which resulted in qualitatively and quantitatively different force curves measured on the bubbles in the experiments. To minimize bubble deformation and obtain reliable AFM results, nanobubbles must be measured with a sharp hydrophilic tip and with a cantilever having a very low spring constant in a contamination-free system.
Beyond topography - enhanced imaging of cometary dust with the MIDAS AFM
NASA Astrophysics Data System (ADS)
Bentley, M. S.; Torkar, K.; Jeszenszky, H.; Romstedt, J.
2013-09-01
The MIDAS atomic force microscope (AFM) onboard the Rosetta spacecraft is primarily designed to return the 3D shape and structure of cometary dust particles collected at comet 67P/Churyumov-Gerasimenko [1]. Commercial AFMs have, however, been further developed to measure many other sample properties. The possibilities to make such measurements with MIDAS are explored here.
Zhang, Chuang; Shi, Jialin; Wang, Wenxue; Xi, Ning; Wang, Yuechao; Liu, Lianqing
2017-12-01
The mechanical properties of cells, which are the main characteristics determining their physical performance and physiological functions, have been actively studied in the fields of cytobiology and biomedical engineering and for the development of medicines. In this study, an indentation-vibration-based method is proposed to simultaneously measure the mechanical properties of cells in situ, including cellular mass (m), elasticity (k), and viscosity (c). The proposed measurement method is implemented based on the principle of forced vibration stimulated by simple harmonic force using an atomic force microscope (AFM) system integrated with a piezoelectric transducer as the substrate vibrator. The corresponding theoretical model containing the three mechanical properties is derived and used to perform simulations and calculations. Living and fixed human embryonic kidney 293 (HEK 293) cells were subjected to indentation and vibration to measure and compare their mechanical parameters and verify the proposed approach. The results that the fixed sample cells are more viscous and elastic than the living sample cells and the measured mechanical properties of cell are consistent within, but not outside of the central region of the cell, are in accordance with the previous studies. This work provides an approach to simultaneous measurement of the multiple mechanical properties of single cells using an integrated AFM system based on the principle force vibration and thickness-corrected Hertz model. This study should contribute to progress in biomedical engineering, cytobiology, medicine, early diagnosis, specific therapy and cell-powered robots.
Pinning effects from substrate and AFM tip surfaces on interfacial nanobubbles
NASA Astrophysics Data System (ADS)
Teshima, Hideaki; Takahashi, Koji; Takata, Yasuyuki; Nishiyama, Takashi
2017-11-01
Measurement accuracy of atomic force microscopy (AFM) is vital to understand the mechanism of interfacial nanobubbles. In this study, we report the influence of pinning derived from both substrate and AFM tip surfaces on the measured shape of interfacial nanobubbles in peak force tapping mode. First, we pushed the nanobubbles using the AFM tip with high peak force setpoint. As a result, the deformed nanobubbles kept their flat shape for several tens of minutes. We quantitatively discuss the pinning force from substrate surface, which retains the flat shape enhancing the stability of nanobubbles. Next, we prepared three AFM tips with different wettability and measured the nanobubbles with an identical setpoint. By comparing the force curves obtained during the measurements, it seems that the (middle-)hydrophobic tips penetrated the liquid/gas interface and received repulsive force resulting from positive meniscus formed by pinning at the tip surface. In contrast, hydrophilic tip didn't penetrate the interface and received the force from the deformation of the interface of the nanobubbles. In addition, the measurements using the (middle-)hydrophobic tips led to the underestimation of the nanobubbles profile corresponding to the pinning position at the tip surfaces.
Direct Measurement of Optical Force Induced by Near-Field Plasmonic Cavity Using Dynamic Mode AFM
Guan, Dongshi; Hang, Zhi Hong; Marcet, Zsolt; Liu, Hui; Kravchenko, I. I.; Chan, C. T.; Chan, H. B.; Tong, Penger
2015-01-01
Plasmonic nanostructures have attracted much attention in recent years because of their potential applications in optical manipulation through near-field enhancement. Continuing experimental efforts have been made to develop accurate techniques to directly measure the near-field optical force induced by the plasmonic nanostructures in the visible frequency range. In this work, we report a new application of dynamic mode atomic force microscopy (DM-AFM) in the measurement of the enhanced optical force acting on a nano-structured plasmonic resonant cavity. The plasmonic cavity is made of an upper gold-coated glass sphere and a lower quartz substrate patterned with an array of subwavelength gold disks. In the near-field when the sphere is positioned close to the disk array, plasmonic resonance is excited in the cavity and the induced force by a 1550 nm infrared laser is found to be increased by an order of magnitude compared with the photon pressure generated by the same laser light. The experiment demonstrates that DM-AFM is a powerful tool for the study of light induced forces and their enhancement in plasmonic nanostructures. PMID:26586455
Direct measurement of optical force induced by near-field plasmonic cavity using dynamic mode AFM
Guan, Dongshi; Hang, Zhi Hong; Marset, Zsolt; ...
2015-11-20
Plasmonic nanostructures have attracted much attention in recent years because of their potential applications in optical manipulation through near-field enhancement. Continuing experimental efforts have been made to develop accurate techniques to directly measure the near-field optical force induced by the plasmonic nanostructures in the visible frequency range. In this work, we report a new application of dynamic mode atomic force microscopy (DM-AFM) in the measurement of the enhanced optical force acting on a nano-structured plasmonic resonant cavity. The plasmonic cavity is made of an upper gold-coated glass sphere and a lower quartz substrate patterned with an array of subwavelength goldmore » disks. In the near-field when the sphere is positioned close to the disk array, plasmonic resonance is excited in the cavity and the induced force by a 1550 nm infrared laser is found to be increased by an order of magnitude compared with the photon pressure generated by the same laser light. Lastly, the experiment demonstrates that DM-AFM is a powerful tool for the study of light induced forces and their enhancement in plasmonic nanostructures.« less
Application of focused ion beam for the fabrication of AFM probes
NASA Astrophysics Data System (ADS)
Kolomiytsev, A. S.; Lisitsyn, S. A.; Smirnov, V. A.; Fedotov, A. A.; Varzarev, Yu N.
2017-10-01
The results of an experimental study of the probe tips fabrication for critical-dimension atomic force microscopy (CD-AFM) using the focused ion beam (FIB) induced deposition are presented. Methods of the FIB-induced deposition of tungsten and carbon onto the tip of an AFM probe are studied. Based on the results obtained in the study, probes for the CD-AFM technique with a tip height about 1 μm and radius of 20 nm were created. The formation of CD-AFM probes by FIB-induced deposition allows creating a high efficiency tool for nanotechnology and nanodiagnostics. The use of modified cantilevers allows minimizing the artefacts of AFM images and increasing the accuracy of the relief measurement. The obtained results can be used for fabrication of AFM probes for express monitoring of the technological process in the manufacturing of the elements for micro- and nanoelectronics.
In-Process Atomic-Force Microscopy (AFM) Based Inspection
Mekid, Samir
2017-01-01
A new in-process atomic-force microscopy (AFM) based inspection is presented for nanolithography to compensate for any deviation such as instantaneous degradation of the lithography probe tip. Traditional method used the AFM probes for lithography work and retract to inspect the obtained feature but this practice degrades the probe tip shape and hence, affects the measurement quality. This paper suggests a second dedicated lithography probe that is positioned back-to-back to the AFM probe under two synchronized controllers to correct any deviation in the process compared to specifications. This method shows that the quality improvement of the nanomachining, in progress probe tip wear, and better understanding of nanomachining. The system is hosted in a recently developed nanomanipulator for educational and research purposes. PMID:28561747
Non-contact AFM measurement of the Hamaker constants of solids: Calibrating cantilever geometries.
Fronczak, Sean G; Browne, Christopher A; Krenek, Elizabeth C; Beaudoin, Stephen P; Corti, David S
2018-05-01
Surface effects arising from roughness and deformation can negatively affect the results of AFM contact experiments. Using the non-contact portion of an AFM deflection curve is therefore desirable for estimating the Hamaker constant, A, of a solid material. A previously validated non-contact quasi-dynamic method for estimating A is revisited, in which the cantilever tip is now always represented by an "effective sphere". In addition to simplifying this previous method, accurate estimates of A can still be obtained even though precise knowledge of the nanoscale geometric features of the cantilever tip are no longer required. The tip's "effective" radius of curvature, R eff , is determined from a "calibration" step, in which the tip's deflection at first contact with the surface is measured for a substrate with a known Hamaker constant. After R eff is known for a given tip, estimates of A for other surfaces of interest are then determined. An experimental study was conducted to validate the new method and the obtained results are in good agreement with predictions from the Lifshitz approximation, when available. Since R eff accounts for all geometric uncertainties of the tip through a single fitted parameter, no visual fitting of the tip shape was required. Copyright © 2018 Elsevier Inc. All rights reserved.
Probing ternary solvent effect in high V oc polymer solar cells using advanced AFM techniques
Li, Chao; Soleman, Mikhael; Lorenzo, Josie; ...
2016-01-25
This work describes a simple method to develop a high V oc low band gap PSCs. In addition, two new atomic force microscopy (AFM)-based nanoscale characterization techniques to study the surface morphology and physical properties of the structured active layer are introduced. With the help of ternary solvent processing of the active layer and C 60 buffer layer, a bulk heterojunction PSC with V oc more than 0.9 V and conversion efficiency 7.5% is developed. In order to understand the fundamental properties of the materials ruling the performance of the PSCs tested, AFM-based nanoscale characterization techniques including Pulsed-Force-Mode AFM (PFM-AFM)more » and Mode-Synthesizing AFM (MSAFM) are introduced. Interestingly, MSAFM exhibits high sensitivity for direct visualization of the donor–acceptor phases in the active layer of the PSCs. Lastly, conductive-AFM (cAFM) studies reveal local variations in conductivity in the donor and acceptor phases as well as a significant increase in photocurrent in the PTB7:ICBA sample obtained with the ternary solvent processing.« less
NASA Astrophysics Data System (ADS)
Shen, Yajing; Nakajima, Masahiro; Kojima, Seiji; Homma, Michio; Kojima, Masaru; Fukuda, Toshio
2011-11-01
Fast and sensitive cell viability identification is a key point for single cell analysis. To address this issue, this paper reports a novel single cell viability identification method based on the measurement of single cell shear adhesion force using an atomic force microscopy (AFM) cantilever-based micro putter. Viable and nonviable yeast cells are prepared and put onto three kinds of substrate surfaces, i.e. tungsten probe, gold and ITO substrate surfaces. A micro putter is fabricated from the AFM cantilever by focused ion beam etching technique. The spring constant of the micro putter is calibrated using the nanomanipulation approach. The shear adhesion force between the single viable or nonviable cell and each substrate is measured using the micro putter based on the nanorobotic manipulation system inside an environmental scanning electron microscope. The adhesion force is calculated based on the deflection of the micro putter beam. The results show that the adhesion force of the viable cell to the substrate is much larger than that of the nonviable cell. This identification method is label free, fast, sensitive and can give quantitative results at the single cell level.
AFM Structural Characterization of Drinking Water Biofilm under Physiological Conditions
Due to the complexity of mixed culture drinking water biofilm, direct visual observation under in situ conditions has been challenging. In this study, atomic force microscopy (AFM) revealed the three dimensional morphology and arrangement of drinking water relevant biofilm in air...
AFM force measurements of the gp120-sCD4 and gp120 or CD4 antigen-antibody interactions
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chen, Yong, E-mail: dr_yongchen@hotmail.com; Department of Microbiology and Immunology, University of Illinois at Chicago, Chicago, IL 60612; Zeng, Gucheng
2011-04-08
Highlights: {yields} The unbinding force of sCD4-gp120 interaction was 25.45 {+-} 20.46 pN. {yields} The unbinding force of CD4 antigen-antibody interaction was 51.22 {+-} 34.64 pN. {yields} The unbinding force of gp120 antigen-antibody interaction was 89.87 {+-} 44.63 pN. {yields} The interaction forces between various HIV inhibitors and the target molecules are significantly different. {yields} Functionalizing on AFM tip or substrate of an interaction pair caused different results. -- Abstract: Soluble CD4 (sCD4), anti-CD4 antibody, and anti-gp120 antibody have long been regarded as entry inhibitors in human immunodeficiency virus (HIV) therapy. However, the interactions between these HIV entry inhibitors andmore » corresponding target molecules are still poorly understood. In this study, atomic force microscopy (AFM) was utilized to investigate the interaction forces among them. We found that the unbinding forces of sCD4-gp120 interaction, CD4 antigen-antibody interaction, and gp120 antigen-antibody interaction were 25.45 {+-} 20.46, 51.22 {+-} 34.64, and 89.87 {+-} 44.63 pN, respectively, which may provide important mechanical information for understanding the effects of viral entry inhibitors on HIV infection. Moreover, we found that the functionalization of an interaction pair on AFM tip or substrate significantly influenced the results, implying that we must perform AFM force measurement and analyze the data with more caution.« less
AFM combined to ATR-FTIR reveals Candida cell wall changes under caspofungin treatment.
Quilès, Fabienne; Accoceberry, Isabelle; Couzigou, Célia; Francius, Grégory; Noël, Thierry; El-Kirat-Chatel, Sofiane
2017-09-21
Fungal pathogens from Candida genus are responsible for severe life-threatening infections and the antifungal arsenal is still limited. Caspofungin, an antifungal drug used for human therapy, acts as a blocking agent of the cell wall synthesis by inhibiting the β-1,3-glucan-synthase encoded by FKS genes. Despite its efficiency, the number of genetic mutants that are resistant to caspofungin is increasing. An important challenge to improve antifungal therapy is to understand cellular phenomenon that are associated with drug resistance. Here we used atomic force microscopy (AFM) combined to Fourier transform infrared spectroscopy in attenuated total reflection mode (ATR-FTIR) to decipher the effect of low and high drug concentration on the morphology, mechanics and cell wall composition of two Candida strains, one susceptible and one resistant to caspofungin. Our results confirm that caspofungin induces a dramatic cell wall remodelling via activation of stress responses, even at high drug concentration. Additionally, we highlighted unexpected changes related to drug resistance, suggesting that caspofungin resistance associated with FKS gene mutations comes from a combination of effects: (i) an overall remodelling of yeast cell wall composition; and (ii) cell wall stiffening through chitin synthesis. This work demonstrates that AFM combined to ATR-FTIR is a valuable approach to understand at the molecular scale the biological mechanisms associated with drug resistance.
An AFM-SIMS Nano Tomography Acquisition System
NASA Astrophysics Data System (ADS)
Swinford, Richard William
An instrument, adding the capability to measure 3D volumetric chemical composition, has been constructed by me as a member of the Sanchez Nano Laboratory. The laboratory's in situ atomic force microscope (AFM) and secondary ion mass spectrometry systems (SIMS) are functional and integrated as one instrument. The SIMS utilizes a Ga focused ion beam (FIB) combined with a quadrupole mass analyzer. The AFM is comprised of a 6-axis stage, three coarse axes and three fine. The coarse stage is used for placing the AFM tip anywhere inside a (13x13x5 mm3) (xyz) volume. Thus the tip can be moved in and out of the FIB processing region with ease. The planned range for the Z-axis piezo was 60 microm, but was reduced after it was damaged from arc events. The repaired Z-axis piezo is now operated at a smaller nominal range of 18 microm (16.7 microm after pre-loading), still quite respectable for an AFM. The noise floor of the AFM is approximately 0.4 nm Rq. The voxel size for the combined instrument is targeted at 50 nm or larger. Thus 0.4 nm of xyz uncertainty is acceptable. The instrument has been used for analyzing samples using FIB beam currents of 250 pA and 5.75 nA. Coarse tip approaches can take a long time so an abbreviated technique is employed. Because of the relatively long thro of the Z piezo, the tip can be disengaged by deactivating the servo PID. Once disengaged, it can be moved laterally out of the way of the FIB-SIMS using the coarse stage. This instrument has been used to acquire volumetric data on AlTiC using AFM tip diameters of 18.9 nm and 30.6 nm. Acquisition times are very long, requiring multiple days to acquire a 50-image stack. New features to be added include auto stigmation, auto beam shift, more software automation, etc. Longer term upgrades to include a new lower voltage Z-piezo with strain-gauge feedback and a new design to extend the life for the coarse XY nano-positioners. This AFM-SIMS instrument, as constructed, has proven to be a great proof
High aspect ratio AFM Probe processing by helium-ion-beam induced deposition.
Onishi, Keiko; Guo, Hongxuan; Nagano, Syoko; Fujita, Daisuke
2014-11-01
A Scanning Helium Ion Microscope (SHIM) is a high resolution surface observation instrument similar to a Scanning Electron Microscope (SEM) since both instruments employ finely focused particle beams of ions or electrons [1]. The apparent difference is that SHIMs can be used not only for a sub-nanometer scale resolution microscopic research, but also for the applications of very fine fabrication and direct lithography of surfaces at the nanoscale dimensions. On the other hand, atomic force microscope (AFM) is another type of high resolution microscopy which can measure a three-dimensional surface morphology by tracing a fine probe with a sharp tip apex on a specimen's surface.In order to measure highly uneven and concavo-convex surfaces by AFM, the probe of a high aspect ratio with a sharp tip is much more necessary than the probe of a general quadrangular pyramid shape. In this paper we report the manufacture of the probe tip of the high aspect ratio by ion-beam induced gas deposition using a nanoscale helium ion beam of SHIM.Gas of platinum organic compound was injected into the sample surface neighborhood in the vacuum chamber of SHIM. The decomposition of the gas and the precipitation of the involved metal brought up a platinum nano-object in a pillar shape on the normal commercial AFM probe tip. A SHIM system (Carl Zeiss, Orion Plus) equipped with the gas injection system (OmniProbe, OmniGIS) was used for the research. While the vacuum being kept to work, we injected platinum organic compound ((CH3)3(CH3C5H4)Pt) into the sample neighborhood and irradiated the helium ion beam with the shape of a point on the apex of the AFM probe tip. It is found that we can control the length of the Pt nano-pillar by irradiation time of the helium ion beam. The AFM probe which brought up a Pt nano-pillar is shown in Figure 1. It is revealed that a high-aspect-ratio Pt nano-pillar of ∼40nm diameter and up to ∼2000 nm length can be grown. In addition, for possible heating
Metrological AFMs and its application for versatile nano-dimensional metrology tasks
NASA Astrophysics Data System (ADS)
Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.
2010-08-01
Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.
AFM Structural Characterization of Drinking Water Biofilm ...
Due to the complexity of mixed culture drinking water biofilm, direct visual observation under in situ conditions has been challenging. In this study, atomic force microscopy (AFM) revealed the three dimensional morphology and arrangement of drinking water relevant biofilm in air and aqueous solution. Operating parameters were optimized to improve imaging of structural details for a mature biofilm in liquid. By using a soft cantilever (0.03 N/m) and slow scan rate (0.5 Hz), biofilm and individual bacterial cell’s structural topography were resolved and continuously imaged in liquid without loss of spatial resolution or sample damage. The developed methodology will allow future in situ investigations to temporally monitor mixed culture drinking water biofilm structural changes during disinfection treatments. Due to the complexity of mixed culture drinking water biofilm, direct visual observation under in situ conditions has been challenging. In this study, atomic force microscopy (AFM) revealed the three dimensional morphology and arrangement of drinking water relevant biofilm in air and aqueous solution. Operating parameters were optimized to improve imaging of structural details for a mature biofilm in liquid. By using a soft cantilever (0.03 N/m) and slow scan rate (0.5 Hz), biofilm and individual bacterial cell’s structural topography were resolved and continuously imaged in liquid without loss of spatial resolution or sample damage. The developed methodo
Estimation of polymer-surface interfacial interaction strength by a contact AFM technique.
Dvir, H; Jopp, J; Gottlieb, M
2006-12-01
Atomic force microscopy (AFM) measurements were employed to assess polymer-surface interfacial interaction strength. The main feature of the measurement is the use of contact-mode AFM as a tool to scratch off the polymer monolayer adsorbed on the solid surface. Tapping-mode AFM was used to determine the depth of the scraped recess. Independent determination of the layer thickness obtained from optical phase interference microscopy (OPIM) confirmed the depth of the AFM scratch. The force required for the complete removal of the polymer layer with no apparent damage to the substrate surface was determined. Polypropylene (PP), low-density polyethylene (PE), and PP-grafted-maleic anhydride (PP-g-ma) were scraped off silane-treated glass slabs, and the strength of surface interaction of the polymer layer was determined. In all cases it was determined that the magnitude of surface interaction force is of the order of van der Waals (VDW) interactions. The interaction strength is influenced either by polymer ability to wet the surface (hydrophobic or hydrophilic interactions) or by hydrogen bonding between the polymer and the surface treatment.
[AFM fishing of proteins under impulse electric field].
Ivanov, Yu D; Pleshakova, T O; Malsagova, K A; Kaysheva, A L; Kopylov, A T; Izotov, A A; Tatur, V Yu; Vesnin, S G; Ivanova, N D; Ziborov, V S; Archakov, A I
2016-05-01
A combination of (atomic force microscopy)-based fishing (AFM-fishing) and mass spectrometry allows to capture protein molecules from solutions, concentrate and visualize them on an atomically flat surface of the AFM chip and identify by subsequent mass spectrometric analysis. In order to increase the AFM-fishing efficiency we have applied pulsed voltage with the rise time of the front of about 1 ns to the AFM chip. The AFM-chip was made using a conductive material, highly oriented pyrolytic graphite (HOPG). The increased efficiency of AFM-fishing has been demonstrated using detection of cytochrome b5 protein. Selection of the stimulating pulse with a rise time of 1 ns, corresponding to the GHz frequency range, by the effect of intrinsic emission from water observed in this frequency range during water injection into the cell.
NASA Astrophysics Data System (ADS)
Harding, Felipe A.; Alarcon, Nelson A.; Toledo, Pedro G.
Surfaces of various zinc-aluminum alloy (Zn-Al) coated steel samples are studied with attention to foreign surface dross by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS/ESCA). AFM topographic maps of zinc-aluminum alloy surfaces free of dross reveal the perfect nanoscale details of two kinds of dendrites: branched and globular. In all magnifications the dendrites appear smooth and, in general, very clean. XPS analysis of the extreme surface of a Zn-Al sample reveals Al, Zn, Si and O as the main components. The XPS results show no segregation or separation of phases other than those indicated by the ternary Al-Zn-Si diagram. For surfaces of Zn-Al plagued with impurities, high resolution AFM topographic maps reveal three situations: (1) areas with well-defined dendrites, relatively free of dross; (2) areas with small, millimeter-sized black spots known as dross; and (3) areas with large black stains, known as flow lines. Dendrite deformation and dross accumulation increase notably in the neighborhood, apparently clean to the naked eye, of dross or flow lines. XPS results of areas with dross and flow lines indicate unacceptable high concentration of Si and important Si phase separation. These results, in the light of AFM work, reveal that dross and flow lines are a consequence of a high local concentration of Si from high melting point silica and silicate impurities in the Zn-Al alloy source.
AFM feature definition for neural cells on nanofibrillar tissue scaffolds.
Tiryaki, Volkan M; Khan, Adeel A; Ayres, Virginia M
2012-01-01
A diagnostic approach is developed and implemented that provides clear feature definition in atomic force microscopy (AFM) images of neural cells on nanofibrillar tissue scaffolds. Because the cellular edges and processes are on the same order as the background nanofibers, this imaging situation presents a feature definition problem. The diagnostic approach is based on analysis of discrete Fourier transforms of standard AFM section measurements. The diagnostic conclusion that the combination of dynamic range enhancement with low-frequency component suppression enhances feature definition is shown to be correct and to lead to clear-featured images that could change previously held assumptions about the cell-cell interactions present. Clear feature definition of cells on scaffolds extends the usefulness of AFM imaging for use in regenerative medicine. © Wiley Periodicals, Inc.
Stock, Philipp; Utzig, Thomas; Valtiner, Markus
2015-05-15
By virtue of its importance for self-organization of biological matter the hydrophobic force law and the range of hydrophobic interactions (HI) have been debated extensively over the last 40 years. Here, we directly measure and quantify the hydrophobic force-distance law over large temperature and concentration ranges. In particular, we study the HI between molecularly smooth hydrophobic self-assembled monolayers, and similarly modified gold-coated AFM tips (radii∼8-50 nm). We present quantitative and direct evidence that the hydrophobic force is both long-ranged and exponential down to distances of about 1-2 nm. Therefore, we introduce a self-consistent radius-normalization for atomic force microscopy data. This approach allows quantitative data fitting of AFM-based experimental data to the recently proposed Hydra-model. With a statistical significance of r(2)⩾0.96 our fitting and data directly reveal an exponential HI decay length of 7.2±1.2 Å that is independent of the salt concentration up to 750 mM. As such, electrostatic screening does not have a significant influence on the HI in electrolyte concentrations ranging from 1 mM to 750 mM. In 1 M solutions the observed instability during approach shifts to longer distances, indicating ion correlation/adsorption effects at high salt concentrations. With increasing temperature the magnitude of HI decreases monotonically, while the range increases slightly. We compare our results to the large body of available literature, and shed new light into range and magnitude of hydrophobic interactions at very close distances and over wide temperature and concentration regimes. Copyright © 2015 Elsevier Inc. All rights reserved.
Mechanical properties of in situ demineralised human enamel measured by AFM nanoindentation
NASA Astrophysics Data System (ADS)
Finke, Manuela; Hughes, Julie A.; Parker, David M.; Jandt, Klaus D.
2001-10-01
Diet-induced demineralisation is one of the key factors in surface changes of tooth enamel, with soft drinks being a significant etiological agent. The first step in this dissolution process is characterised by a change in the mechanical properties of the enamel and a roughening of the surface. The objective of this pilot study was to measure early stages of in situ induced hardness changes of polished human enamel surfaces with high accuracy using a nanoindenter attached to an atomic force microscope (AFM). Human unerupted third molars were cleaned, sterilised with sodium hypochlorite, sectioned and embedded in epoxy resin. The outer enamel surface was polished and the samples partly covered with a tape, allowing a 2-mm-wide zone to be exposed to the oral environment. Samples were fitted in an intra-oral appliance, which was worn from 9 a.m. to 5 p.m. for one day. During this time the volunteer sipped 250 ml of a drink over 10 min periods at 9.00, 11.00, 13.00 and 15.00 h. Three different drinks, mineral water, orange juice and the prototype of a blackcurrant drink with low demineralisation potential were used in this study. At the end of the experiment the samples were detached from the appliance, the tape removed and the surfaces chemically cleaned. The surface hardness and reduced Young's modulus of the exposed and unexposed areas of each sample were determined. In addition, high resolution topographical AFM images were obtained. This study shows that by determining the hardness and reduced Young's modulus, the difference in demineralisation caused by the drinks can be detected and quantified before statistically significant changes in surface topography could be observed with the AFM. The maximum decrease in surface hardness and Young's modulus occurred in the samples exposed to orange juice, followed by those exposed to the blackcurrant drink, while exposure to water led to the same values as unexposed areas. A one-way ANOVA showed a statistically significant
NASA Astrophysics Data System (ADS)
Oehm, B.; Burchard, M.; Lattard, D.; Dohmen, R.; Chakraborty, S.
2009-12-01
Observations of accretion disks of Young Stellar Objects revealed dust of crystalline Mg-silicates, in particular of forsterite, which is assumed to result from high temperature annealing of amorphous cosmic dust particles. We are performing annealing experiments to obtain kinetic parameters of the crystallization that are necessary for the numerical modeling of accretion disks. We use thin films obtained by Pulsed Laser Deposition (PLD) on Si (111) wafers. The thin films are completely amorphous, chemically homogeneous (on the Mg2SiO4 composition) and with a continuous and flat surface. They are annealed for 1 to 260 h at 1073K in a vertical furnace and drop-quenched. To monitor the progress of crystallization, the samples are characterized by AFM and SEM imaging and IR spectroscopy. After 2.5 h of annealing AFM images reveal elliptical features, below 1 µm in diameter, with a central elevation and surrounded by a lowering of the surface which indicate material transport within the elliptical domains. These elliptical features most probably represent early nucleation sites in an amorphous matrix. The IR spectra still show the broad bands of Si-O stretching modes typical of amorphous silica without clear evidence for crystalline forsterite. After 6 h of annealing, AFM and SEM images show circular and square features both with a central elevation in the range of 80 to 120 nm. IR spectra show a few weak bands that can be assigned to crystalline forsterite (bending and stretching of tetrahedra). After 10 h of annealing planar faces appear in the former pyramidal features and the surrounding matrix evolves into domains with spherolitic appearance. IR spectra of these samples display typical bands of crystalline forsterite. With increasing annealing time AFM images picture the further growth of the planar faces towards idiomorphic crystals. SEM imaging shows surface roughening with increasing annealing time. The quantitative evaluation of the surface roughness of AFM
Badal Tejedor, Maria; Nordgren, Niklas; Schuleit, Michael; Millqvist-Fureby, Anna; Rutland, Mark W
2017-11-21
Adhesion of the powders to the punches is a common issue during tableting. This phenomenon is known as sticking and affects the quality of the manufactured tablets. Defective tablets increase the cost of the manufacturing process. Thus, the ability to predict the tableting performance of the formulation blend before the process is scaled-up is important. The adhesive propensity of the powder to the tableting tools is mostly governed by the surface-surface adhesive interactions. Atomic force microscopy (AFM) colloidal probe is a surface characterization technique that allows the measurement of the adhesive interactions between two materials of interest. In this study, AFM steel colloidal probe measurements were performed on ibuprofen, MCC (microcrystalline cellulose), α-lactose monohydrate, and spray-dried lactose particles as an approach to modeling the punch-particle surface interactions during tableting. The excipients (lactose and MCC) showed constant, small, attractive, and adhesive forces toward the steel surface after a repeated number of contacts. In comparison, ibuprofen displayed a much larger attractive and adhesive interaction increasing over time both in magnitude and in jump-in/jump-out separation distance. The type of interaction acting on the excipient-steel interface can be related to a van der Waals force, which is relatively weak and short-ranged. By contrast, the ibuprofen-steel interaction is described by a capillary force profile. Even though ibuprofen is not highly hydrophilic, the relatively smooth surfaces of the crystals allow "contact flooding" upon contact with the steel probe. Capillary forces increase because of the "harvesting" of moisture-due to the fast condensation kinetics-leaving a residual condensate that contributes to increase the interaction force after each consecutive contact. Local asperity contacts on the more hydrophilic surface of the excipients prevent the flooding of the contact zone, and there is no such adhesive
Méndez-Vilas, A; Gallardo-Moreno, A M; Calzado-Montero, R; González-Martín, M L
2008-05-01
AFM probing of microbial cells in liquid environments usually requires them to be physically or chemically attached to a solid surface. The fixation mechanisms may influence the nanomechanical characterization done by force curve mapping using an AFM. To study the response of a microbial cell surface to this kind of local measurement this study attempts to overcome the problem associated to the uncertainties introduced by the different fixation treatments by analysing the surface of Staphylococcus epidermidis cells naturally (non-artificially mediated) immobilised on a glass support surface. The particularities of this natural bacterial fixation process for AFM surface analysis are discussed in terms of theoretical predictions of the XDLVO model applied to the systems bacteria/support substratum and bacteria/AFM tip immersed in water. In this sense, in the first part of this study the conditions for adequate natural fixation of three S. epidermidis strains have been analyzed by taking into account the geometries of the bacterium, substrate and tip. In the second part, bacteria are probed without the risk of any possible artefacts due to the mechanical or chemical fixation procedures. Forces measured over the successfully adhered cells have (directly) shown that the untreated bacterial surface suffers from a combination of both reversible and non-reversible deformations during acquisition of force curves all taken under the same operational conditions. This is revealed directly through high-resolution tapping-mode imaging of the bacterial surface immediately following force curve mapping. The results agree with the two different types of force curves that were repeatedly obtained. Interestingly, one type of these force curves suggests that the AFM tip is breaking (rather than pushing) the cell surface during acquisition of the force curve. In this case, adhesive peaks were always observed, suggesting a mechanical origin of the measured pull-off forces. The other
Tip-enhanced Raman mapping with top-illumination AFM.
Chan, K L Andrew; Kazarian, Sergei G
2011-04-29
Tip-enhanced Raman mapping is a powerful, emerging technique that offers rich chemical information and high spatial resolution. Currently, most of the successes in tip-enhanced Raman scattering (TERS) measurements are based on the inverted configuration where tips and laser are approaching the sample from opposite sides. This results in the limitation of measurement for transparent samples only. Several approaches have been developed to obtain tip-enhanced Raman mapping in reflection mode, many of which involve certain customisations of the system. We have demonstrated in this work that it is also possible to obtain TERS nano-images using an upright microscope (top-illumination) with a gold-coated Si atomic force microscope (AFM) cantilever without significant modification to the existing integrated AFM/Raman system. A TERS image of a single-walled carbon nanotube has been achieved with a spatial resolution of ∼ 20-50 nm, demonstrating the potential of this technique for studying non-transparent nanoscale materials.
Song, Yunpeng; Wu, Sen; Xu, Linyan; Fu, Xing
2015-01-01
Measurement of force on a micro- or nano-Newton scale is important when exploring the mechanical properties of materials in the biophysics and nanomechanical fields. The atomic force microscope (AFM) is widely used in microforce measurement. The cantilever probe works as an AFM force sensor, and the spring constant of the cantilever is of great significance to the accuracy of the measurement results. This paper presents a normal spring constant calibration method with the combined use of an electromagnetic balance and a homemade AFM head. When the cantilever presses the balance, its deflection is detected through an optical lever integrated in the AFM head. Meanwhile, the corresponding bending force is recorded by the balance. Then the spring constant can be simply calculated using Hooke’s law. During the calibration, a feedback loop is applied to control the deflection of the cantilever. Errors that may affect the stability of the cantilever could be compensated rapidly. Five types of commercial cantilevers with different shapes, stiffness, and operating modes were chosen to evaluate the performance of our system. Based on the uncertainty analysis, the expanded relative standard uncertainties of the normal spring constant of most measured cantilevers are believed to be better than 2%. PMID:25763650
Song, Yunpeng; Wu, Sen; Xu, Linyan; Fu, Xing
2015-03-10
Measurement of force on a micro- or nano-Newton scale is important when exploring the mechanical properties of materials in the biophysics and nanomechanical fields. The atomic force microscope (AFM) is widely used in microforce measurement. The cantilever probe works as an AFM force sensor, and the spring constant of the cantilever is of great significance to the accuracy of the measurement results. This paper presents a normal spring constant calibration method with the combined use of an electromagnetic balance and a homemade AFM head. When the cantilever presses the balance, its deflection is detected through an optical lever integrated in the AFM head. Meanwhile, the corresponding bending force is recorded by the balance. Then the spring constant can be simply calculated using Hooke's law. During the calibration, a feedback loop is applied to control the deflection of the cantilever. Errors that may affect the stability of the cantilever could be compensated rapidly. Five types of commercial cantilevers with different shapes, stiffness, and operating modes were chosen to evaluate the performance of our system. Based on the uncertainty analysis, the expanded relative standard uncertainties of the normal spring constant of most measured cantilevers are believed to be better than 2%.
NASA Astrophysics Data System (ADS)
Alsteens, David; Dupres, Vincent; McEvoy, Kevin; Wildling, Linda; Gruber, Hermann J.; Dufrêne, Yves F.
2008-09-01
Although the chemical composition of yeast cell walls is known, the organization, assembly, and interactions of the various macromolecules remain poorly understood. Here, we used in situ atomic force microscopy (AFM) in three different modes to probe the ultrastructure, cell wall elasticity and polymer properties of two brewing yeast strains, i.e. Saccharomyces carlsbergensis and S. cerevisiae. Topographic images of the two strains revealed smooth and homogeneous cell surfaces, and the presence of circular bud scars on dividing cells. Nanomechanical measurements demonstrated that the cell wall elasticity of S. carlsbergensis is homogeneous. By contrast, the bud scar of S. cerevisiae was found to be stiffer than the cell wall, presumably due to the accumulation of chitin. Notably, single molecule force spectroscopy with lectin-modified tips revealed major differences in polysaccharide properties of the two strains. Polysaccharides were clearly more extended on S. cerevisiae, suggesting that not only oligosaccharides, but also polypeptide chains of the mannoproteins were stretched. Consistent with earlier cell surface analyses, these findings may explain the very different aggregation properties of the two organisms. This study demonstrates the power of using multiple complementary AFM modalities for probing the organization and interactions of the various macromolecules of microbial cell walls.
NASA Astrophysics Data System (ADS)
Saar Dover, Ron; Bitler, Arkady; Shimoni, Eyal; Trieu-Cuot, Patrick; Shai, Yechiel
2015-05-01
Cell-wall peptidoglycan (PG) of Gram-positive bacteria is a strong and elastic multi-layer designed to resist turgor pressure and determine the cell shape and growth. Despite its crucial role, its architecture remains largely unknown. Here using high-resolution multiparametric atomic force microscopy (AFM), we studied how the structure and elasticity of PG change when subjected to increasing turgor pressure in live Group B Streptococcus. We show a new net-like arrangement of PG, which stretches and stiffens following osmotic challenge. The same structure also exists in isogenic mutants lacking surface appendages. Cell aging does not alter the elasticity of the cell wall, yet destroys the net architecture and exposes single segmented strands with the same circumferential orientation as predicted for intact glycans. Together, we show a new functional PG architecture in live Gram-positive bacteria.
NASA Astrophysics Data System (ADS)
Foucher, Johann; Filippov, Pavel; Penzkofer, Christian; Irmer, Bernd; Schmidt, Sebastian W.
2013-04-01
Atomic force microscopy (AFM) is increasingly used in the semiconductor industry as a versatile monitoring tool for highly critical lithography and etching process steps. Applications range from the inspection of the surface roughness of new materials, over accurate depth measurements to the determination of critical dimension structures. The aim to address the rapidly growing demands on measurement uncertainty and throughput more and more shifts the focus of attention to the AFM tip, which represents the crucial link between AFM tool and the sample to be monitored. Consequently, in order to reach the AFM tool's full potential, the performance of the AFM tip has to be considered as a determining parameter. Currently available AFM tips made from silicon are generally limited by their diameter, radius, and sharpness, considerably restricting the AFM measurement capabilities on sub-30nm spaces. In addition to that, there's lack of adequate characterization structures to accurately characterize sub-25nm tip diameters. Here, we present and discuss a recently introduced AFM tip design (T-shape like design) with precise tip diameters down to 15nm and tip radii down to 5nm fabricated from amorphous, high density diamond-like carbon (HDC/DLC) using electron beam induced processing (EBIP). In addition to that advanced design, we propose a new characterizer structure, which allows for accurate characterization and design control of sub-25nm tip diameters and sub-10nm tip edges radii. We demonstrate the potential advantages of combining a small tip shape design, i.e. tip diameter and tip edge radius, and an advanced tip characterizer for the semiconductor industry by the measurement of advanced lithography patterns.
Force Spectroscopy with 9-μs Resolution and Sub-pN Stability by Tailoring AFM Cantilever Geometry.
Edwards, Devin T; Faulk, Jaevyn K; LeBlanc, Marc-André; Perkins, Thomas T
2017-12-19
Atomic force microscopy (AFM)-based single-molecule force spectroscopy (SMFS) is a powerful yet accessible means to characterize the unfolding/refolding dynamics of individual molecules and resolve closely spaced, transiently occupied folding intermediates. On a modern commercial AFM, these applications and others are now limited by the mechanical properties of the cantilever. Specifically, AFM-based SMFS data quality is degraded by a commercial cantilever's limited combination of temporal resolution, force precision, and force stability. Recently, we modified commercial cantilevers with a focused ion beam to optimize their properties for SMFS. Here, we extend this capability by modifying a 40 × 18 μm 2 cantilever into one terminated with a gold-coated, 4 × 4 μm 2 reflective region connected to an uncoated 2-μm-wide central shaft. This "Warhammer" geometry achieved 8.5-μs resolution coupled with improved force precision and sub-pN stability over 100 s when measured on a commercial AFM. We highlighted this cantilever's biological utility by first resolving a calmodulin unfolding intermediate previously undetected by AFM and then measuring the stabilization of calmodulin by myosin light chain kinase at dramatically higher unfolding velocities than in previous AFM studies. More generally, enhancing data quality via an improved combination of time resolution, force precision, and force stability will broadly benefit biological applications of AFM. Published by Elsevier Inc.
Physical-mechanical image of the cell surface on the base of AFM data in contact mode
NASA Astrophysics Data System (ADS)
Starodubtseva, M. N.; Starodubtsev, I. E.; Yegorenkov, N. I.; Kuzhel, N. S.; Konstantinova, E. E.; Chizhik, S. A.
2017-10-01
Physical and mechanical properties of the cell surface are well-known markers of a cell state. The complex of the parameters characterizing the cell surface properties, such as the elastic modulus (E), the parameters of adhesive (Fa), and friction (Ff) forces can be measured using atomic force microscope (AFM) in a contact mode and form namely the physical-mechanical image of the cell surface that is a fundamental element of the cell mechanical phenotype. The paper aims at forming the physical-mechanical images of the surface of two types of glutaraldehyde-fixed cancerous cells (human epithelial cells of larynx carcinoma, HEp-2c cells, and breast adenocarcinoma, MCF-7 cells) based on the data obtained by AFM in air and revealing the basic difference between them. The average values of friction, elastic and adhesive forces, and the roughness of lateral force maps, as well as dependence of the fractal dimension of lateral force maps on Z-scale factor have been studied. We have revealed that the response of microscale areas of the HEp-2c cell surface having numerous microvilli to external mechanical forces is less expressed and more homogeneous in comparison with the response of MCF-7 cell surface.
The effect of PeakForce tapping mode AFM imaging on the apparent shape of surface nanobubbles.
Walczyk, Wiktoria; Schön, Peter M; Schönherr, Holger
2013-05-08
Until now, TM AFM (tapping mode or intermittent contact mode atomic force microscopy) has been the most often applied direct imaging technique to analyze surface nanobubbles at the solid-aqueous interface. While the presence and number density of nanobubbles can be unequivocally detected and estimated, it remains unclear how much the a priori invasive nature of AFM affects the apparent shapes and dimensions of the nanobubbles. To be able to successfully address the unsolved questions in this field, the accurate knowledge of the nanobubbles' dimensions, radii of curvature etc is necessary. In this contribution we present a comparative study of surface nanobubbles on HOPG (highly oriented pyrolytic graphite) in water acquired with (i) TM AFM and (ii) the recently introduced PFT (PeakForce tapping) mode, in which the force exerted on the nanobubbles rather than the amplitude of the resonating cantilever is used as the AFM feedback parameter during imaging. In particular, we analyzed how the apparent size and shape of nanobubbles depend on the maximum applied force in PFT AFM. Even for forces as small as 73 pN, the nanobubbles appeared smaller than their true size, which was estimated from an extrapolation of the bubble height to zero applied force. In addition, the size underestimation was found to be more pronounced for larger bubbles. The extrapolated true nanoscopic contact angles for nanobubbles on HOPG, measured in PFT AFM, ranged from 145° to 175° and were only slightly underestimated by scanning with non-zero forces. This result was comparable to the nanoscopic contact angles of 160°-175° measured using TM AFM in the same set of experiments. Both values disagree, in accordance with the literature, with the macroscopic contact angle of water on HOPG, measured here to be 63° ± 2°.
Improved AFM Mapping of ICF Target Surfaces
NASA Astrophysics Data System (ADS)
Olson, D. K.; Drake, T.; Frey, D.; Huang, H.; Stephens, R. B.
2003-10-01
Targets for Inertial Confinement Fusion (ICF) research are made from spherical shells with very strict requirements on surface smoothness. Hydrodynamic instabilities are amplified by the presence of surface defects, greatly reducing the gain of ICF targets. Sub-micron variations in the surface can be examined using an Atomic Force Microscope. The current sphere mapping assembly at General Atomics is designed to trace near the equator of a rotating sphere under the AFM head. Spheres are traced on three mutually orthogonal planes. The ˜10 mm piezo-electric actuator range limits how far off the equator we can scan spheres of millimeter diameter. Because only a small fraction of the target's surface can be covered, localized high-mode defects are difficult to detect. In order to meet the needs of ICF research, we need to scan more surface area of the sphere with the AFM. By integrating an additional stepping motor to the sphere mapping assembly, we will be able to recenter the piezo driver of the AFM while mapping. This additional ability allows us to increase the amount of the sphere's surface we are able to scan with the AFM by extending the range of the AFM from the sphere's equator.
FRAME (Force Review Automation Environment): MATLAB-based AFM data processor.
Partola, Kostyantyn R; Lykotrafitis, George
2016-05-03
Data processing of force-displacement curves generated by atomic force microscopes (AFMs) for elastic moduli and unbinding event measurements is very time consuming and susceptible to user error or bias. There is an evident need for consistent, dependable, and easy-to-use AFM data processing software. We have developed an open-source software application, the force review automation environment (or FRAME), that provides users with an intuitive graphical user interface, automating data processing, and tools for expediting manual processing. We did not observe a significant difference between manually processed and automatically processed results from the same data sets. Copyright © 2016 Elsevier Ltd. All rights reserved.
Azadi, Mehdi; Nguyen, Anh V; Yakubov, Gleb E
2015-02-17
Interfacial gas enrichment of dissolved gases (IGE) has been shown to cover hydrophobic solid surfaces in water. The atomic force microscopy (AFM) data has recently been supported by molecular dynamics simulation. It was demonstrated that IGE is responsible for the unexpected stability and large contact angle of gaseous nanobubbles at the hydrophobic solid-water interface. Here we provide further evidence of the significant effect of IGE on an attractive force between hydrophobic solid surfaces in water. The force in the presence of dissolved gas, i.e., in aerated and nonaerated NaCl solutions (up to 4 M), was measured by the AFM colloidal probe technique. The effect of nanobubble bridging on the attractive force was minimized or eliminated by measuring forces on the first approach of the AFM probe toward the flat hydrophobic surface and by using high salt concentrations to reduce gas solubility. Our results confirm the presence of three types of forces, two of which are long-range attractive forces of capillary bridging origin as caused by either surface nanobubbles or gap-induced cavitation. The third type is a short-range attractive force observed in the absence of interfacial nanobubbles that is attributed to the IGE in the form of a dense gas layer (DGL) at hydrophobic surfaces. Such a force was found to increase with increasing gas saturation and to decrease with decreasing gas solubility.
Multifrequency AFM: from origins to convergence.
Santos, Sergio; Lai, Chia-Yun; Olukan, Tuza; Chiesa, Matteo
2017-04-20
Since the inception of the atomic force microscope (AFM) in 1986, influential papers have been presented by the community and tremendous advances have been reported. Being able to routinely image conductive and non-conductive surfaces in air, liquid and vacuum environments with nanoscale, and sometimes atomic, resolution, the AFM has long been perceived by many as the instrument to unlock the nanoscale. From exploiting a basic form of Hooke's law to interpret AFM data to interpreting a seeming zoo of maps in the more advanced multifrequency methods however, an inflection point has been reached. Here, we discuss this evolution, from the fundamental dilemmas that arose in the beginning, to the exploitation of computer sciences, from machine learning to big data, hoping to guide the newcomer and inspire the experimenter.
NASA Astrophysics Data System (ADS)
France, Lydéric; Nicollet, Christian
2010-06-01
MetaRep is a program based on our earlier program CMAS 3D. It is developed in MATLAB ® script. MetaRep objectives are to visualize and project major element compositions of mafic and pelitic rocks and their minerals in the pseudo-quaternary projections of the ACF-S, ACF-N, CMAS, AFM-K, AFM-S and AKF-S systems. These six systems are commonly used to describe metamorphic mineral assemblages and magmatic evolutions. Each system, made of four apices, can be represented in a tetrahedron that can be visualized in three dimensions with MetaRep; the four tetrahedron apices represent oxides or combination of oxides that define the composition of the projected rock or mineral. The three-dimensional representation allows one to obtain a better understanding of the topology of the relationships between the rocks and minerals and relations. From these systems, MetaRep can also project data in ternary plots (for example, the ACF, AFM and AKF ternary projections can be generated). A functional interface makes it easy to use and does not require any knowledge of MATLAB ® programming. To facilitate the use, MetaRep loads, from the main interface, data compiled in a Microsoft Excel ™ spreadsheet. Although useful for scientific research, the program is also a powerful tool for teaching. We propose an application example that, by using two combined systems (ACF-S and ACF-N), provides strong confirmation in the petrological interpretation.
Neural network approximation of tip-abrasion effects in AFM imaging
NASA Astrophysics Data System (ADS)
Bakucz, Peter; Yacoot, Andrew; Dziomba, Thorsten; Koenders, Ludger; Krüger-Sehm, Rolf
2008-06-01
The abrasion (wear) of tips used in scanning force microscopy (SFM) directly influences SFM image quality and is therefore of great relevance to quantitative SFM measurements. The increasing implementation of automated SFM measurement schemes has become a strong driving force for increasing efforts towards the prediction of tip wear, as it needs to be ensured that the probe is exchanged before a level of tip wear is reached that adversely affects the measurement quality. In this paper, we describe the identification of tip abrasion in a system of SFM measurements. We attempt to model the tip-abrasion process as a concatenation of a mapping from the measured AFM data to a regression vector and a nonlinear mapping from the regressor space to the output space. The mapping is formed as a basis function expansion. Feedforward neural networks are used to approximate this mapping. The one-hidden layer network gave a good quality of fit for the training and test sets for the tip-abrasion system. We illustrate our method with AFM measurements of both fine periodic structures and randomly oriented sharp features and compare our neural network results with those obtained using other methods.
AFM 4.0: a toolbox for DNA microarray analysis
Breitkreutz, Bobby-Joe; Jorgensen, Paul; Breitkreutz, Ashton; Tyers, Mike
2001-01-01
We have developed a series of programs, collectively packaged as Array File Maker 4.0 (AFM), that manipulate and manage DNA microarray data. AFM 4.0 is simple to use, applicable to any organism or microarray, and operates within the familiar confines of Microsoft Excel. Given a database of expression ratios, AFM 4.0 generates input files for clustering, helps prepare colored figures and Venn diagrams, and can uncover aneuploidy in yeast microarray data. AFM 4.0 should be especially useful to laboratories that do not have access to specialized commercial or in-house software. PMID:11532221
Chacko, Jenu Varghese; Zanacchi, Francesca Cella; Diaspro, Alberto
2013-01-01
In this article, we describe and show the application of some of the most advanced fluorescence superresolution techniques, STED AFM and STORM AFM microscopy towards imaging of cytoskeletal structures, such as microtubule filaments. Mechanical and structural properties can play a relevant role in the investigation of cytoskeletal structures of interest, such as microtubules, that provide support to the cell structure. In fact, the mechanical properties, such as the local stiffness and the elasticity, can be investigated by AFM force spectroscopy with tens of nanometers resolution. Force curves can be analyzed in order to obtain the local elasticity (and the Young's modulus calculation by fitting the force curves from every pixel of interest), and the combination with STED/STORM microscopy integrates the measurement with high specificity and yields superresolution structural information. This hybrid modality of superresolution-AFM working is a clear example of correlative multimodal microscopy. PMID:24027190
APOBEC3G Interacts with ssDNA by Two Modes: AFM Studies
NASA Astrophysics Data System (ADS)
Shlyakhtenko, Luda S.; Dutta, Samrat; Banga, Jaspreet; Li, Ming; Harris, Reuben S.; Lyubchenko, Yuri L.
2015-10-01
APOBEC3G (A3G) protein has antiviral activity against HIV and other pathogenic retroviruses. A3G has two domains: a catalytic C-terminal domain (CTD) that deaminates cytidine, and a N-terminal domain (NTD) that binds to ssDNA. Although abundant information exists about the biological activities of A3G protein, the interplay between sequence specific deaminase activity and A3G binding to ssDNA remains controversial. We used the topographic imaging and force spectroscopy modalities of Atomic Force Spectroscopy (AFM) to characterize the interaction of A3G protein with deaminase specific and nonspecific ssDNA substrates. AFM imaging demonstrated that A3G has elevated affinity for deaminase specific ssDNA than for nonspecific ssDNA. AFM force spectroscopy revealed two distinct binding modes by which A3G interacts with ssDNA. One mode requires sequence specificity, as demonstrated by stronger and more stable complexes with deaminase specific ssDNA than with nonspecific ssDNA. Overall these observations enforce prior studies suggesting that both domains of A3G contribute to the sequence specific binding of ssDNA.
APOBEC3G Interacts with ssDNA by Two Modes: AFM Studies.
Shlyakhtenko, Luda S; Dutta, Samrat; Banga, Jaspreet; Li, Ming; Harris, Reuben S; Lyubchenko, Yuri L
2015-10-27
APOBEC3G (A3G) protein has antiviral activity against HIV and other pathogenic retroviruses. A3G has two domains: a catalytic C-terminal domain (CTD) that deaminates cytidine, and a N-terminal domain (NTD) that binds to ssDNA. Although abundant information exists about the biological activities of A3G protein, the interplay between sequence specific deaminase activity and A3G binding to ssDNA remains controversial. We used the topographic imaging and force spectroscopy modalities of Atomic Force Spectroscopy (AFM) to characterize the interaction of A3G protein with deaminase specific and nonspecific ssDNA substrates. AFM imaging demonstrated that A3G has elevated affinity for deaminase specific ssDNA than for nonspecific ssDNA. AFM force spectroscopy revealed two distinct binding modes by which A3G interacts with ssDNA. One mode requires sequence specificity, as demonstrated by stronger and more stable complexes with deaminase specific ssDNA than with nonspecific ssDNA. Overall these observations enforce prior studies suggesting that both domains of A3G contribute to the sequence specific binding of ssDNA.
APOBEC3G Interacts with ssDNA by Two Modes: AFM Studies
Shlyakhtenko, Luda S.; Dutta, Samrat; Banga, Jaspreet; Li, Ming; Harris, Reuben S.; Lyubchenko, Yuri L.
2015-01-01
APOBEC3G (A3G) protein has antiviral activity against HIV and other pathogenic retroviruses. A3G has two domains: a catalytic C-terminal domain (CTD) that deaminates cytidine, and a N-terminal domain (NTD) that binds to ssDNA. Although abundant information exists about the biological activities of A3G protein, the interplay between sequence specific deaminase activity and A3G binding to ssDNA remains controversial. We used the topographic imaging and force spectroscopy modalities of Atomic Force Spectroscopy (AFM) to characterize the interaction of A3G protein with deaminase specific and nonspecific ssDNA substrates. AFM imaging demonstrated that A3G has elevated affinity for deaminase specific ssDNA than for nonspecific ssDNA. AFM force spectroscopy revealed two distinct binding modes by which A3G interacts with ssDNA. One mode requires sequence specificity, as demonstrated by stronger and more stable complexes with deaminase specific ssDNA than with nonspecific ssDNA. Overall these observations enforce prior studies suggesting that both domains of A3G contribute to the sequence specific binding of ssDNA. PMID:26503602
Noncontact viscoelastic measurement of polymer thin films in a liquid medium using a long-needle AFM
NASA Astrophysics Data System (ADS)
Guan, Dongshi; Barraud, Chloe; Charlaix, Elisabeth; Tong, Penger
We report noncontact measurement of the viscoelastic property of polymer thin films in a liquid medium using frequency-modulation atomic force microscopy (FM-AFM) with a newly developed long-needle probe. The probe contains a long vertical glass fiber with one end adhered to a cantilever beam and the other end with a sharp tip placed near the liquid-film interface. The nanoscale flow generated by the resonant oscillation of the needle tip provides a precise hydrodynamic force acting on the soft surface of the thin film. By accurately measuring the mechanical response of the thin film, we obtain the elastic and loss moduli of the thin film using the linear response theory of elasto-hydrodynamics. The experiment verifies the theory and demonstrates its applications. The technique can be used to accurately measure the viscoelastic property of soft surfaces, such as those made of polymers, nano-bubbles, live cells and tissues. This work was supported by the Research Grants Council of Hong Kong SAR.
Kim, Seong Han; Opdahl, Aric; Marmo, Chris; Somorjai, Gabor A
2002-04-01
The surfaces of two types of soft contact lenses neutral and ionic hydrogels--were characterized by atomic force microscopy (AFM) and sum-frequency-generation (SFG) vibrational spectroscopy. AFM measurements in saline solution showed that the presence of ionic functional groups at the surface lowered the friction and adhesion to a hydrophobic polystyrene tip. This was attributed to the specific interactions of water and the molecular orientation of hydrogel chains at the surface. Friction and adhesion behavior also revealed the presence of domains of non-crosslinked polymer chains at the lens surface. SFG showed that the lens surface became partially dehydrated upon exposure to air. On this partially dehydrated lens surface, the non-crosslinked domains exhibited low friction and adhesion in AFM. Fully hydrated in saline solution, the non-crosslinked domains extended more than tens of nanometers into solution and were mobile.
NASA Astrophysics Data System (ADS)
Marcott, Curtis; Lo, Michael; Hu, Qichi; Kjoller, Kevin; Boskey, Adele; Noda, Isao
2014-07-01
The recent combination of atomic force microscopy and infrared spectroscopy (AFM-IR) has led to the ability to obtain IR spectra with nanoscale spatial resolution, nearly two orders-of-magnitude better than conventional Fourier transform infrared (FT-IR) microspectroscopy. This advanced methodology can lead to significantly sharper spectral features than are typically seen in conventional IR spectra of inhomogeneous materials, where a wider range of molecular environments are coaveraged by the larger sample cross section being probed. In this work, two-dimensional (2D) correlation analysis is used to examine position sensitive spectral variations in datasets of closely spaced AFM-IR spectra. This analysis can reveal new key insights, providing a better understanding of the new spectral information that was previously hidden under broader overlapped spectral features. Two examples of the utility of this new approach are presented. Two-dimensional correlation analysis of a set of AFM-IR spectra were collected at 200-nm increments along a line through a nucleation site generated by remelting a small spot on a thin film of poly(3-hydroxybutyrate-co-3-hydroxyhexanoate). There are two different crystalline carbonyl band components near 1720 cm-1 that sequentially disappear before a band at 1740 cm-1 due to more disordered material appears. In the second example, 2D correlation analysis of a series of AFM-IR spectra spaced every 1 μm of a thin cross section of a bone sample measured outward from an osteon center of bone growth. There are many changes in the amide I and phosphate band contours, suggesting changes in the bone structure are occurring as the bone matures.
Zbik, Marek S; Frost, Ray L
2010-06-15
The structure-building phenomena within clay aggregates are governed by forces acting between clay particles. Measurements of such forces are important to understand in order to manipulate the aggregate structure for applications such as dewatering of mineral processing tailings. A parallel particle orientation is required when conducting XRD investigation on the oriented samples and conduct force measurements acting between basal planes of clay mineral platelets using atomic force microscopy (AFM). To investigate how smectite clay platelets were oriented on silicon wafer substrate when dried from suspension range of methods like SEM, XRD and AFM were employed. From these investigations, we conclude that high clay concentrations and larger particle diameters (up to 5 microm) in suspension result in random orientation of platelets in the substrate. The best possible laminar orientation in the clay dry film, represented in the XRD 001/020 intensity ratio of 47 was obtained by drying thin layers from 0.02 wt.% clay suspensions of the natural pH. Conducted AFM investigations show that smectite studied in water based electrolytes show very long-range repulsive forces lower in strength than electrostatic forces from double-layer repulsion. It was suggested that these forces may have structural nature. Smectite surface layers rehydrate in water environment forms surface gel with spongy and cellular texture which cushion approaching AFM probe. This structural effect can be measured in distances larger than 1000 nm from substrate surface and when probe penetrate this gel layer, structural linkages are forming between substrate and clay covered probe. These linkages prevent subsequently smooth detachments of AFM probe on way back when retrieval. This effect of tearing new formed structure apart involves larger adhesion-like forces measured in retrieval. It is also suggested that these effect may be enhanced by the nano-clay particles interaction. 2010 Elsevier Inc. All
Applications of AFM for atomic manipulation and spectroscopy
NASA Astrophysics Data System (ADS)
Custance, Oscar
2009-03-01
Since the first demonstration of atom-by-atom assembly [1], atomic manipulation with scanning tunneling microscopy has yielded stunning realizations in nanoscience. A new exciting panorama has been recently opened with the possibility of manipulating atoms at surfaces using atomic force microscopy (AFM) [2-5]. In this talk, we will present two different approaches that enable patterning structures at semiconductor surfaces by manipulating individual atoms with AFM and at room temperature [2, 3]. We will discuss the physics behind each protocol through the analysis of the measured forces associated with these manipulations [3-5]. Another challenging issue in scanning probe microscopy is the ability to disclose the local chemical composition of a multi-element system at atomic level. Here, we will introduce a single-atom chemical identification method, which is based on detecting the forces between the outermost atom of the AFM tip and the atoms at a surface [6]. We demonstrate this identification procedure on a particularly challenging system, where any discrimination attempt based solely on topographic measurements would be impossible to achieve. [4pt] References: [0pt] [1] D. M. Eigler and E. K. Schweizer, Nature 344, 524 (1990); [0pt] [2] Y. Sugimoto, M. Abe, S. Hirayama, N. Oyabu, O. Custance and S. Morita, Nature Materials 4, 156 (2005); [0pt] [3] Y. Sugimoto, P. Pou, O. Custance, P. Jelinek, M. Abe, R. Perez and S. Morita, Science 322, 413 (2008); [0pt] [4] Y. Sugimoto, P. Jelinek, P. Pou, M. Abe, S. Morita, R. Perez and O. Custance, Phys. Rev. Lett. 98, 106104 (2007); [0pt] [5] M. Ternes, C. P. Lutz, C. F. Hirjibehedin, F. J. Giessibl and A. J. Heinrich, Science 319, 1066 (2008); [0pt] [6] Y. Sugimoto, P. Pou, M. Abe, P. Jelinek, R. Perez, S. Morita, and O. Custance, Nature 446, 64 (2007)
Gaczynska, Maria; Karpowicz, Przemyslaw; Stuart, Christine E.; ...
2016-03-23
α 1-Proteinase inhibitor (antitrypsin) is a canonical example of the serpin family member that binds and inhibits serine proteases. The natural metastability of serpins is crucial to carry out structural rearrangements necessary for biological activity. However, the enhanced metastability of the mutant Z variant of antitrypsin, in addition to folding defect, may substantially contribute to its polymerization, a process leading to incurable serpinopathy. The metastability also impedes structural studies on the polymers. There are no crystal structures of Z monomer or any kind of polymers larger than engineered wild type (WT) trimer. Our understanding of polymerization mechanisms is based onmore » biochemical data using in vitro generated WT oligomers and molecular simulations. Here we applied atomic force microscopy (AFM) to compare topography of monomers, in vitro formed WT oligomers, and Z type polymers isolated from transgenic mouse liver. We found the AFM images of monomers closely resembled an antitrypsin outer shell modeled after the crystal structure. We confirmed that the Z variant demonstrated higher spontaneous propensity to dimerize than WT monomers. We also detected an unexpectedly broad range of different types of polymers with periodicity and topography depending on the applied method of polymerization. Short linear oligomers of unit arrangement similar to the Z polymers were especially abundant in heat-treated WT preparations. Long linear polymers were a prominent and unique component of liver extracts. However, the liver preparations contained also multiple types of oligomers of topographies undistinguishable from those found inWT samples polymerized with heat, low pH or guanidine hydrochloride treatments. In conclusion, we established that AFM is an excellent technique to assess morphological diversity of antitrypsin polymers, which is important for etiology of serpinopathies. These data also support previous, but controversial models of in vivo
AFM as an analysis tool for high-capacity sulfur cathodes for Li–S batteries
Sörgel, Seniz; Costa, Rémi; Carlé, Linus; Galm, Ines; Cañas, Natalia; Pascucci, Brigitta; Friedrich, K Andreas
2013-01-01
Summary In this work, material-sensitive atomic force microscopy (AFM) techniques were used to analyse the cathodes of lithium–sulfur batteries. A comparison of their nanoscale electrical, electrochemical, and morphological properties was performed with samples prepared by either suspension-spraying or doctor-blade coating with different binders. Morphological studies of the cathodes before and after the electrochemical tests were performed by using AFM and scanning electron microscopy (SEM). The cathodes that contained polyvinylidene fluoride (PVDF) and were prepared by spray-coating exhibited a superior stability of the morphology and the electric network associated with the capacity and cycling stability of these batteries. A reduction of the conductive area determined by conductive AFM was found to correlate to the battery capacity loss for all cathodes. X-ray diffraction (XRD) measurements of Li2S exposed to ambient air showed that insulating Li2S hydrolyses to insulating LiOH. This validates the significance of electrical ex-situ AFM analysis after cycling. Conductive tapping mode AFM indicated the existence of large carbon-coated sulfur particles. Based on the analytical findings, the first results of an optimized cathode showed a much improved discharge capacity of 800 mA·g(sulfur)−1 after 43 cycles. PMID:24205455
Lateral Tip Control Effects in CD-AFM Metrology: The Large Tip Limit.
Dixson, Ronald G; Orji, Ndubuisi G; Goldband, Ryan S
2016-01-25
Sidewall sensing in critical dimension atomic force microscopes (CD-AFMs) usually involves continuous lateral dithering of the tip or the use of a control algorithm and fast response piezo actuator to position the tip in a manner that resembles touch-triggering of coordinate measuring machine (CMM) probes. All methods of tip position control, however, induce an effective tip width that may deviate from the actual geometrical tip width. Understanding the influence and dependence of the effective tip width on the dither settings and lateral stiffness of the tip can improve the measurement accuracy and uncertainty estimation for CD-AFM measurements. Since CD-AFM typically uses tips that range from 15 nm to 850 nm in geometrical width, the behavior of effective tip width throughout this range should be understood. The National Institute of Standards and Technology (NIST) has been investigating the dependence of effective tip width on the dither settings and lateral stiffness of the tip, as well as the possibility of material effects due to sample composition. For tip widths of 130 nm and lower, which also have lower lateral stiffness, the response of the effective tip width to lateral dither is greater than for larger tips. However, we have concluded that these effects will not generally result in a residual bias, provided that the tip calibration and sample measurement are performed under the same conditions. To validate that our prior conclusions about the dependence of effective tip width on lateral stiffness are valid for large CD-tips, we recently performed experiments using a very large non-CD tip with an etched plateau of approximately 2 μm width. The effective lateral stiffness of these tips is at least 20 times greater than typical CD-AFM tips, and these results supported our prior conclusions about the expected behavior for larger tips. The bottom-line importance of these latest observations is that we can now reasonably conclude that a dither slope of 3 nm
Lateral Tip Control Effects in CD-AFM Metrology: The Large Tip Limit
Dixson, Ronald G.; Orji, Ndubuisi G.; Goldband, Ryan S.
2016-01-01
Sidewall sensing in critical dimension atomic force microscopes (CD-AFMs) usually involves continuous lateral dithering of the tip or the use of a control algorithm and fast response piezo actuator to position the tip in a manner that resembles touch-triggering of coordinate measuring machine (CMM) probes. All methods of tip position control, however, induce an effective tip width that may deviate from the actual geometrical tip width. Understanding the influence and dependence of the effective tip width on the dither settings and lateral stiffness of the tip can improve the measurement accuracy and uncertainty estimation for CD-AFM measurements. Since CD-AFM typically uses tips that range from 15 nm to 850 nm in geometrical width, the behavior of effective tip width throughout this range should be understood. The National Institute of Standards and Technology (NIST) has been investigating the dependence of effective tip width on the dither settings and lateral stiffness of the tip, as well as the possibility of material effects due to sample composition. For tip widths of 130 nm and lower, which also have lower lateral stiffness, the response of the effective tip width to lateral dither is greater than for larger tips. However, we have concluded that these effects will not generally result in a residual bias, provided that the tip calibration and sample measurement are performed under the same conditions. To validate that our prior conclusions about the dependence of effective tip width on lateral stiffness are valid for large CD-tips, we recently performed experiments using a very large non-CD tip with an etched plateau of approximately 2 μm width. The effective lateral stiffness of these tips is at least 20 times greater than typical CD-AFM tips, and these results supported our prior conclusions about the expected behavior for larger tips. The bottom-line importance of these latest observations is that we can now reasonably conclude that a dither slope of 3 nm
Black, Jennifer M.; Zhu, Mengyang; Zhang, Pengfei; Unocic, Raymond R.; Guo, Daqiang; Okatan, M. Baris; Dai, Sheng; Cummings, Peter T.; Kalinin, Sergei V.; Feng, Guang; Balke, Nina
2016-01-01
Atomic force microscopy (AFM) force-distance measurements are used to investigate the layered ion structure of Ionic Liquids (ILs) at the mica surface. The effects of various tip properties on the measured force profiles are examined and reveal that the measured ion position is independent of tip properties, while the tip radius affects the forces required to break through the ion layers as well as the adhesion force. Force data is collected for different ILs and directly compared with interfacial ion density profiles predicted by molecular dynamics. Through this comparison it is concluded that AFM force measurements are sensitive to the position of the ion with the larger volume and mass, suggesting that ion selectivity in force-distance measurements are related to excluded volume effects and not to electrostatic or chemical interactions between ions and AFM tip. The comparison also revealed that at distances greater than 1 nm the system maintains overall electroneutrality between the AFM tip and sample, while at smaller distances other forces (e.g., van der waals interactions) dominate and electroneutrality is no longer maintained. PMID:27587276
SEM and AFM studies of dip-coated CuO nanofilms.
Dhanasekaran, V; Mahalingam, T; Ganesan, V
2013-01-01
Cupric oxide (CuO) semiconducting thin films were prepared at various copper sulfate concentrations by dip coating. The copper sulfate concentration was varied to yield films of thicknesses in the range of 445-685 nm by surface profilometer. X-ray diffraction patterns revealed that the deposited films were polycrystalline in nature with monoclinic structure of (-111) plane. The surface morphology and topography of monoclinic-phase CuO thin films were examined using scanning electron microscopy (SEM) and atomic force microscopy (AFM), respectively. Surface roughness profile was plotted using WSxM software and the estimated surface roughness was about ∼19.4 nm at 30 mM molar concentration. The nanosheets shaped grains were observed by SEM and AFM studies. The stoichiometric compound formation was observed at 30 mM copper sulfate concentration prepared film by EDX. The indirect band gap energy of CuO films was increased from 1.08 to 1.20 eV with the increase of copper sulfate concentrations. Copyright © 2012 Wiley Periodicals, Inc.
QCM-D on mica for parallel QCM-D-AFM studies.
Richter, Ralf P; Brisson, Alain
2004-05-25
Quartz crystal microbalance with dissipation monitoring (QCM-D) has developed into a recognized method to study adsorption processes in liquid, such as the formation of supported lipid bilayers and protein adsorption. However, the large intrinsic roughness of currently used gold-coated or silica-coated QCM-D sensors limits parallel structural characterization by atomic force microscopy (AFM). We present a method for coating QCM-D sensors with thin mica sheets operating in liquid with high stability and sensitivity. We define criteria to objectively assess the reliability of the QCM-D measurements and demonstrate that the mica-coated sensors can be used to follow the formation of supported lipid membranes and subsequent protein adsorption. This method allows combining QCM-D and AFM investigations on identical supports, providing detailed physicochemical and structural characterization of model membranes.
Silicon nanowires reliability and robustness investigation using AFM-based techniques
NASA Astrophysics Data System (ADS)
Bieniek, Tomasz; Janczyk, Grzegorz; Janus, Paweł; Grabiec, Piotr; Nieprzecki, Marek; Wielgoszewski, Grzegorz; Moczała, Magdalena; Gotszalk, Teodor; Buitrago, Elizabeth; Badia, Montserrat F.; Ionescu, Adrian M.
2013-07-01
Silicon nanowires (SiNWs) have undergone intensive research for their application in novel integrated systems such as field effect transistor (FET) biosensors and mass sensing resonators profiting from large surface-to-volume ratios (nano dimensions). Such devices have been shown to have the potential for outstanding performances in terms of high sensitivity, selectivity through surface modification and unprecedented structural characteristics. This paper presents the results of mechanical characterization done for various types of suspended SiNWs arranged in a 3D array. The characterization has been performed using techniques based on atomic force microscopy (AFM). This investigation is a necessary prerequisite for the reliable and robust design of any biosensing system. This paper also describes the applied investigation methodology and reports measurement results aggregated during series of AFM-based tests.
In situ nanomanipulators as a tool to separate individual tobermorite crystals for AFM studies.
Yang, Tianhe; Holzer, Lorenz; Kägi, Ralf; Winnefeld, Frank; Keller, Bruno
2007-10-01
Atomic force microscopy (AFM) studies of cementitious materials are limited, mainly due to the lack of appropriate sample preparation techniques. In porous autoclaved aerated concrete (AAC), calcium silicate hydrate (C-S-H) is produced in its crystalline form, tobermorite. The crystals are lath-like with a length of several micrometers. In this work, we demonstrate the application of nanomanipulators to separate an individual tobermorite crystal from the bulk AAC for subsequent AFM investigations. The nanomanipulators are operated directly in an environmental scanning electron microscope (ESEM). We studied the interaction between moisture and the tobermorite surface under controlled relative humidity (RH). The results of topography and adhesion force measurements with AFM suggest that the surface of tobermorite is hydrophobic, which contrasts the macroscopic material properties (e.g. moisture transport in capillary pores).
University of Maryland MRSEC - Facilities: SEM/STM/AFM
MRSEC Templates Opportunities Search Home » Facilities » SEM/STM/AFM Shared Experimental Facilities conducting and non conducting samples. The sample stage permits electronic device imaging under operational Specifications: Image Modes - STM, STS, MFM, EFM, SKPM, contact- and non-contact AFM Three Sample Contacts 0.1 nm
Hematite/silica nanoparticle bilayers on mica: AFM and electrokinetic characterization.
Morga, Maria; Adamczyk, Zbigniew; Kosior, Dominik; Oćwieja, Magdalena
2018-06-06
Quantitative studies on self-assembled hematite/silica nanoparticle (NP) bilayers on mica were performed by applying scanning electron microscopy (SEM), atomic force microscopy (AFM), and streaming potential measurements. The coverage of the supporting hematite layers was adjusted by changing the bulk concentration of the suspension and the deposition time. The coverage was determined by direct enumeration of deposited particles from AFM images and SEM micrographs. Afterward, silica nanoparticle monolayers were assembled under diffusion-controlled transport. A unique functional relationship was derived connecting the silica coverage with the hematite precursor layer coverage. The formation of the hematite monolayer and the hematite/silica bilayer was also monitored in situ by streaming potential measurements. It was confirmed that the zeta potential of the bilayers was independent of the supporting layer coverage, exceeding 0.15. These measurements were theoretically interpreted in terms of the general electrokinetic model that allowed for deriving a formula for calculating nanoparticle coverage in the bilayers. Additionally, from desorption experiments, the interactions among hematite/silica particles in the bilayers were determined using DLVO theory. These results facilitate the development of a robust method of preparing nanoparticle bilayers with controlled properties, with potential applications in catalytic processes.
Nano-Electrochemistry and Nano-Electrografting with an Original Combined AFM-SECM
Ghorbal, Achraf; Grisotto, Federico; Charlier, Julienne; Palacin, Serge; Goyer, Cédric; Demaille, Christophe; Ben Brahim, Ammar
2013-01-01
This study demonstrates the advantages of the combination between atomic force microscopy and scanning electrochemical microscopy. The combined technique can perform nano-electrochemical measurements onto agarose surface and nano-electrografting of non-conducting polymers onto conducting surfaces. This work was achieved by manufacturing an original Atomic Force Microscopy-Scanning ElectroChemical Microscopy (AFM-SECM) electrode. The capabilities of the AFM-SECM-electrode were tested with the nano-electrografting of vinylic monomers initiated by aryl diazonium salts. Nano-electrochemical and technical processes were thoroughly described, so as to allow experiments reproducing. A plausible explanation of chemical and electrochemical mechanisms, leading to the nano-grafting process, was reported. This combined technique represents the first step towards improved nano-processes for the nano-electrografting. PMID:28348337
Sub-diffraction nano manipulation using STED AFM.
Chacko, Jenu Varghese; Canale, Claudio; Harke, Benjamin; Diaspro, Alberto
2013-01-01
In the last two decades, nano manipulation has been recognized as a potential tool of scientific interest especially in nanotechnology and nano-robotics. Contemporary optical microscopy (super resolution) techniques have also reached the nanometer scale resolution to visualize this and hence a combination of super resolution aided nano manipulation ineluctably gives a new perspective to the scenario. Here we demonstrate how specificity and rapid determination of structures provided by stimulated emission depletion (STED) microscope can aid another microscopic tool with capability of mechanical manoeuvring, like an atomic force microscope (AFM) to get topological information or to target nano scaled materials. We also give proof of principle on how high-resolution real time visualization can improve nano manipulation capability within a dense sample, and how STED-AFM is an optimal combination for this job. With these evidences, this article points to future precise nano dissections and maybe even to a nano-snooker game with an AFM tip and fluorospheres.
El-Kirat-Chatel, Sofiane; Puymege, Aurore; Duong, The H; Van Overtvelt, Perrine; Bressy, Christine; Belec, Lénaïk; Dufrêne, Yves F; Molmeret, Maëlle
2017-01-01
Up to recent years, bacterial adhesion has mostly been evaluated at the population level. Single cell level has improved in the past few years allowing a better comprehension of the implication of individual behaviors as compared to the one of a whole community. A new approach using atomic force microscopy (AFM) to measure adhesion forces between a live bacterium attached via a silica microbead to the AFM tipless cantilever and the surface has been recently developed. The objectives of this study is to examine the bacterial adhesion to a surface dedicated to ship hulls at the population and the cellular level to understand to what extent these two levels could be correlated. Adhesion of marine bacteria on inert surfaces are poorly studied in particular when substrata are dedicated to ship hulls. Studying these interactions in this context are worthwhile as they may involve different adhesion behaviors, taking place in salty conditions, using different surfaces than the ones usually utilized in the literacy. FRC (fouling release coatings)-SPC (self-polishing coatings) hybrids antifouling coatings have been used as substrata and are of particular interest for designing environmentally friendly surfaces, combining progressive surface erosion and low adhesion properties. In this study, a hybrid coating has been synthetized and used to study the adhesion of three marine bacteria, displaying different surface characteristics, using microplate assays associated with confocal scanning laser microscopy (CSLM) and AFM. This study shows that the bacterial strain that appeared to have the weakest adhesion and biofilm formation abilities when evaluated at the population level using microplates assays and CSLM, displayed stronger adhesion forces on the same surfaces at the single cell level using AFM. In addition, one of the strains tested which presented a strong ability to adhere and to form biofilm at the population level, displayed a heterogeneous phenotypic behavior at the
Joint Research on Scatterometry and AFM Wafer Metrology
NASA Astrophysics Data System (ADS)
Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni
2011-11-01
Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Black, Jennifer M.; Zhu, Mengyang; Zhang, Pengfei
In this paper, atomic force microscopy (AFM) force-distance measurements are used to investigate the layered ion structure of Ionic Liquids (ILs) at the mica surface. The effects of various tip properties on the measured force profiles are examined and reveal that the measured ion position is independent of tip properties, while the tip radius affects the forces required to break through the ion layers as well as the adhesion force. Force data is collected for different ILs and directly compared with interfacial ion density profiles predicted by molecular dynamics. Through this comparison it is concluded that AFM force measurements aremore » sensitive to the position of the ion with the larger volume and mass, suggesting that ion selectivity in force-distance measurements are related to excluded volume effects and not to electrostatic or chemical interactions between ions and AFM tip. Finally, the comparison also revealed that at distances greater than 1 nm the system maintains overall electroneutrality between the AFM tip and sample, while at smaller distances other forces (e.g., van der waals interactions) dominate and electroneutrality is no longer maintained.« less
Black, Jennifer M.; Zhu, Mengyang; Zhang, Pengfei; ...
2016-09-02
In this paper, atomic force microscopy (AFM) force-distance measurements are used to investigate the layered ion structure of Ionic Liquids (ILs) at the mica surface. The effects of various tip properties on the measured force profiles are examined and reveal that the measured ion position is independent of tip properties, while the tip radius affects the forces required to break through the ion layers as well as the adhesion force. Force data is collected for different ILs and directly compared with interfacial ion density profiles predicted by molecular dynamics. Through this comparison it is concluded that AFM force measurements aremore » sensitive to the position of the ion with the larger volume and mass, suggesting that ion selectivity in force-distance measurements are related to excluded volume effects and not to electrostatic or chemical interactions between ions and AFM tip. Finally, the comparison also revealed that at distances greater than 1 nm the system maintains overall electroneutrality between the AFM tip and sample, while at smaller distances other forces (e.g., van der waals interactions) dominate and electroneutrality is no longer maintained.« less
Design and Realization of 3D Printed AFM Probes.
Alsharif, Nourin; Burkatovsky, Anna; Lissandrello, Charles; Jones, Keith M; White, Alice E; Brown, Keith A
2018-05-01
Atomic force microscope (AFM) probes and AFM imaging by extension are the product of exceptionally refined silicon micromachining, but are also restricted by the limitations of these fabrication techniques. Here, the nanoscale additive manufacturing technique direct laser writing is explored as a method to print monolithic cantilevered probes for AFM. Not only are 3D printed probes found to function effectively for AFM, but they also confer several advantages, most notably the ability to image in intermittent contact mode with a bandwidth approximately ten times larger than analogous silicon probes. In addition, the arbitrary structural control afforded by 3D printing is found to enable programming the modal structure of the probe, a capability that can be useful in the context of resonantly amplifying nonlinear tip-sample interactions. Collectively, these results show that 3D printed probes complement those produced using conventional silicon micromachining and open the door to new imaging techniques. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
BOREAS AFM-07 SRC Surface Meteorological Data
NASA Technical Reports Server (NTRS)
Osborne, Heather; Hall, Forrest G. (Editor); Newcomer, Jeffrey A. (Editor); Young, Kim; Wittrock, Virginia; Shewchuck, Stan; Smith, David E. (Technical Monitor)
2000-01-01
The Saskatchewan Research Council (SRC) collected surface meteorological and radiation data from December 1993 until December 1996. The data set comprises Suite A (meteorological and energy balance measurements) and Suite B (diffuse solar and longwave measurements) components. Suite A measurements were taken at each of ten sites, and Suite B measurements were made at five of the Suite A sites. The data cover an approximate area of 500 km (North-South) by 1000 km (East-West) (a large portion of northern Manitoba and northern Saskatchewan). The measurement network was designed to provide researchers with a sufficient record of near-surface meteorological and radiation measurements. The data are provided in tabular ASCII files, and were collected by Aircraft Flux and Meteorology (AFM)-7. The surface meteorological and radiation data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files are available on a CD-ROM (see document number 20010000884).
Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology
NASA Astrophysics Data System (ADS)
Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias
2018-05-01
Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a
Bubble colloidal AFM probes formed from ultrasonically generated bubbles.
Vakarelski, Ivan U; Lee, Judy; Dagastine, Raymond R; Chan, Derek Y C; Stevens, Geoffrey W; Grieser, Franz
2008-02-05
Here we introduce a simple and effective experimental approach to measuring the interaction forces between two small bubbles (approximately 80-140 microm) in aqueous solution during controlled collisions on the scale of micrometers to nanometers. The colloidal probe technique using atomic force microscopy (AFM) was extended to measure interaction forces between a cantilever-attached bubble and surface-attached bubbles of various sizes. By using an ultrasonic source, we generated numerous small bubbles on a mildly hydrophobic surface of a glass slide. A single bubble picked up with a strongly hydrophobized V-shaped cantilever was used as the colloidal probe. Sample force measurements were used to evaluate the pure water bubble cleanliness and the general consistency of the measurements.
Modeling the Interaction between AFM Tips and Pinned Surface Nanobubbles.
Guo, Zhenjiang; Liu, Yawei; Xiao, Qianxiang; Schönherr, Holger; Zhang, Xianren
2016-01-26
Although the morphology of surface nanobubbles has been studied widely with different AFM modes, AFM images may not reflect the real shapes of the nanobubbles due to AFM tip-nanobubble interactions. In addition, the interplay between surface nanobubble deformation and induced capillary force has not been well understood in this context. In our work we used constraint lattice density functional theory to investigate the interaction between AFM tips and pinned surface nanobubbles systematically, especially concentrating on the effects of tip hydrophilicity and shape. For a hydrophilic tip contacting a nanobubble, its hydrophilic nature facilitates its departure from the bubble surface, displaying a weak and intermediate-range attraction. However, when the tip squeezes the nanobubble during the approach process, the nanobubble shows an elastic effect that prevents the tip from penetrating the bubble, leading to a strong nanobubble deformation and repulsive interactions. On the contrary, a hydrophobic tip can easily pierce the vapor-liquid interface of the nanobubble during the approach process, leading to the disappearance of the repulsive force. In the retraction process, however, the adhesion between the tip and the nanobubble leads to a much stronger lengthening effect on nanobubble deformation and a strong long-range attractive force. The trends of force evolution from our simulations agree qualitatively well with recent experimental AFM observations. This favorable agreement demonstrates that our model catches the main intergradient of tip-nanobubble interactions for pinned surface nanobubbles and may therefore provide important insight into how to design minimally invasive AFM experiments.
GPIM AF-M315E Propulsion System
NASA Technical Reports Server (NTRS)
Spores, Ronald A.; Masse, Robert; Kimbrel, Scott; McLean, Chris
2014-01-01
The NASA Space Technology mission Directorate's (STMD) Green Propellant Infusion Mission (GPIM) Technology Demonstration Mission (TDM) will demonstrate an operational AF-M315E green propellant propulsion system. Aerojet-Rocketdyne is responsible for the development of the propulsion system payload. This paper statuses the propulsion system module development, including thruster design and system design; Initial test results for the 1N engineering model thruster are presented. The culmination of this program will be high-performance, green AF-M315E propulsion system technology at TRL 7+, with components demonstrated to TRL 9, ready for direct infusion to a wide range of applications for the space user community.
Surface electrical properties of stainless steel fibres: An AFM-based study
NASA Astrophysics Data System (ADS)
Yin, Jun; D'Haese, Cécile; Nysten, Bernard
2015-03-01
Atomic force microscopy (AFM) electrical modes were used to study the surface electrical properties of stainless steel fibres. The surface electrical conductivity was studied by current sensing AFM and I-V spectroscopy. Kelvin probe force microscopy was used to measure the surface contact potential. The oxide film, known as passivation layer, covering the fibre surface gives rise to the observation of an apparently semiconducting behaviour. The passivation layer generally exhibits a p-type semiconducting behaviour, which is attributed to the predominant formation of chromium oxide on the surface of the stainless steel fibres. At the nanoscale, different behaviours are observed from points to points, which may be attributed to local variations of the chemical composition and/or thickness of the passivation layer. I-V curves are well fitted with an electron tunnelling model, indicating that electron tunnelling may be the predominant mechanism for electron transport.
Simulated structure and imaging of NTCDI on Si(1 1 1)-7 × 7 : a combined STM, NC-AFM and DFT study
NASA Astrophysics Data System (ADS)
Jarvis, S. P.; Sweetman, A. M.; Lekkas, I.; Champness, N. R.; Kantorovich, L.; Moriarty, P.
2015-02-01
The adsorption of naphthalene tetracarboxylic diimide (NTCDI) on Si(1 1 1)-7 × 7 is investigated through a combination of scanning tunnelling microscopy (STM), noncontact atomic force microscopy (NC-AFM) and density functional theory (DFT) calculations. We show that NTCDI adopts multiple planar adsorption geometries on the Si(1 1 1)-7 × 7 surface which can be imaged with intramolecular bond resolution using NC-AFM. DFT calculations reveal adsorption is dominated by covalent bond formation between the molecular oxygen atoms and the surface silicon adatoms. The chemisorption of the molecule is found to induce subtle distortions to the molecular structure, which are observed in NC-AFM images.
NASA Astrophysics Data System (ADS)
Kuppers, J. D.; Gouverneur, I. M.; Rodgers, M. T.; Wenger, J.; Furlong, C.
2006-08-01
In atomic probe microscopy, micro-probes of various sizes, geometries, and materials are used to define the interface between the samples under investigation and the measuring detectors and instrumentation. Therefore, measuring resolution in atomic probe microscopy is highly dependent on the transfer function characterizing the micro-probes used. In this paper, characterization of the dynamic transfer function of specific micro-cantilever probes used in an Atomic Force Microscope (AFM) operating in the tapping mode is presented. Characterization is based on the combined application of laser Doppler vibrometry (LDV) and real-time stroboscopic optoelectronic holographic microscopy (OEHM) methodologies. LDV is used for the rapid measurement of the frequency response of the probes due to an excitation function containing multiple frequency components. Data obtained from the measured frequency response is used to identify the principal harmonics. In order to identify mode shapes corresponding to the harmonics, full-field of view OEHM is applied. This is accomplished by measurements of motion at various points on the excitation curve surrounding the identified harmonics. It is shown that the combined application of LDV and OEHM enables the high-resolution characterization of mode shapes of vibration, damping characteristics, as well as transient response of the micro-cantilever probes. Such characterization is necessary in high-resolution AFM measurements.
Roy, Rajarshi; Desai, Jaydev P.
2016-01-01
This paper outlines a comprehensive parametric approach for quantifying mechanical properties of spatially heterogeneous thin biological specimens such as human breast tissue using contact-mode Atomic Force Microscopy. Using inverse finite element (FE) analysis of spherical nanoindentation, the force response from hyperelastic material models is compared with the predicted force response from existing analytical contact models, and a sensitivity study is carried out to assess uniqueness of the inverse FE solution. Furthermore, an automation strategy is proposed to analyze AFM force curves with varying levels of material nonlinearity with minimal user intervention. Implementation of our approach on an elastic map acquired from raster AFM indentation of breast tissue specimens indicates that a judicious combination of analytical and numerical techniques allow more accurate interpretation of AFM indentation data compared to relying on purely analytical contact models, while keeping the computational cost associated an inverse FE solution with reasonable limits. The results reported in this study have several implications in performing unsupervised data analysis on AFM indentation measurements on a wide variety of heterogeneous biomaterials. PMID:25015130
NASA Astrophysics Data System (ADS)
Dague, E.; Jauvert, E.; Laplatine, L.; Viallet, B.; Thibault, C.; Ressier, L.
2011-09-01
Immobilization of live micro-organisms on solid substrates is an important prerequisite for atomic force microscopy (AFM) bio-experiments. The method employed must immobilize the cells firmly enough to enable them to withstand the lateral friction forces exerted by the tip during scanning but without denaturing the cell interface. In this work, a generic method for the assembly of living cells on specific areas of substrates is proposed. It consists in assembling the living cells within the patterns of microstructured, functionalized poly-dimethylsiloxane (PDMS) stamps using convective/capillary deposition. This versatile approach is validated by applying it to two systems of foremost importance in biotechnology and medicine: Saccharomyces cerevisiae yeasts and Aspergillus fumigatus fungal spores. We show that this method allows multiplexing AFM nanomechanical measurements by force spectroscopy on S. cerevisiae yeasts and high-resolution AFM imaging of germinated Aspergillus conidia in buffer medium. These two examples clearly demonstrate the immense potential of micro-organism assembly on functionalized, microstructured PDMS stamps by convective/capillary deposition for performing rigorous AFM bio-experiments on living cells.
Dukic, Maja; Adams, Jonathan D.; Fantner, Georg E.
2015-01-01
Optical beam deflection (OBD) is the most prevalent method for measuring cantilever deflections in atomic force microscopy (AFM), mainly due to its excellent noise performance. In contrast, piezoresistive strain-sensing techniques provide benefits over OBD in readout size and the ability to image in light-sensitive or opaque environments, but traditionally have worse noise performance. Miniaturisation of cantilevers, however, brings much greater benefit to the noise performance of piezoresistive sensing than to OBD. In this paper, we show both theoretically and experimentally that by using small-sized piezoresistive cantilevers, the AFM imaging noise equal or lower than the OBD readout noise is feasible, at standard scanning speeds and power dissipation. We demonstrate that with both readouts we achieve a system noise of ≈0.3 Å at 20 kHz measurement bandwidth. Finally, we show that small-sized piezoresistive cantilevers are well suited for piezoresistive nanoscale imaging of biological and solid state samples in air. PMID:26574164
NASA Astrophysics Data System (ADS)
Karci, Ozgur; Celik, Umit; Oral, Ahmet; NanoMagnetics Instruments Ltd. Team; Middle East Tech Univ Team
2015-03-01
We describe a novel method for excitation of Atomic Force Microscope (AFM) cantilevers by means of radiation pressure for imaging in an AFM for the first time. Piezo excitation is the most common method for cantilever excitation, but it may cause spurious resonance peaks. A fiber optic interferometer with 1310 nm laser was used both to measure the deflection of cantilever and apply a force to the cantilever in a LT-AFM/MFM from NanoMagnetics Instruments. The laser power was modulated at the cantilever`s resonance frequency by a digital Phase Lock Loop (PLL). The force exerted by the radiation pressure on a perfectly reflecting surface by a laser beam of power P is F = 2P/c. We typically modulate the laser beam by ~ 800 μW and obtain 10nm oscillation amplitude with Q ~ 8,000 at 2.5x10-4 mbar. The cantilever's stiffness can be accurately calibrated by using the radiation pressure. We have demonstrated performance of the radiation pressure excitation in AFM/MFM by imaging a hard disk sample between 4-300K and Abrikosov vortex lattice in BSCCO single crystal at 4K to for the first time.
Membrane-based actuation for high-speed single molecule force spectroscopy studies using AFM.
Sarangapani, Krishna; Torun, Hamdi; Finkler, Ofer; Zhu, Cheng; Degertekin, Levent
2010-07-01
Atomic force microscopy (AFM)-based dynamic force spectroscopy of single molecular interactions involves characterizing unbinding/unfolding force distributions over a range of pulling speeds. Owing to their size and stiffness, AFM cantilevers are adversely affected by hydrodynamic forces, especially at pulling speeds >10 microm/s, when the viscous drag becomes comparable to the unbinding/unfolding forces. To circumvent these adverse effects, we have fabricated polymer-based membranes capable of actuating commercial AFM cantilevers at speeds >or=100 microm/s with minimal viscous drag effects. We have used FLUENT, a computational fluid dynamics (CFD) software, to simulate high-speed pulling and fast actuation of AFM cantilevers and membranes in different experimental configurations. The simulation results support the experimental findings on a variety of commercial AFM cantilevers and predict significant reduction in drag forces when membrane actuators are used. Unbinding force experiments involving human antibodies using these membranes demonstrate that it is possible to achieve bond loading rates >or=10(6) pN/s, an order of magnitude greater than that reported with commercial AFM cantilevers and systems.
SU-8 hollow cantilevers for AFM cell adhesion studies
NASA Astrophysics Data System (ADS)
Martinez, Vincent; Behr, Pascal; Drechsler, Ute; Polesel-Maris, Jérôme; Potthoff, Eva; Vörös, Janos; Zambelli, Tomaso
2016-05-01
A novel fabrication method was established to produce flexible, transparent, and robust tipless hollow atomic force microscopy (AFM) cantilevers made entirely from SU-8. Channels of 3 μm thickness and several millimeters length were integrated into 12 μm thick and 40 μm wide cantilevers. Connected to a pressure controller, the devices showed high sealing performance with no leakage up to 6 bars. Changing the cantilever lengths from 100 μm to 500 μm among the same wafer allowed the targeting of various spring constants ranging from 0.5 to 80 N m-1 within a single fabrication run. These hollow polymeric AFM cantilevers were operated in the optical beam deflection configuration. To demonstrate the performance of the device, single-cell force spectroscopy experiments were performed with a single probe detaching in a serial protocol more than 100 Saccharomyces cerevisiae yeast cells from plain glass and glass coated with polydopamine while measuring adhesion forces in the sub-nanoNewton range. SU-8 now offers a new alternative to conventional silicon-based hollow cantilevers with more flexibility in terms of complex geometric design and surface chemistry modification.
Meckes, Brian; Arce, Fernando Teran; Connelly, Laura S.; Lal, Ratnesh
2014-01-01
Biological membranes contain ion channels, which are nanoscale pores allowing controlled ionic transport and mediating key biological functions underlying normal/abnormal living. Synthetic membranes with defined pores are being developed to control various processes, including filtration of pollutants, charge transport for energy storage, and separation of fluids and molecules. Although ionic transport (currents) can be measured with single channel resolution, imaging their structure and ionic currents simultaneously is difficult. Atomic force microscopy enables high resolution imaging of nanoscale structures and can be modified to measure ionic currents simultaneously. Moreover, the ionic currents can also be used to image structures. A simple method for fabricating conducting AFM cantilevers to image pore structures at high resolution is reported. Tungsten microwires with nanoscale tips are insulated except at the apex. This allows simultaneous imaging via cantilever deflections in normal AFM force feedback mode as well as measuring localized ionic currents. These novel probes measure ionic currents as small as picoampere while providing nanoscale spatial resolution surface topography and is suitable for measuring ionic currents and conductance of biological ion channels. PMID:24663394
In situ Electrochemical-AFM Study of LiFePO4 Thin Film in Aqueous Electrolyte.
Wu, Jiaxiong; Cai, Wei; Shang, Guangyi
2016-12-01
Lithium-ion (Li-ion) batteries have been widely used in various kinds of electronic devices in our daily life. The use of aqueous electrolyte in Li-ion battery would be an alternative way to develop low cost and environmentally friendly batteries. In this paper, the lithium iron phosphate (LiFePO4) thin film cathode for the aqueous rechargeable Li-ion battery is prepared by radio frequency magnetron sputtering deposition method. The XRD, SEM, and AFM results show that the film is composed of LiFePO4 grains with olivine structure and the average size of 100 nm. Charge-discharge measurements at current density of 10 μAh cm(-2) between 0 and 1 V show that the LiFePO4 thin film electrode is able to deliver an initial discharge capacity of 113 mAh g(-1). Specially, the morphological changes of the LiFePO4 film electrode during charge and discharge processes were investigated in aqueous environment by in situ EC-AFM, which is combined AFM with chronopotentiometry method. The changes in grain area are measured, and the results show that the size of the grains decreases and increases during the charge and discharge, respectively; the relevant mechanism is discussed.
NASA Astrophysics Data System (ADS)
Pea, M.; Maiolo, L.; Giovine, E.; Rinaldi, A.; Araneo, R.; Notargiacomo, A.
2016-05-01
We report on the conductive-atomic force microscopy (C-AFM) study of metallic layers in order to find the most suitable configuration for electrical characterization of individual ZnO micro-pillars fabricated by focused ion beam (FIB). The electrical resistance between the probe tip and both as deposited and FIB processed metal layers (namely, Cr, Ti, Au and Al) has been investigated. Both chromium and titanium evidenced a non homogenous and non ohmic behaviour, non negligible scanning probe induced anodic oxidation associated to electrical measurements, and after FIB milling they exhibited significantly higher tip-sample resistance. Aluminium had generally a more apparent non conductive behaviour. Conversely, gold films showed very good tip-sample conduction properties being less sensitive to FIB processing than the other investigated metals. We found that a reliable C-AFM electrical characterization of ZnO microstructures obtained by FIB machining is feasible by using a combination of metal films as top contact layer. An Au/Ti bilayer on top of ZnO was capable to sustain the FIB fabrication process and to form a suitable ohmic contact to the semiconductor, allowing for reliable C-AFM measurement. To validate the consistency of this approach, we measured the resistance of ZnO micropillars finding a linear dependence on the pillar height, as expected for an ohmic conductor, and evaluated the resistivity of the material. This procedure has the potential to be downscaled to nanometer size structures by a proper choice of metal films type and thickness.
Speranza, V.; Sorrentino, A.; De Santis, F.; Pantani, R.
2014-01-01
The first stages of the crystallization of polycaprolactone (PCL) were studied using several techniques. The crystallization exotherms measured by differential scanning calorimetry (DSC) were analyzed and compared with results obtained by polarized optical microscopy (POM), rheology, and atomic force microscope (AFM). The experimental results suggest a strong influence of the observation scale. In particular, the AFM, even if limited on time scale, appears to be the most sensitive technique to detect the first stages of crystallization. On the contrary, at least in the case analysed in this work, rheology appears to be the least sensitive technique. DSC and POM provide closer results. This suggests that the definition of induction time in the polymer crystallization is a vague concept that, in any case, requires the definition of the technique used for its characterization. PMID:24523644
Speranza, V; Sorrentino, A; De Santis, F; Pantani, R
2014-01-01
The first stages of the crystallization of polycaprolactone (PCL) were studied using several techniques. The crystallization exotherms measured by differential scanning calorimetry (DSC) were analyzed and compared with results obtained by polarized optical microscopy (POM), rheology, and atomic force microscope (AFM). The experimental results suggest a strong influence of the observation scale. In particular, the AFM, even if limited on time scale, appears to be the most sensitive technique to detect the first stages of crystallization. On the contrary, at least in the case analysed in this work, rheology appears to be the least sensitive technique. DSC and POM provide closer results. This suggests that the definition of induction time in the polymer crystallization is a vague concept that, in any case, requires the definition of the technique used for its characterization.
High-field magnetoconductance in La-Sr manganites of FM and AFM ground states
NASA Astrophysics Data System (ADS)
Jirák, Zdeněk; Kaman, Ondřej; Knížek, Karel; Levinský, Petr; Míšek, Martin; Veverka, Pavel; Hejtmánek, Jiří
2018-06-01
Large-grain La1-xSrxMnO3 ceramic samples of compositions x = 0.45 and 0.55, representing the ferromagnetic (FM) and A-type antiferromagnetic (AFM) ground states, were produced via classical sintering at 1500 °C of cold-pressed sol-gel prepared single-phase nanoparticles. Using the same precursors, nanogranular forms of both manganite ceramics were prepared by fast spark plasma sintering at low temperature of 900 °C, which limits the growth of crystal grains. The magnetotransport of both the bulk and nanogranular forms was investigated in a broad range of magnetic fields up to 130 kOe and analyzed on the basis of detailed magnetic measurements. Both the large-grain and nanogranular systems with x = 0.45, possessing a pure FM state with similar Curie tempereature TC ≈ 345 K), show nearly the same conductivity enhancement in external fields when expressed relatively to the zero-field values. This positive magnetoconductance (MC) can be separated into two terms: (i) the hysteretic low-field MC that reflects the field-induced orientation of magnetic moments of individual grains, and (ii) the high-field MC that depends linearly on external field. In the case of large-grain ceramics with x = 0.55, a partially ordered FM state formed below TC = 264 K is replaced by pure A-type AFM ground state below 204 K. This A-type AFM state is characterized by positive magnetoconductance that is essentially of quadratic dependence on external field in the investigated range up to 130 kOe. On contrary, the nanogranular product with x = 0.55 exhibits a mixed FM/AFM state at low temperatures, and, as a consequence, its magnetotransport combines the features of FM and A-type AFM systems, in which the quadratic term is much enhanced and clearly dominates at high fields. For interpretation of observed behaviors, the theory of grain-boundary tunneling is revisited.
Conductance of AFM Deformed Carbon Nanotubes
NASA Technical Reports Server (NTRS)
Svizhenko, Alexei; Maiti, Amitesh; Anatram, M. P.; Biegel, Bryan (Technical Monitor)
2002-01-01
This viewgraph presentation provides information on the electrical conductivity of carbon nanotubes upon deformation by atomic force microscopy (AFM). The density of states and conductance were computed using four orbital tight-binding method with various parameterizations. Different chiralities develop bandgap that varies with chirality.
Rothe, Achim; Sasse, Stephanie; Topp, Max S.; Eichenauer, Dennis A.; Hummel, Horst; Reiners, Katrin S.; Dietlein, Markus; Kuhnert, Georg; Kessler, Joerg; Buerkle, Carolin; Ravic, Miroslav; Knackmuss, Stefan; Marschner, Jens-Peter; Pogge von Strandmann, Elke; Borchmann, Peter
2015-01-01
AFM13 is a bispecific, tetravalent chimeric antibody construct (TandAb) designed for the treatment of CD30-expressing malignancies. AFM13 recruits natural killer (NK) cells via binding to CD16A as immune effector cells. In this phase 1 dose-escalation study, 28 patients with heavily pretreated relapsed or refractory Hodgkin lymphoma received AFM13 at doses of 0.01 to 7 mg/kg body weight. Primary objectives were safety and tolerability. Secondary objectives included pharmacokinetics, antitumor activity, and pharmacodynamics. Adverse events were generally mild to moderate. The maximum tolerated dose was not reached. Pharmacokinetics assessment revealed a half-life of up to 19 hours. Three of 26 evaluable patients achieved partial remission (11.5%) and 13 patients achieved stable disease (50%), with an overall disease control rate of 61.5%. AFM13 was also active in brentuximab vedotin–refractory patients. In 13 patients who received doses of ≥1.5 mg/kg AFM13, the overall response rate was 23% and the disease control rate was 77%. AFM13 treatment resulted in a significant NK-cell activation and a decrease of soluble CD30 in peripheral blood. In conclusion, AFM13 represents a well-tolerated, safe, and active targeted immunotherapy of Hodgkin lymphoma. A phase 2 study is currently planned to optimize the dosing schedule in order to further improve the therapeutic efficacy. This phase 1 study was registered at www.clinicaltrials.gov as #NCT01221571. PMID:25887777
Rothe, Achim; Sasse, Stephanie; Topp, Max S; Eichenauer, Dennis A; Hummel, Horst; Reiners, Katrin S; Dietlein, Markus; Kuhnert, Georg; Kessler, Joerg; Buerkle, Carolin; Ravic, Miroslav; Knackmuss, Stefan; Marschner, Jens-Peter; Pogge von Strandmann, Elke; Borchmann, Peter; Engert, Andreas
2015-06-25
AFM13 is a bispecific, tetravalent chimeric antibody construct (TandAb) designed for the treatment of CD30-expressing malignancies. AFM13 recruits natural killer (NK) cells via binding to CD16A as immune effector cells. In this phase 1 dose-escalation study, 28 patients with heavily pretreated relapsed or refractory Hodgkin lymphoma received AFM13 at doses of 0.01 to 7 mg/kg body weight. Primary objectives were safety and tolerability. Secondary objectives included pharmacokinetics, antitumor activity, and pharmacodynamics. Adverse events were generally mild to moderate. The maximum tolerated dose was not reached. Pharmacokinetics assessment revealed a half-life of up to 19 hours. Three of 26 evaluable patients achieved partial remission (11.5%) and 13 patients achieved stable disease (50%), with an overall disease control rate of 61.5%. AFM13 was also active in brentuximab vedotin-refractory patients. In 13 patients who received doses of ≥1.5 mg/kg AFM13, the overall response rate was 23% and the disease control rate was 77%. AFM13 treatment resulted in a significant NK-cell activation and a decrease of soluble CD30 in peripheral blood. In conclusion, AFM13 represents a well-tolerated, safe, and active targeted immunotherapy of Hodgkin lymphoma. A phase 2 study is currently planned to optimize the dosing schedule in order to further improve the therapeutic efficacy. This phase 1 study was registered at www.clinicaltrials.gov as #NCT01221571. © 2015 by The American Society of Hematology.
Influence of the surface chemistry on TiO2 - TiO2 nanocontact forces as measured by an UHV-AFM
NASA Astrophysics Data System (ADS)
Kunze, Christian; Giner, Ignacio; Torun, Boray; Grundmeier, Guido
2014-03-01
Particle-wall contact forces between a TiO2 film coated AFM tip and TiO2(1 1 0) single crystal surfaces were analyzed by means of UHV-AFM. As a reference system an octadecylphosphonic acid monolayer covered TiO2(1 1 0) surface was studied. The defect chemistry of the TiO2 substrate was modified by Ar ion bombardment, water dosing at 3 × 10-6 Pa and an annealing step at 473 K which resulted in a varying density of Ti(III) states. The observed contact forces are correlated to the surface defect density and are discussed in terms of the change in the electronic structure and its influence on the Hamaker constant.
BOREAS AFM-04 Twin Otter Aircraft Sounding Data
NASA Technical Reports Server (NTRS)
MacPherson, J. Ian; Desjardins, Raymond L.; Hall, Forrest G. (Editor); Knapp, David E. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-4 team used the National Research Council, Canada (NRC) Twin Otter aircraft to make sounding measurements through the boundary layer. These measurements included concentrations of carbon dioxide and ozone, atmospheric pressure, dry bulb temperature, potential temperature, dewpoint temperature, calculated mixing ratio, and wind speed and direction. Aircraft position, heading, and altitude were also recorded. Data were collected at both the Northern Study Area (NSA) and the Southern Study Area (SSA) in 1994 and 1996. These data are stored in tabular ASCII files. The Twin Otter aircraft sounding data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files also are available on a CD-ROM (see document number 20010000884).
Measuring Detachment of Aspergillus niger spores from Colonies with an Atomic Force Microscope.
Li, Xian; Zhang, Tengfei Tim; Wang, Shugang
2018-06-26
Detachment of fungal spores from moldy surfaces and the subsequent aerosolization can lead to adverse health effects. Spore aerosolization occurs when the forces for aerosolization exceed the binding forces of spores with their colonies. The threshold force to detach a spore from a growing colony remains unknown. This investigation measured the detachment of spores of Aspergillus niger from a colony using an atomic force microscope (AFM). The spores were first affixed to the cantilever of the AFM with ultraviolet curing glue, and then the colony was moved downward until the spores detached. The threshold detachment forces were inferred from the deflection of the cantilever. In addition, the spores were aerosolized in a wind tunnel by a gradual increase of the blowing air speed. The forces measured by the AFM were compared with the hydrodynamic forces for aerosolization. The AFM measurements revealed that a force of 3.27 ± 0.25 nN was required to detach a single spore from the four-day-old colony, while 1.98 ± 0.13 nN was sufficient for the 10-day-old colony. Slightly smaller detachment forces were observed by the AFM than were determined by the aerosolization tests. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.
A quantitative AFM analysis of nano-scale surface roughness in various orthodontic brackets.
Lee, Gi-Ja; Park, Ki-Ho; Park, Young-Guk; Park, Hun-Kuk
2010-10-01
In orthodontics, the surface roughnesses of orthodontic archwire and brackets affect the effectiveness of arch-guided tooth movement, corrosion behavior, and the aesthetics of orthodontic components. Atomic force microscopy (AFM) measurements were used to provide quantitative information on the surface roughness of the orthodontic material. In this study, the changes in surface roughness of various orthodontic bracket slots before and after sliding movement of archwire in vitro and in vivo were observed through the utilization of AFM. Firstly, we characterized the surface of four types of brackets slots as follows: conventional stainless steel (Succes), conventional ceramic (Perfect), self-ligating stainless steel (Damon) and self-ligating ceramic (Clippy-C) brackets. Succes) and Damon brackets showed relatively smooth surfaces, while Perfect had the roughest surface among the four types of brackets used. Secondly, after in vitro sliding test with beta titanium wire in two conventional brackets (Succes and Perfect), there were significant increases in only stainless steel bracket, Succes. Thirdly, after clinical orthodontic treatment for a maximum of 2 years, the self-ligating stainless steel bracket, Damon, showed a significant increase in surface roughness. But self-ligating ceramic brackets, Clippy-C, represented less significant changes in roughness parameters than self-ligating stainless steel ones. Based on the results of the AFM measurements, it is suggested that the self-ligating ceramic bracket has great possibility to exhibit less friction and better biocompatibility than the other tested brackets. This implies that these bracket slots will aid in the effectiveness of arch-guided tooth movement.
Confocal Raman spectroscopy and AFM for evaluation of sidewalls in type II superlattice FPAs
NASA Astrophysics Data System (ADS)
Rotter, T. J.; Busani, T.; Rathi, P.; Jaeckel, F.; Reyes, P. A.; Malloy, K. J.; Ukhanov, A. A.; Plis, E.; Krishna, S.; Jaime-Vasquez, M.; Baril, N. F.; Benson, J. D.; Tenne, D. A.
2015-06-01
We propose to utilize confocal Raman spectroscopy combined with high resolution atomic force microscopy (AFM) for nondestructive characterisation of the sidewalls of etched and passivated small pixel (24 μm×24 μm) focal plane arrays (FPA) fabricated using LW/LWIR InAs/GaSb type-II strained layer superlattice (T2SL) detector material. Special high aspect ratio Si and GaAs AFM probes, with tip length of 13 μm and tip aperture less than 7°, allow characterisation of the sidewall morphology. Confocal microscopy enables imaging of the sidewall profile through optical sectioning. Raman spectra measured on etched T2SL FPA single pixels enable us to quantify the non-uniformity of the mesa delineation process.
Hydration states of AFm cement phases
DOE Office of Scientific and Technical Information (OSTI.GOV)
Baquerizo, Luis G., E-mail: luis.baquerizoibarra@holcim.com; Matschei, Thomas; Scrivener, Karen L.
2015-07-15
The AFm phase, one of the main products formed during the hydration of Portland and calcium aluminate cement based systems, belongs to the layered double hydrate (LDH) family having positively charged layers and water plus charge-balancing anions in the interlayer. It is known that these phases present different hydration states (i.e. varying water content) depending on the relative humidity (RH), temperature and anion type, which might be linked to volume changes (swelling and shrinkage). Unfortunately the stability conditions of these phases are insufficiently reported. This paper presents novel experimental results on the different hydration states of the most important AFmmore » phases: monocarboaluminate, hemicarboaluminate, strätlingite, hydroxy-AFm and monosulfoaluminate, and the thermodynamic properties associated with changes in their water content during absorption/desorption. This data opens the possibility to model the response of cementitious systems during drying and wetting and to engineer systems more resistant to harsh external conditions.« less
Near-Field Spectroscopy with Nanoparticles Deposited by AFM
NASA Technical Reports Server (NTRS)
Anderson, Mark S.
2008-01-01
An alternative approach to apertureless near-field optical spectroscopy involving an atomic-force microscope (AFM) entails less complexity of equipment than does a prior approach. The alternative approach has been demonstrated to be applicable to apertureless near-field optical spectroscopy of the type using an AFM and surface enhanced Raman scattering (SERS), and is expected to be equally applicable in cases in which infrared or fluorescence spectroscopy is used. Apertureless near-field optical spectroscopy is a means of performing spatially resolved analyses of chemical compositions of surface regions of nanostructured materials. In apertureless near-field spectroscopy, it is common practice to utilize nanostructured probe tips or nanoparticles (usually of gold) having shapes and dimensions chosen to exploit plasmon resonances so as to increase spectroscopic-signal strengths. To implement the particular prior approach to which the present approach is an alternative, it is necessary to integrate a Raman spectrometer with an AFM and to utilize a special SERS-active probe tip. The resulting instrumentation system is complex, and the tasks of designing and constructing the system and using the system to acquire spectro-chemical information from nanometer-scale regions on a surface are correspondingly demanding.
Smolyakov, G; Pruvost, S; Cardoso, L; Alonso, B; Belamie, E; Duchet-Rumeau, J
2016-10-20
PeakForce Quantitative Nanomechanical Mapping (QNM) AFM mode was used to explore the mechanical properties of textured chitin-silica hybrid films at the nanoscale. The influence of the force applied by the tip on the sample surface was studied for standard homogeneous samples, for chitin nanorods and for chitin-silica hybrid nanocomposites. Thick films of superimposed chitin nanorods showed a monotonous increase of DMT modulus (based on the Derjaguin-Muller-Toporov model) owing to an increase in modulus at the interface between nanorods due to geometrical constraints of the AFM acquisition. A similar variation of DMT modulus was obtained for chitin-silica hybrid thick films related to mechanical strengthening induced by the presence of silica. This work revealed the role of the organic-inorganic interface, at the nanoscale, in the mechanical behaviour of textured materials using PeakForce QNM mode, with optimized analysis conditions. Copyright © 2016 Elsevier Ltd. All rights reserved.
Revealing the hidden structural phases of FeRh
NASA Astrophysics Data System (ADS)
Kim, Jinwoong; Ramesh, R.; Kioussis, Nicholas
2016-11-01
Ab initio electronic structure calculations reveal that tetragonal distortion has a dramatic effect on the relative stability of the various magnetic structures (C-, A-, G-, A'-AFM, and FM) of FeRh giving rise to a wide range of novel stable/metastable structures and magnetic phase transitions between these states. We predict that the cubic G-AFM structure, which was believed thus far to be the ground state, is metastable and that the tetragonally expanded G-AFM is the stable structure. The low energy barrier separating these states suggests phase coexistence at room temperature. We propose an A'-AFM phase to be the global ground state among all magnetic phases which arises from the strain-induced tuning of the exchange interactions. The results elucidate the underlying mechanism for the recent experimental findings of electric-field control of magnetic phase transition driven via tetragonal strain. The magnetic phase transitions open interesting prospects for exploiting strain engineering for the next-generation memory devices.
BOREAS AFM-04 Twin Otter Aircraft Flux Data
NASA Technical Reports Server (NTRS)
MacPherson, J. Ian; Hall, Forrest G. (Editor); Knapp, David E. (Editor); Desjardins, Raymond L.; Smith, David E. (Technical Monitor)
2000-01-01
The BOREAS AFM-5 team collected and processed data from the numerous radiosonde flights during the project. The goals of the AFM-05 team were to provide large-scale definition of the atmosphere by supplementing the existing AES aerological network, both temporally and spatially. This data set includes basic upper-air parameters collected from the network of upper-air stations during the 1993, 1994, and 1996 field campaigns over the entire study region. The data are contained in tabular ASCII files. The data files are available on a CD-ROM (see document number 20010000884) or from the Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC).
3D Color Digital Elevation Map of AFM Sample
NASA Technical Reports Server (NTRS)
2008-01-01
This color image is a three dimensional (3D) view of a digital elevation map of a sample collected by NASA's Phoenix Mars Lander's Atomic Force Microscope (AFM). The image shows four round pits, only 5 microns in depth, that were micromachined into the silicon substrate, which is the background plane shown in red. This image has been processed to reflect the levelness of the substrate. A Martian particle only one micrometer, or one millionth of a meter, across is held in the upper left pit. The rounded particle shown at the highest magnification ever seen from another world is a particle of the dust that cloaks Mars. Such dust particles color the Martian sky pink, feed storms that regularly envelop the planet and produce Mars' distinctive red soil. The particle was part of a sample informally called 'Sorceress' delivered to the AFM on the 38th Martian day, or sol, of the mission (July 2, 2008). The AFM is part of Phoenix's microscopic station called MECA, or the Microscopy, Electrochemistry, and Conductivity Analyzer. The AFM was developed by a Swiss-led consortium, with Imperial College London producing the silicon substrate that holds sampled particles. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.Scanning hall probe microscopy (SHPM) using quartz crystal AFM feedback.
Dede, M; Urkmen, K; Girişen, O; Atabak, M; Oral, A; Farrer, I; Ritchie, D
2008-02-01
Scanning Hall Probe Microscopy (SHPM) is a quantitative and non-invasive technique for imaging localized surface magnetic field fluctuations such as ferromagnetic domains with high spatial and magnetic field resolution of approximately 50 nm and 7 mG/Hz(1/2) at room temperature. In the SHPM technique, scanning tunneling microscope (STM) or atomic force microscope (AFM) feedback is used to keep the Hall sensor in close proximity of the sample surface. However, STM tracking SHPM requires conductive samples; therefore the insulating substrates have to be coated with a thin layer of gold. This constraint can be eliminated with the AFM feedback using sophisticated Hall probes that are integrated with AFM cantilevers. However it is very difficult to micro fabricate these sensors. In this work, we have eliminated the difficulty in the cantilever-Hall probe integration process, just by gluing a Hall Probe chip to a quartz crystal tuning fork force sensor. The Hall sensor chip is simply glued at the end of a 32.768 kHz or 100 kHz Quartz crystal, which is used as force sensor. An LT-SHPM system is used to scan the samples. The sensor assembly is dithered at the resonance frequency using a digital Phase Locked Loop circuit and frequency shifts are used for AFM tracking. SHPM electronics is modified to detect AFM topography and the frequency shift, along with the magnetic field image. Magnetic domains and topography of an Iron Garnet thin film crystal, NdFeB demagnetised magnet and hard disk samples are presented at room temperature. The performance is found to be comparable with the SHPM using STM feedback.
Xu, Kun; Li, Zhe; Liu, Enke; Zhou, Haichun; Zhang, Yuanlei; Jing, Chao
2017-01-01
We report a detailed study of two successive first-order transitions, including a martensitic transition (MT) and an antiferromagnetic (AFM)-ferromagnetic (FM)-like transition, in Mn1-xFexNiGe (x = 0, 0.06, 0.11) alloys by X-ray diffraction, differential scanning calorimetry, magnetization and linear thermal expansion measurements. Such an AFM-FM-like transition occurring in the martensitic state has seldom been observed in the M(T) curves. The results of Arrott plot and linear relationship of the critical temperature with M2 provide explicit evidence of its first-order magnetoelastic nature. On the other hand, their performances as magnetocaloric and negative thermal expansion materials were characterized. The isothermal entropy change for a field change of 30 kOe reaches an impressive value of −25.8 J/kg K at 203 K for x = 0.11 compared to the other two samples. It demonstrates that the magneto-responsive ability has been significantly promoted since an appropriate amount of Fe doping can break the local Ni-6Mn AFM configuration. Moreover, the Fe-doped samples reveal both the giant negative thermal expansion and near-zero thermal expansion for different temperature ranges. For instance, the average thermal expansion coefficient ā of x = 0.06 reaches −60.7 × 10−6/K over T = 231–338 K and 0.6 × 10−6/K over T = 175–231 K during cooling. PMID:28134355
Frequency modulation atomic force microscopy: a dynamic measurement technique for biological systems
NASA Astrophysics Data System (ADS)
Higgins, Michael J.; Riener, Christian K.; Uchihashi, Takayuki; Sader, John E.; McKendry, Rachel; Jarvis, Suzanne P.
2005-03-01
Frequency modulation atomic force microscopy (FM-AFM) has been modified to operate in a liquid environment within an atomic force microscope specifically designed for investigating biological samples. We demonstrate the applicability of FM-AFM to biological samples using the spectroscopy mode to measure the unbinding forces of a single receptor-ligand (biotin-avidin) interaction. We show that quantitative adhesion force measurements can only be obtained provided certain modifications are made to the existing theory, which is used to convert the detected frequency shifts to an interaction force. Quantitative force measurements revealed that the unbinding forces for the biotin-avidin interaction were greater than those reported in previous studies. This finding was due to the use of high average tip velocities, which were calculated to be two orders of magnitude greater than those typically used in unbinding receptor-ligand experiments. This study therefore highlights the potential use of FM-AFM to study a range of biological systems, including living cells and/or single biomolecule interactions.
Mettu, Srinivas; Ye, Qianyu; Zhou, Meifang; Dagastine, Raymond; Ashokkumar, Muthupandian
2018-04-25
Atomic Force Microscopy (AFM) is used to measure the stiffness and Young's modulus of individual microcapsules that have a chitosan cross-linked shell encapsulating tetradecane. The oil filled microcapsules were prepared using a one pot synthesis via ultrasonic emulsification of tetradecane and crosslinking of the chitosan shell in aqueous solutions of acetic acid. The concentration of acetic acid in aqueous solutions of chitosan was varied from 0.2% to 25% v/v. The effect of acetic acid concentration and size of the individual microcapsules on the strength was probed. The deformations and forces required to rupture the microcapsules were also measured. Three dimensional deformations of microcapsules under large applied loads were obtained by the combination of Laser Scanning Confocal Microscopy (LSCM) with Atomic Force Microscopy (AFM). The stiffness, and hence the modulus, of the microcapsules was found to decrease with an increase in size with the average stiffness ranging from 82 to 111 mN m-1 and average Young's modulus ranging from 0.4 to 6.5 MPa. The forces required to rupture the microcapsules varied from 150 to 250 nN with deformations of the microcapsules up to 62 to 110% relative to their radius, respectively. Three dimensional images obtained using laser scanning confocal microscopy showed that the microcapsules retained their structure and shape after being subjected to large deformations and subsequent removal of the loads. Based on the above observations, the oil filled chitosan crosslinked microcapsules are an ideal choice for use in the food and pharmaceutical industries as they would be able to withstand the process conditions encountered.
Sulaiman, Siti Husna
2018-01-01
Aflatoxin is a food contaminant and its exposure through the diet is frequent and ubiquitous. A long-term dietary aflatoxin exposure has been linked to the development of liver cancer in populations with high prevalence of aflatoxin contamination in foods. Therefore, this study was conducted to identify the association between urinary aflatoxin M1 (AFM1), a biomarker of aflatoxin exposure, with the dietary intake among adults in Hulu Langat district, Selangor, Malaysia. Certain food products have higher potential for aflatoxin contamination and these were listed in a Food Frequency Questionnaire, which was given to all study participants. This allowed us to record consumption rates for each food product listed. Concomitantly, urine samples were collected, from adults in selected areas in Hulu Langat district, for the measurement of AFM1 levels using an ELISA kit. Of the 444 urine samples collected and tested, 199 were positive for AFM1, with 37 of them exceeding the limit of detection (LOD) of 0.64 ng/mL. Cereal products showed the highest consumption level among all food groups, with an average intake of 512.54 g per day. Chi-square analysis showed that consumption of eggs (X2 = 4.77, p = 0.03) and dairy products (X2 = 19.36, p < 0.01) had significant associations with urinary AFM1 but both food groups were having a phi and Cramer’s V value that less than 0.3, which indicated that the association between these food groups’ consumption and AFM1 level in urine was weak. PMID:29642443
The Atomic Force Microscopic (AFM) Characterization of Nanomaterials
2009-06-01
Several Types of Microscopes ..................................................................................................7 8 OM on Mica Surface...12 9 AFM on Mica Surface...12 10 OM Images SWNTs on Mica After 1) 30 Minutes, b) 60
Polarization induced conductive AFM on cobalt doped ZnO nanostructures
NASA Astrophysics Data System (ADS)
Sahoo, Pradosh Kumar; Mangamma, G.; Rajesh, A.; Kamruddin, M.; Dash, S.
2017-05-01
In the present work cobalt doped ZnO (CZO) nanostructures (NS) have been synthesized by of sol-gel and spin coating process. After the crystal phase confirmation by GIXRD and Raman spectroscopy, Conductive Atomic Force Microscopy (C-AFM) measurement was performed on CZO NS which shows the random distribution of electrically conducting zones on the surface of the material exhibiting current in the range 4-170 pA. We provide the possible mechanisms for variation in current distribution essential for quantitative understanding of transport properties of ZnO NS in doped and undoped forms.
Application of Contact Mode AFM to Manufacturing Processes
NASA Astrophysics Data System (ADS)
Giordano, Michael A.; Schmid, Steven R.
A review of the application of contact mode atomic force microscopy (AFM) to manufacturing processes is presented. A brief introduction to common experimental techniques including hardness, scratch, and wear testing is presented, with a discussion of challenges in the extension of manufacturing scale investigations to the AFM. Differences between the macro- and nanoscales tests are discussed, including indentation size effects and their importance in the simulation of processes such as grinding. The basics of lubrication theory are presented and friction force microscopy is introduced as a method of investigating metal forming lubrication on the nano- and microscales that directly simulates tooling/workpiece asperity interactions. These concepts are followed by a discussion of their application to macroscale industrial manufacturing processes and direct correlations are made.
Wiecki, P.; Ogloblichev, V.; Pandey, Abhishek; ...
2015-06-15
In nonsuperconducting, metallic paramagnetic SrCo 2As 2, inelastic neutron scattering measurements have revealed strong stripe-type q=(π,0) antiferromagnetic (AFM) spin correlations. Using nuclear magnetic resonance (NMR) measurements on 59Co and 75As nuclei, we demonstrate that stronger ferromagnetic (FM) spin correlations coexist in SrCo 2As 2. Our NMR data are consistent with density functional theory (DFT) calculations which show enhancements at both q=(π,0) and the in-plane FM q=0 wave vectors in static magnetic susceptibility χ(q). We suggest that the strong FM fluctuations prevent superconductivity in SrCo 2As 2, despite the presence of stripe-type AFM fluctuations. Since DFT calculations have consistently revealed similarmore » enhancements of the χ(q) at both q=(π,0) and q=0 in the iron-based superconductors and parent compounds, our observation of FM correlations in SrCo 2As 2 calls for detailed studies of FM correlations in the iron-based superconductors.« less
Investigating Oil-Prone Kerogen Conversion to Hydrocarbons Using AFM-based Infrared Spectroscopy
NASA Astrophysics Data System (ADS)
Eoghan, D.; Cook, D.; Hackley, P. C.; Kjoller, K.; Dawson, D.; Shetty, R.
2016-12-01
Understanding in situ chemical changes occurring during thermal conversion of oil-prone kerogen to hydrocarbons can provide fundamental information regarding the origin of the earth's fossil fuel endowment and reduce uncertainty in hydrocarbon prospecting and resource assessment. Tasmanites algal bodies were studied using an Atomic Force Microscope-based IR spectroscopy technique (AFM-IR) that offers chemical characterization of organic materials with spatial resolution below the diffraction limit. The AFM allows precise positioning within the algal bodies. A tunable IR laser irradiates the sample under the AFM probe. At absorbing wavenumbers, the sample heats up and expands. The AFM detects the expansion of the material under the probe tip to generate local IR spectra. The Tasmanites algal bodies from the Devonian-Mississippian Woodford Shale were contained in two polished rock fragment pellets. To simulate maturation, one was subjected to isothermal hydrous pyrolysis at 320 °C for 72 hours. AFM-IR spectra were collected at multiple sites on algal bodies in both samples (Figure 1). The aromatic C=C ring stretching at 1600 cm-1 (unheated) shifted to 1606 cm-1 with increased absorption in the heated algal bodies, indicating development of increased aromaticity with thermal maturation. The ratio of the 1606 cm-1 peak to peaks at 1708 cm-1 (C=O stretching) and 1460 cm-1 (CH2 wag) was higher in the heated sample, indicating loss of oxygenated functional groups and aliphatic components with thermal advance. A shift of the 1372 cm-1 peak to 1376 cm-1 with lower absorption in the heated samples suggests reduction in the abundance of methyl substituents and development of preferred localization. These results are consistent with extant information from FTIR analysis and demonstrate the ability of AFM-IR to provide in situ characterization of organic matter with respect to thermal maturity advance, and its application to understanding conversion of oil-prone kerogen to
Finite element modeling of trolling-mode AFM.
Sajjadi, Mohammadreza; Pishkenari, Hossein Nejat; Vossoughi, Gholamreza
2018-06-01
Trolling mode atomic force microscopy (TR-AFM) has overcome many imaging problems in liquid environments by considerably reducing the liquid-resonator interaction forces. The finite element model of the TR-AFM resonator considering the effects of fluid and nanoneedle flexibility is presented in this research, for the first time. The model is verified by ABAQUS software. The effect of installation angle of the microbeam relative to the horizon and the effect of fluid on the system behavior are investigated. Using the finite element model, frequency response curve of the system is obtained and validated around the frequency of the operating mode by the available experimental results, in air and liquid. The changes in the natural frequencies in the presence of liquid are studied. The effects of tip-sample interaction on the excitation of higher order modes of the system are also investigated in air and liquid environments. Copyright © 2018 Elsevier B.V. All rights reserved.
Mi Li; Lianqing Liu; Xiubin Xiao; Ning Xi; Yuechao Wang
2016-07-01
Cell mechanics has been proved to be an effective biomarker for indicating cellular states. The advent of atomic force microscopy (AFM) provides an exciting instrument for measuring the mechanical properties of single cells. However, current AFM single-cell mechanical measurements are commonly performed on cell lines cultured in vitro which are quite different from the primary cells in the human body. Investigating the mechanical properties of primary cells from clinical environments can help us to better understand cell behaviors. Here, by combining AFM with magnetic beads cell isolation, the viscoelastic properties of human primary B lymphocytes were quantitatively measured. B lymphocytes were isolated from the peripheral blood of healthy volunteers by density gradient centrifugation and CD19 magnetic beads cell isolation. The activity and specificity of the isolated cells were confirmed by fluorescence microscopy. AFM imaging revealed the surface topography and geometric parameters of B lymphocytes. The instantaneous modulus and relaxation time of living B lymphocytes were measured by AFM indenting technique, showing that the instantaneous modulus of human normal B lymphocytes was 2-3 kPa and the relaxation times were 0.03-0.06 s and 0.35-0.55 s. The differences in cellular visocoelastic properties between primary B lymphocytes and cell lines cultured in vitro were analyzed. The study proves the capability of AFM in quantifying the viscoelastic properties of individual specific primary cells from the blood sample of clinical patients, which will improve our understanding of the behaviors of cells in the human body.
Wu, Li; Huang, Jie; Yu, Xiaoxue; Zhou, Xiaoqing; Gan, Chaoye; Li, Ming; Chen, Yong
2014-02-01
The nonionic detergent extraction at 4 °C and the cholesterol-depletion-induced lipid raft disruption are the two widely used experimental strategies for lipid raft research. However, the effects of raft disruption and/or cold treatment on the ultrastructural and mechanical properties of cells are still unclear. Here, we evaluated the effects of raft disruption and/or cold (4 °C) treatment on these properties of living human umbilical vein endothelial cells (HUVECs). At first, the cholesterol-depletion-induced raft disruption was visualized by confocal microscopy and atomic force microscopy (AFM) in combination with fluorescent quantum dots. Next, the cold-induced cell contraction and the formation of end-branched filopodia were observed by confocal microscopy and AFM. Then, the cell-surface ultrastructures were imaged by AFM, and the data showed that raft disruption and cold treatment induced opposite effects on cell-surface roughness (a significant decrease and a significant increase, respectively). Moreover, the cell-surface mechanical properties (stiffness and adhesion force) of raft-disrupted- and/or cold-treated HUVECs were measured by the force measurement function of AFM. We found that raft disruption and cold treatment induced parallel effects on cell stiffness (increase) or adhesion force (decrease) and that the combination of the two treatments caused dramatically strengthened effects. Finally, raft disruption was found to significantly impair cell migration as previously reported, whereas temporary cold treatment only caused a slight but nonsignificant decrease in cell migration performed at physiological temperature. Although the mechanisms for causing these results might be complicated and more in-depth studies will be needed, our data may provide important information for better understanding the effects of raft disruption or cold treatment on cells and the two strategies for lipid raft research.
NASA Astrophysics Data System (ADS)
Chu, Liangyong; Korobko, Alexander V.; Bus, Marcel; Boshuizen, Bart; Sudhölter, Ernst J. R.; Besseling, Nicolaas A. M.
2018-05-01
This paper describes a novel method to fabricate porous graphene oxide (PGO) from GO by exposure to oxygen plasma. Compared to other methods to fabricate PGO described so far, e.g. the thermal and steam etching methods, oxygen plasma etching method is much faster. We studied the development of the porosity with exposure time using atomic force microscopy (AFM). It was found that the development of PGO upon oxygen-plasma exposure can be controlled by tapping mode AFM scanning using a Si tip. AFM tapping stalls the growth of pores upon further plasma exposure at a level that coincides with the fraction of sp2 carbons in the GO starting material. We suggest that AFM tapping procedure changes the bond structure of the intermediate PGO structure, and these stabilized PGO structures cannot be further etched by oxygen plasma. This constitutes the first report of tapping AFM as a tool for local mechano-chemistry.
Chu, Liangyong; Korobko, Alexander V; Bus, Marcel; Boshuizen, Bart; Sudhölter, Ernst J R; Besseling, Nicolaas A M
2018-05-04
This paper describes a novel method to fabricate porous graphene oxide (PGO) from GO by exposure to oxygen plasma. Compared to other methods to fabricate PGO described so far, e.g. the thermal and steam etching methods, oxygen plasma etching method is much faster. We studied the development of the porosity with exposure time using atomic force microscopy (AFM). It was found that the development of PGO upon oxygen-plasma exposure can be controlled by tapping mode AFM scanning using a Si tip. AFM tapping stalls the growth of pores upon further plasma exposure at a level that coincides with the fraction of sp 2 carbons in the GO starting material. We suggest that AFM tapping procedure changes the bond structure of the intermediate PGO structure, and these stabilized PGO structures cannot be further etched by oxygen plasma. This constitutes the first report of tapping AFM as a tool for local mechano-chemistry.
Imaging viscoelastic properties of live cells by AFM: power-law rheology on the nanoscale.
Hecht, Fabian M; Rheinlaender, Johannes; Schierbaum, Nicolas; Goldmann, Wolfgang H; Fabry, Ben; Schäffer, Tilman E
2015-06-21
We developed force clamp force mapping (FCFM), an atomic force microscopy (AFM) technique for measuring the viscoelastic creep behavior of live cells with sub-micrometer spatial resolution. FCFM combines force-distance curves with an added force clamp phase during tip-sample contact. From the creep behavior measured during the force clamp phase, quantitative viscoelastic sample properties are extracted. We validate FCFM on soft polyacrylamide gels. We find that the creep behavior of living cells conforms to a power-law material model. By recording short (50-60 ms) force clamp measurements in rapid succession, we generate, for the first time, two-dimensional maps of power-law exponent and modulus scaling parameter. Although these maps reveal large spatial variations of both parameters across the cell surface, we obtain robust mean values from the several hundreds of measurements performed on each cell. Measurements on mouse embryonic fibroblasts show that the mean power-law exponents and the mean modulus scaling parameters differ greatly among individual cells, but both parameters are highly correlated: stiffer cells consistently show a smaller power-law exponent. This correlation allows us to distinguish between wild-type cells and cells that lack vinculin, a dominant protein of the focal adhesion complex, even though the mean values of viscoelastic properties between wildtype and knockout cells did not differ significantly. Therefore, FCFM spatially resolves viscoelastic sample properties and can uncover subtle mechanical signatures of proteins in living cells.
Dehomogenized Elastic Properties of Heterogeneous Layered Materials in AFM Indentation Experiments.
Lee, Jia-Jye; Rao, Satish; Kaushik, Gaurav; Azeloglu, Evren U; Costa, Kevin D
2018-06-05
Atomic force microscopy (AFM) is used to study mechanical properties of biological materials at submicron length scales. However, such samples are often structurally heterogeneous even at the local level, with different regions having distinct mechanical properties. Physical or chemical disruption can isolate individual structural elements but may alter the properties being measured. Therefore, to determine the micromechanical properties of intact heterogeneous multilayered samples indented by AFM, we propose the Hybrid Eshelby Decomposition (HED) analysis, which combines a modified homogenization theory and finite element modeling to extract layer-specific elastic moduli of composite structures from single indentations, utilizing knowledge of the component distribution to achieve solution uniqueness. Using finite element model-simulated indentation of layered samples with micron-scale thickness dimensions, biologically relevant elastic properties for incompressible soft tissues, and layer-specific heterogeneity of an order of magnitude or less, HED analysis recovered the prescribed modulus values typically within 10% error. Experimental validation using bilayer spin-coated polydimethylsiloxane samples also yielded self-consistent layer-specific modulus values whether arranged as stiff layer on soft substrate or soft layer on stiff substrate. We further examined a biophysical application by characterizing layer-specific microelastic properties of full-thickness mouse aortic wall tissue, demonstrating that the HED-extracted modulus of the tunica media was more than fivefold stiffer than the intima and not significantly different from direct indentation of exposed media tissue. Our results show that the elastic properties of surface and subsurface layers of microscale synthetic and biological samples can be simultaneously extracted from the composite material response to AFM indentation. HED analysis offers a robust approach to studying regional micromechanics of
The LER/LWR metrology challenge for advance process control through 3D-AFM and CD-SEM
NASA Astrophysics Data System (ADS)
Faurie, P.; Foucher, J.; Foucher, A.-L.
2009-12-01
The continuous shrinkage in dimensions of microelectronic devices has reached such level, with typical gate length in advance R&D of less than 20nm combine with the introduction of new architecture (FinFET, Double gate...) and new materials (porous interconnect material, 193 immersion resist, metal gate material, high k materials...), that new process parameters have to be well understood and well monitored to guarantee sufficient production yield in a near future. Among these parameters, there are the critical dimensions (CD) associated to the sidewall angle (SWA) values, the line edge roughness (LER) and the line width roughness (LWR). Thus, a new metrology challenge has appeared recently and consists in measuring "accurately" the fabricated patterns on wafers in addition to measure the patterns on a repeatable way. Therefore, a great effort has to be done on existing techniques like CD-SEM, Scatterometry and 3D-AFM in order to develop them following the two previous criteria: Repeatability and Accuracy. In this paper, we will compare the 3D-AFM and CD-SEM techniques as a mean to measure LER and LWR on silicon and 193 resist and point out CD-SEM impact on the material during measurement. Indeed, depending on the material type, the interaction between the electron beam and the material or between the AFM tip and the material can vary a lot and subsequently can generate measurements bias. The first results tend to show that depending on CD-SEM conditions (magnification, number of acquisition frames) the final outputs can vary on a large range and therefore show that accuracy in such measurements are really not obvious to obtain. On the basis of results obtained on various materials that present standard sidewall roughness, we will show the limit of each technique and will propose different ways to improve them in order to fulfil advance roadmap requirements for the development of the next IC generation.
Zheng, Bei; Ge, Xiao-peng; Yu, Zhi-yong; Yuan, Sheng-guang; Zhang, Wen-jing; Sun, Jing-fang
2012-08-01
Atomic force microscope (AFM) fluid imaging was applied to the study of micro-flocculation filtration process and the optimization of micro-flocculation time and the agitation intensity of G values. It can be concluded that AFM fluid imaging proves to be a promising tool in the observation and characterization of floc morphology and the dynamic coagulation processes under aqueous environmental conditions. Through the use of AFM fluid imaging technique, optimized conditions for micro-flocculation time of 2 min and the agitation intensity (G value) of 100 s(-1) were obtained in the treatment of dye-printing industrial tailing wastewater by the micro-flocculation filtration process with a good performance.
An Evaluation of the Impacts of AF-M315E Propulsion Systems for Varied Mission Applications
NASA Technical Reports Server (NTRS)
Deans, Matthew C.; Oleson, Steven R.; Fittje, James; Colozza, Anthony; Packard, Tom; Gyekenyesi, John; McLean, Christopher H.; Spores, Ronald A.
2015-01-01
The purpose of the AF-M315E COMPASS study is to identify near-term (3-5 years) and long term (5 years +) opportunities for infusion, specifically the thruster and associated component technologies being developed as part of the GPIM project. Develop design reference missions which show the advantages of the AF-M315E green propulsion system. Utilize a combination of past COMPASS designs and selected new designs to demonstrate AF-M315E advantages. Use the COMPASS process to show the puts and takes of using AF-M315E at the integrated system level.
Rao, Komal; Imran, Muhammad; Jabri, Tooba; Ali, Imdad; Perveen, Samina; Shafiullah; Ahmed, Shakil; Shah, Muhammad Raza
2017-10-15
Gold nanoparticles (AuNPs) have attracted greater scientific interests for the construction of drugs loading cargos due to their biocompatibility, safety and facile surface modifications. This study deals with the fabrication of gum tragacanth (GT) green AuNPs as carrier for Naringin, a less water soluble therapeutic molecule. The optimized AuNPs were characterized through UV-vis spectroscopy, FT-IR and atomic force microscope (AFM). Naringin loaded nanoparticles were investigated for their bactericidal potentials using Tetrazolium Microplate assay. Morphological studies conducted via AFM revealed spherical shape for AuNPs with nano-range size and stabilized by GT multi-functional groups. The AuNPs acted as carrier for increased amount of Naringin. Upon loading in AuNPs, Naringin An increased in the bactericidal potentials of Naringin was observed after loading on AuNPs against various tested bacterial strains. This was further authenticated by the surface morphological analysis, showing enhanced membrane destabilizing effects of loaded Naringin. The results suggest that GT stabilized green AuNPs can act as effective delivery vehicles for enhancing bactericidal potentials of Naringin. Copyright © 2017 Elsevier Ltd. All rights reserved.
AFM Studies of Lunar Soils and Application to the Mars 2001 Mission
NASA Technical Reports Server (NTRS)
Weitz, C. M.; Anderson, M. S.; Marshall, J.
1999-01-01
The upcoming Mars 01 mission will carry an Atomic Force Microscope (AFM) as part of the Mars Environmental Compatibility Assessment (MECA) instrument. By operating in a tapping mode, the AFM is capable of sub-nanometer resolution in three dimensions and can distinguish between substances of different compositions by employing phase contrast imaging. To prepare for the Mars 01 mission, we are testing the AFM on a lunar soil to determine its ability to define particle shapes and sizes and grain-surface textures. The test materials are from the Apollo 17 soil 79221, which is a mixture of agglutinates, impact and volcanic beads, and mare and highland rock and mineral fragments. The majority of the lunar soil particles are less than 100 microns in size, comparable to the sizes estimated for martian dust. We have used the AFM to examine several different soil particles at various resolutions. The instrument has demonstrated the ability to identify parallel ridges characteristic of twinning on a 150 micron plagioclase feldspar particle. Extremely small (10-100 nanometer) adhering particles are visible on the surface of the feldspar grain, and they appear elongate with smooth surfaces. Phase contrast imaging of the nanometer particles shows several compositions to be present. When the AFM was applied to a 100 micron glass spherule, it was possible to define an extremely smooth surface; this is in clear contrast to results from a basalt fragment which exhibited a rough surface texture. Also visible on the surface of the glass spherule were chains of 100 nanometer and smaller impact melt droplets. For the '01 Mars mission, the AFM is intended to define the size and shape distributions of soil particles, in combination with the NMCA optical microscope system and images from the Robot Arm Camera (RAC). These three data sets will provide a means of assessing potentially hazardous soil and dust properties. The study that we have conducted on the lunar soils now suggests that the
AFMS Flight Path: Building Future Leaders
2009-02-12
small numbers of deactivated squadrons were reactivated. In general, the Flight Path maintains the four squadron framework of OMG with an additional...MC fill all but two. Vast differences in rank and promotion rates further bias the AFMS to a non-DOPMA corps led entity . The Flight Path has done...Aeromedical Squadron (AMDS) can combine into an Aeromedical Dental Squadron ( ADOS ) or can reside as flights under the Medical Operations Squadron
Namai, Yoshimichi; Matsuoka, Osamu
2006-04-06
We succeeded in observing the atomic scale structure of a rutile-type TiO2(110) single-crystal surface prepared by the wet chemical method of chemical etching in an acid solution and surface annealing in air. Ultrahigh vacuum noncontact atomic force microscopy (UHV-NC-AFM) was used for observing the atomic scale structures of the surface. The UHV-NC-AFM measurements at 450 K, which is above a desorption temperature of molecularly adsorbed water on the TiO2(110) surface, enabled us to observe the atomic scale structure of the TiO2(110) surface prepared by the wet chemical method. In the UHV-NC-AFM measurements at room temperature (RT), however, the atomic scale structure of the TiO2(110) surface was not observed. The TiO2(110) surface may be covered with molecularly adsorbed water after the surface was prepared by the wet chemical method. The structure of the TiO2(110) surface that was prepared by the wet chemical method was consistent with the (1 x 1) bulk-terminated model of the TiO2(110) surface.
Rieti, S; Manni, V; Lisi, A; Giuliani, L; Sacco, D; D'Emilia, E; Cricenti, A; Generosi, R; Luce, M; Grimaldi, S
2004-01-01
In this study we have employed atomic force microscopy (AFM) and scanning near-field optical microscopy (SNOM) techniques to study the effect of the interaction between human keratinocytes (HaCaT) and electromagnetic fields at low frequency. HaCaT cells were exposed to a sinusoidal magnetic field at a density of 50 Hz, 1 mT. AFM analysis revealed modification in shape and morphology in exposed cells with an increase in the areas of adhesion between cells. This latter finding was confirmed by SNOM indirect immunofluorescence analysis performed with a fluorescent antibody against the adhesion marker beta4 integrin, which revealed an increase of beta4 integrin segregation in the cell membrane of 50-Hz exposed cells, suggesting that a higher percentage of these cells shows a modified pattern of this adhesion marker.
Controlling the electric charge of gold nanoplatelets on an insulator by field emission nc-AFM
NASA Astrophysics Data System (ADS)
Baris, Bulent; Alchaar, Mohanad; Prasad, Janak; Gauthier, Sébastien; Dujardin, Erik; Martrou, David
2018-03-01
Charging of 2D Au nanoplatelets deposited on an insulating SiO2 substrate to or from the tip of a non-contact atomic force microscope (nc-AFM) is demonstrated. Charge transfer is controlled by monitoring the resonance frequency shift Δf(V) during the bias voltage ramp V applied to the tip-back electrode junction. The onset of charge transfer is revealed by a transition from a capacitive parabolic behavior to a constant Δf(V) region for both polarities. An analytical model, based on charging by electron field emission, shows that the field-emitted current saturates shortly after the onset of the charging, due to the limiting effect of the charge-induced rise of the Au platelet potential. The value of this current plateau depends only on the rate of the bias voltage ramp and on the value of the platelet/SiO2/back electrode capacitance. This analysis is confirmed by numerical simulations based on a virtual nc-AFM model that faithfully matches the experimental data. Our charging protocol could be used to tune the potential of the platelets at the single charge level.
Nano-Bio-Mechanics of Neuroblastoma Cells Using AFM
NASA Astrophysics Data System (ADS)
Bastatas, Lyndon; Matthews, James; Kang, Min; Park, Soyeun
2011-10-01
We have conducted an in vitro study to determine the elastic moduli of neurobalstoma cell lines using atomic force microscopy. Using a panel of cell lines established from neuroblastoma patients at different stages of disease progress and treatment, we have investigated the differences in elastic moduli during a course of cancer progression and chemotherapy. The cells were grown on the hard substrates that are chemically functionalized to enhance adhesion. We have performed the AFM indentation experiments with different applied forces from the AFM probe. For the purpose of the comparison between cell lines, the indentations were performed only on cell centers. The obtained force-distance curves were analyzed using the Hertz model in order to extract the elastic moduli. We have found that the elastic moduli of human neuroblastoma cells significantly varied during the disease progression. We postulate that the observed difference might be affected by the treatment and chemotherapy.
A fully-automated neural network analysis of AFM force-distance curves for cancer tissue diagnosis
NASA Astrophysics Data System (ADS)
Minelli, Eleonora; Ciasca, Gabriele; Sassun, Tanya Enny; Antonelli, Manila; Palmieri, Valentina; Papi, Massimiliano; Maulucci, Giuseppe; Santoro, Antonio; Giangaspero, Felice; Delfini, Roberto; Campi, Gaetano; De Spirito, Marco
2017-10-01
Atomic Force Microscopy (AFM) has the unique capability of probing the nanoscale mechanical properties of biological systems that affect and are affected by the occurrence of many pathologies, including cancer. This capability has triggered growing interest in the translational process of AFM from physics laboratories to clinical practice. A factor still hindering the current use of AFM in diagnostics is related to the complexity of AFM data analysis, which is time-consuming and needs highly specialized personnel with a strong physical and mathematical background. In this work, we demonstrate an operator-independent neural-network approach for the analysis of surgically removed brain cancer tissues. This approach allowed us to distinguish—in a fully automated fashion—cancer from healthy tissues with high accuracy, also highlighting the presence and the location of infiltrating tumor cells.
Analysis of photothermally induced vibration in metal coated AFM cantilever
NASA Astrophysics Data System (ADS)
Kadri, Shahrul; Fujiwara, Hideki; Sasaki, Keiji
2010-05-01
We report the vibration reduction in the optically driven V-shaped AFM cantilever with 70 nm gold surface coating. The driving laser at 780 nm is intensity modulated at 1 kHz to 100 kHz and focused on the AFM cantilever surface. The cantilever vibration amplitude is monitored by HeNe probe laser. Two features are observed: high vibration amplitude of the cantilever (1) at several kHz modulation frequencies regime and (2) at around its mechanical resonance. In addition, we found that vibration at the resonance peak increases when the excitation spot is positioned farther from the free end of the cantilever.
NASA Astrophysics Data System (ADS)
Park, Sun-Young; Elbersen, Rick; Huskens, Jurriaan; Gardeniers, Han; Lee, Joo-Yul; Mul, Guido; Heo, Jinhee
2017-07-01
Solar-to-hydrogen conversion by water splitting in photoelectrochemical cells (PECs) is a promising approach to alleviate problems associated with intermittency in solar energy supply and demand. Several interfacial resistances in photoelectrodes limit the performance of such cells, while the properties of interfaces are not easy to analyze in situ. We applied photoconductive-AFM to analyze the performance of WO3/p+n Si photoanodes, containing an ultra-thin metal interface of either Au or Pt. The Au interface consisted of Au nanoparticles with well-ordered interspacing, while Pt was present in the form of a continuous film. Photoconductive-AFM data show that upon illumination significantly larger currents are measured for the WO3/p+n Si anode equipped with the Au interface, as compared to the WO3/p+n Si anode with the Pt interface, in agreement with the better performance of the former electrode in a photoelectrochemical cell. The remarkable performance of the Au-containing electrode is proposed to be the result of favorable electron-hole recombination rates induced by the Au nanoparticles in a plasmon resonance excited state.
Di Mundo, Rosa; Recchia, Giuseppina; Parekh, Mohit; Ruzza, Alessandro; Ferrari, Stefano; Carbone, Giuseppe
2017-10-01
The paper describes a highly space-resolved characterization of the surface mechanical properties of the posterior human corneal layer (Descemet's membrane). This has been accomplished with Atomic Force Microscopy (AFM) nano-indentation by using a probe with a sharp tip geometry. Results indicate that the contact with this biological tissue in liquid occurs with no (or very low) adhesion. More importantly, under the same operating conditions, a broad distribution of penetration depth can be measured on different x-y positions of the tissue surface, indicating a high inhomogeneity of surface stiffness, not yet clearly reported in the literature. An important contribution to such inhomogeneity should be ascribed to the discontinuous nature of the collagen/proteoglycans fibers matrix tissue, as can be imaged by AFM when the tissue is semi-dry. Using classical contact mechanics calculations adapted to the specific geometry of the tetrahedral tip it has been found that the elastic modulus E of the material in the very proximity of the surface ranges from 0.23 to 2.6 kPa. Copyright © 2017 Elsevier Ltd. All rights reserved.
Dey, Tania; Naughton, Daragh
2017-05-01
Glass surface cleaning is the very first step in advanced coating deposition and it also finds use in conserving museum objects. However, most of the wet chemical methods of glass cleaning use toxic and corrosive chemicals like concentrated sulfuric acid (H 2 SO 4 ), piranha (a mixture of concentrated sulfuric acid and 30% hydrogen peroxide), and hydrogen fluoride (HF). On the other hand, most of the dry cleaning techniques like UV-ozone, plasma, and laser treatment require costly instruments. In this report, five eco-friendly wet chemical methods of glass cleaning were evaluated in terms of contact angle (measured by optical tensiometer), nano-scale surface roughness (measured by atomic force microscopy or AFM), and elemental composition (measured by energy dispersive x-ray spectroscopy or SEM-EDX). These glass cleaning methods are devoid of harsh chemicals and costly equipment, hence can be applied in situ in close proximity with plantation such as greenhouse or upon subtle objects such as museum artifacts. Out of these five methods, three methods are based on the chemical principle of chelation. It was found that the citric acid cleaning method gave the greatest change in contact angle within the hydrophilic regime (14.25° for new glass) indicating effective cleansing and the least surface roughness (0.178 nm for new glass) indicating no corrosive effect. One of the glass sample showed unique features which were traced backed to the history of the glass usage.
BOREAS AFM-5 Level-1 Upper Air Network Data
NASA Technical Reports Server (NTRS)
Barr, Alan; Hrynkiw, Charmaine; Newcomer, Jeffrey A. (Editor); Hall, Forrest G. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-5 team collected and processed data from the numerous radiosonde flights during the project. The goals of the AFM-05 team were to provide large-scale definition of the atmosphere by supplementing the existing Atmospheric Environment Service (AES) aerological network, both temporally and spatially. This data set includes basic upper-air parameters collected from the network of upper-air stations during the 1993, 1994, and 1996 field campaigns over the entire study region. The data are contained in tabular ASCII files. The level-1 upper-air network data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files also are available on a CD-ROM (see document number 20010000884).
NASA Astrophysics Data System (ADS)
Takeuchi, Osamu; Miyakoshi, Takaaki; Taninaka, Atsushi; Tanaka, Katsunori; Cho, Daichi; Fujita, Machiko; Yasuda, Satoshi; Jarvis, Suzanne P.; Shigekawa, Hidemi
2006-10-01
The accuracy of dynamic-force spectroscopy (DFS), a promising technique of analyzing the energy landscape of noncovalent molecular bonds, was reconsidered in order to justify the use of an atomic-force microscopy (AFM) cantilever as a DFS force probe. The advantages and disadvantages caused, for example, by the force-probe hardness were clarified, revealing the pivotal role of the molecular linkage between the force probe and the molecular bonds. It was shown that the feedback control of the loading rate of tensile force enables us a precise DFS measurement using an AFM cantilever as the force probe.
Raman and AFM study of gamma irradiated plastic bottle sheets
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ali, Yasir; Kumar, Vijay; Dhaliwal, A. S.
2013-02-05
In this investigation, the effects of gamma irradiation on the structural properties of plastic bottle sheet are studied. The Plastic sheets were exposed with 1.25MeV {sup 60}Co gamma rays source at various dose levels within the range from 0-670 kGy. The induced modifications were followed by micro-Raman and atomic force microscopy (AFM). The Raman spectrum shows the decrease in Raman intensity and formation of unsaturated bonds with an increase in the gamma dose. AFM image displays rough surface morphology after irradiation. The detailed Raman analysis of plastic bottle sheets is presented here, and the results are correlated with the AFMmore » observations.« less
Pleshakova, Tatyana O; Malsagova, Kristina A; Kaysheva, Anna L; Kopylov, Arthur T; Tatur, Vadim Yu; Ziborov, Vadim S; Kanashenko, Sergey L; Galiullin, Rafael A; Ivanov, Yuri D
2017-08-01
We report here the highly sensitive detection of protein in solution at concentrations from 10 -15 to 10 -18 m using the combination of atomic force microscopy (AFM) and mass spectrometry. Biospecific detection of biotinylated bovine serum albumin was carried out by fishing out the protein onto the surface of AFM chips with immobilized avidin, which determined the specificity of the analysis. Electrical stimulation was applied to enhance the fishing efficiency. A high sensitivity of detection was achieved by application of nanosecond electric pulses to highly oriented pyrolytic graphite placed under the AFM chip. A peristaltic pump-based flow system, which is widely used in routine bioanalytical assays, was employed throughout the analysis. These results hold promise for the development of highly sensitive protein detection methods using nanosensor devices.
Bacterial adhesion to protein-coated surfaces: An AFM and QCM-D study
NASA Astrophysics Data System (ADS)
Strauss, Joshua; Liu, Yatao; Camesano, Terri A.
2009-09-01
Bacterial adhesion to biomaterials, mineral surfaces, or other industrial surfaces is strongly controlled by the way bacteria interact with protein layers or organic matter and other biomolecules that coat the materials. Despite this knowledge, many studies of bacterial adhesion are performed under clean conditions, instead of in the presence of proteins or organic molecules. We chose fetal bovine serum (FBS) as a model protein, and prepared FBS films on quartz crystals. The thickness of the FBS layer was characterized using atomic force microscopy (AFM) imaging under liquid and quartz crystal microbalance with dissipation (QCM-D). Next, we characterized how the model biomaterial surface would interact with the nocosomial pathogen Staphylococcus epidermidis. An AFM probe was coated with S. epidermidis cells and used to probe a gold slide that had been coated with FBS or another protein, fibronectin (FN). These experiments show that AFM and QCM-D can be used in complementary ways to study the complex interactions between bacteria, proteins, and surfaces.
3D Nanofabrication Using AFM-Based Ultrasonic Vibration Assisted Nanomachining
NASA Astrophysics Data System (ADS)
Deng, Jia
Nanolithography and nanofabrication processes have significant impact on the recent development of fundamental research areas such as physics, chemistry and biology, as well as the modern electronic devices that have reached nanoscale domain such as optoelectronic devices. Many advanced nanofabrication techniques have been developed and reported to satisfy different requirements in both research areas and applications such as electron-beam lithography. However, it is expensive to use and maintain the equipment. Atomic Force Microscope (AFM) based nanolithography processes provide an alternative approach to nanopatterning with significantly lower cost. Recently, three dimensional nanostructures have attracted a lot of attention, motivated by many applications in various fields including optics, plasmonics and nanoelectromechanical systems. AFM nanolithography processes are able to create not only two dimensional nanopatterns but also have the great potential to fabricate three dimensional nanostructures. The objectives of this research proposal are to investigate the capability of AFM-based three dimensional nanofabrication processes, to transfer the three dimensional nanostructures from resists to silicon surfaces and to use the three dimensional nanostructures on silicon in applications. Based on the understanding of literature, a novel AFM-based ultrasonic vibration assisted nanomachining system is utilized to develop three dimensional nanofabrication processes. In the system, high-frequency in plane circular xy-vibration was introduced to create a virtual tool, whose diameter is controlled by the amplitude of xy-vibration and is larger than that of a regular AFM tip. Therefore, the feature width of a single trench is tunable. Ultrasonic vibration of sample in z-direction was introduced to control the depth of single trenches, creating a high-rate 3D nanomachining process. Complicated 3D nanostructures on PMMA are fabricated under both the setpoint force and z
AFM study of adsorption of protein A on a poly(dimethylsiloxane) surface
NASA Astrophysics Data System (ADS)
Yu, Ling; Lu, Zhisong; Gan, Ye; Liu, Yingshuai; Li, Chang Ming
2009-07-01
In this paper, the morphology and kinetics of adsorption of protein A on a PDMS surface is studied by AFM. The results of effects of pH, protein concentration and contact time of the adsorption reveal that the morphology of adsorbed protein A is significantly affected by pH and adsorbed surface concentration, in which the pH away from the isoelectric point (IEP) of protein A could produce electrical repulsion to change the protein conformation, while the high adsorbed surface protein volume results in molecular networks. Protein A can form an adsorbed protein film on PDMS with a maximum volume of 2.45 × 10-3 µm3. This work enhances our fundamental understanding of protein A adsorption on PDMS, a frequently used substrate component in miniaturized immunoassay devices.
Leitner, Michael; Fantner, Georg E.; Fantner, Ernest J.; Ivanova, Katerina; Ivanov, Tzvetan; Rangelow, Ivo; Ebner, Andreas; Rangl, Martina; Tang, Jilin; Hinterdorfer, Peter
2012-01-01
In this study, we demonstrate the increased performance in speed and sensitivity achieved by the use of small AFM cantilevers on a standard AFM system. For this, small rectangular silicon oxynitride cantilevers were utilized to arrive at faster atomic force microscopy (AFM) imaging times and more sensitive molecular recognition force spectroscopy (MRFS) experiments. The cantilevers we used had lengths between 13 and 46 μm, a width of about 11 μm, and a thickness between 150 and 600 nm. They were coated with chromium and gold on the backside for a better laser reflection. We characterized these small cantilevers through their frequency spectrum and with electron microscopy. Due to their small size and high resonance frequency we were able to increase the imaging speed by a factor of 10 without any loss in resolution for images from several μm scansize down to the nanometer scale. This was shown on bacterial surface layers (s-layer) with tapping mode under aqueous, near physiological conditions and on nuclear membranes in contact mode in ambient environment. In addition, we showed that single molecular forces can be measured with an up to 5 times higher force sensitivity in comparison to conventional cantilevers with similar spring constants. PMID:22721963
Simultaneous noncontact AFM and STM of Ag:Si(111)-(3×3)R30∘
NASA Astrophysics Data System (ADS)
Sweetman, Adam; Stannard, Andrew; Sugimoto, Yoshiaki; Abe, Masayuki; Morita, Seizo; Moriarty, Philip
2013-02-01
The Ag:Si(111)-(3×3)R30∘ surface structure has attracted considerable debate concerning interpretation of scanning tunneling microscope (STM) and noncontact atomic force microscope (NC-AFM) images. In particular, the accepted interpretation of atomic resolution images in NC-AFM has been questioned by theoretical and STM studies. In this paper, we use combined NC-AFM and STM to conclusively show that the inequivalent trimer (IET) configuration best describes the surface ground state. Thermal-averaging effects result in a honeycomb-chained-trimer (HCT) appearance at room temperature, in contrast to studies suggesting that the IET configuration remains stable at higher temperatures [Zhang, Gustafsson, and Johansson, Phys. Rev. BPRBMDO1098-012110.1103/PhysRevB.74.201304 74, 201304(R) (2006) and J. Phys.: Conf. Ser.1742-658810.1088/1742-6596/61/1/264 61, 1336 (2007)]. We also comment on results obtained at an intermediate temperature that suggest an intriguing difference between the imaging mechanisms of NC-AFM and STM on structurally fluctuating samples.
AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic
NASA Astrophysics Data System (ADS)
Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.
2001-10-01
The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.
Restoration of high-resolution AFM images captured with broken probes
NASA Astrophysics Data System (ADS)
Wang, Y. F.; Corrigan, D.; Forman, C.; Jarvis, S.; Kokaram, A.
2012-03-01
A type of artefact is induced by damage of the scanning probe when the Atomic Force Microscope (AFM) captures a material surface structure with nanoscale resolution. This artefact has a dramatic form of distortion rather than the traditional blurring artefacts. Practically, it is not easy to prevent the damage of the scanning probe. However, by using natural image deblurring techniques in image processing domain, a comparatively reliable estimation of the real sample surface structure can be generated. This paper introduces a novel Hough Transform technique as well as a Bayesian deblurring algorithm to remove this type of artefact. The deblurring result is successful at removing blur artefacts in the AFM artefact images. And the details of the fibril surface topography are well preserved.
Molecular Dynamic Simulations of Interaction of an AFM Probe with the Surface of an SCN Sample
NASA Technical Reports Server (NTRS)
Bune, Adris; Kaukler, William; Rose, M. Franklin (Technical Monitor)
2001-01-01
Molecular dynamic (MD) simulations is conducted in order to estimate forces of probe-substrate interaction in the Atomic Force Microscope (AFM). First a review of available molecular dynamic techniques is given. Implementation of MD simulation is based on an object-oriented code developed at the University of Delft. Modeling of the sample material - succinonitrile (SCN) - is based on the Lennard-Jones potentials. For the polystyrene probe an atomic interaction potential is used. Due to object-oriented structure of the code modification of an atomic interaction potential is straight forward. Calculation of melting temperature is used for validation of the code and of the interaction potentials. Various fitting parameters of the probe-substrate interaction potentials are considered, as potentials fitted to certain properties and temperature ranges may not be reliable for the others. This research provides theoretical foundation for an interpretation of actual measurements of an interaction forces using AFM.
NASA Astrophysics Data System (ADS)
Sierakowski, Andrzej; Kopiec, Daniel; Majstrzyk, Wojciech; Kunicki, Piotr; Janus, Paweł; Dobrowolski, Rafał; Grabiec, Piotr; Rangelow, Ivo W.; Gotszalk, Teodor
2017-03-01
In this paper the authors compare methods used for piezoresistive microcantilevers actuation for the atomic force microscopy (AFM) imaging in the dynamic shear force mode. The piezoresistive detection is an attractive technique comparing the optical beam detection of deflection. The principal advantage is that no external alignment of optical source and detector are needed. When the microcantilever is deflected, the stress is transferred into a change of resistivity of piezoresistors. The integration of piezoresistive read-out provides a promising solution in realizing a compact non-contact AFM. Resolution of piezoresistive read-out is limited by three main noise sources: Johnson, 1/f and thermomechanical noise. In the dynamic shear force mode measurement the method used for cantilever actuation will also affect the recorded noise in the piezoresistive detection circuit. This is the result of a crosstalk between an aluminium path (current loop used for actuation) and piezoresistors located near the base of the beam. In this paper authors described an elaborated in ITE (Institute of Electron Technology) technology of fabrication cantilevers with piezoresistive detection of deflection and compared efficiency of two methods used for cantilever actuation.
High-resolution AFM structure of DNA G-wires in aqueous solution.
Bose, Krishnashish; Lech, Christopher J; Heddi, Brahim; Phan, Anh Tuân
2018-05-17
We investigate the self-assembly of short pieces of the Tetrahymena telomeric DNA sequence d[G 4 T 2 G 4 ] in physiologically relevant aqueous solution using atomic force microscopy (AFM). Wire-like structures (G-wires) of 3.0 nm height with well-defined surface periodic features were observed. Analysis of high-resolution AFM images allowed their classification based on the periodicity of these features. A major species is identified with periodic features of 4.3 nm displaying left-handed ridges or zigzag features on the molecular surface. A minor species shows primarily left-handed periodic features of 2.2 nm. In addition to 4.3 and 2.2 nm ridges, background features with periodicity of 0.9 nm are also observed. Using molecular modeling and simulation, we identify a molecular structure that can explain both the periodicity and handedness of the major G-wire species. Our results demonstrate the potential structural diversity of G-wire formation and provide valuable insight into the structure of higher-order intermolecular G-quadruplexes. Our results also demonstrate how AFM can be combined with simulation to gain insight into biomolecular structure.
RAHIMIRAD, Amir; MAALEKINEJAD, Hassan; OSTADI, Araz; YEGANEH, Samal; FAHIMI, Samira
2014-01-01
Abstract Background Aflatoxin M1 (AFM1), a carcinogenic substance is found in milk and dairy products. The effect of season and type of dairy products on AFMi level in northern Iran was investigated in this study. Methods Three hundred samples (each season 75 samples) including raw and pasteurized milk, yoghurt, cheese, and cream samples were collected from three distinct milk producing farms. The samples were subjected to chemical and solid phase extractions and were analyzed by using HPLC technique. Recovery percentages, limit of detection and limit of quantification values were determined. Results Seventy percent and 98% were the minimum and maximum recoveries for cheese and raw milk, respectively and 0.021 and 0.063 ppb were the limit of detection and limit of quantification values for AFM1. We found that in autumn and winter the highest level (0.121 ppb) of AFM1 in cheese and cream samples and failed to detect any AFM1 in spring samples. Interestingly, our data showed that the yoghurt samples had the lowest level of AFM1 in all seasons. Conclusion There are significant differences between the AFM1 levels in dairy products in various seasons and also various types of products, suggesting spring and summer yoghurt samples as the safest products from AFM1 level point of view. PMID:25927044
Diaz, Alfredo J; Noh, Hanaul; Meier, Tobias; Solares, Santiago D
2017-01-01
Bioinspired design has been central in the development of hierarchical nanocomposites. Particularly, the nacre-mimetic brick-and-mortar structure has shown excellent mechanical properties, as well as gas-barrier properties and optical transparency. Along with these intrinsic properties, the layered structure has also been utilized in sensing devices. Here we extend the multifunctionality of nacre-mimetics by designing an optically transparent and electron conductive coating based on PEDOT:PSS and nanoclays Laponite RD and Cloisite Na + . We carry out extensive characterization of the nanocomposite using transmittance spectra (transparency), conductive atomic force microscopy (conductivity), contact-resonance force microscopy (mechanical properties), and SEM combined with a variety of stress-strain AFM experiments and AFM numerical simulations (internal structure). We further study the nanoclay's response to the application of pressure with multifrequency AFM and conductive AFM, whereby increases and decreases in conductivity can occur for the Laponite RD composites. We offer a possible mechanism to explain the changes in conductivity by modeling the coating as a 1-dimensional multibarrier potential for electron transport, and show that conductivity can change when the separation between the barriers changes under the application of pressure, and that the direction of the change depends on the energy of the electrons. We did not observe changes in conductivity under the application of pressure with AFM for the Cloisite Na + nanocomposite, which has a large platelet size compared with the AFM probe diameter. No pressure-induced changes in conductivity were observed in the clay-free polymer either.
The detection of hepatitis c virus core antigen using afm chips with immobolized aptamers.
Pleshakova, T O; Kaysheva, A L; Bayzyanova, J М; Anashkina, А S; Uchaikin, V F; Ziborov, V S; Konev, V A; Archakov, A I; Ivanov, Y D
2018-01-01
In the present study, the possibility of hepatitis C virus core antigen (HCVcoreAg) detection in buffer solution, using atomic force microscope chip (AFM-chip) with immobilized aptamers, has been demonstrated. The target protein was detected in 1mL of solution at concentrations from 10 -10 М to 10 -13 М. The registration of aptamer/antigen complexes on the chip surface was carried out by atomic force microscopy (AFM). The further mass-spectrometric (MS) identification of AFM-registered objects on the chip surface allowed reliable identification of HCVcoreAg target protein in the complexes. Aptamers, which were designed for therapeutic purposes, have been shown to be effective in HCVcoreAg detection as probe molecules. Copyright © 2017 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Tomitori, Masahiko; Onishi, Hiroshi
2007-02-01
The advent of scanning probe microscopy (SPM) in the 1980s has significantly promoted nanoscience and nanotechnology. In particular, non-contact atomic force microscopy (NC-AFM), one of the SPM family, has unique capabilities with high spatial resolution for nanoscale measurements in vacuum, air and liquids. In the last decade we have witnessed the rapid progress of NC-AFM with improved performance and increasing applications. A series of NC-AFM international conferences have greatly contributed to this field. Initiated in Osaka in 1998, the NC-AFM meeting has been followed by annual conferences at Pontresina, Hamburg, Kyoto, Montreal, Dingle, Seattle and Bad Essen. The 9th conference was held in Kobe, Japan, 16-20 July 2006. This special issue of Nanotechnology contains the outstanding contributions of the conference. During the meeting delegates learnt about a number of significant advances. Topics covered atomic resolution imaging of metals, semiconductors, insulators, ionic crystals, oxides, molecular systems, imaging of biological materials in various environments and novel instrumentation. Work also included the characterization of electronic and magnetic properties, tip and cantilever fabrication and characterization, atomic distinction based on analysis of tip-sample interaction, atomic scale manipulation, fabrication of nanostructures using NC-AFM, and related theories and simulations. We are greatly impressed by the increasing number of applications, and convinced that NC-AFM and related techniques are building a bridge to a future nano world, where quantum phenomena will dominate and nano devices will be realized. In addition, a special session on SPM road maps was held as a first trial in the field, where the future prospects of SPM were discussed enthusiastically. The overall success of the NC-AFM 2006 conference was due to the efforts of many individuals and groups with respect to scientific and technological progress, as well as the international
Crystal structures of Boro-AFm and sBoro-AFt phases
DOE Office of Scientific and Technical Information (OSTI.GOV)
Champenois, Jean-Baptiste; Mesbah, Adel; Clermont Universite, ENSCCF, Institut de Chimie de Clermont-Ferrand, BP 10448, F-63000 Clermont-Ferrand
2012-10-15
Crystal structures of boron-containing AFm (B-AFm) and AFt (B-AFt) phases have been solved ab-initio and refined from X-ray powder diffraction. {sup 11}B NMR and Raman spectroscopies confirm the boron local environment in both compounds: three-fold coordinated in B-AFm corresponding to HBO{sub 3}{sup 2-} species, and four-fold coordinated in B-AFt corresponding to B (OH){sub 4}{sup -} species. B-AFm crystallizes in the rhombohedral R3{sup Macron }c space group and has the 3CaO{center_dot}Al{sub 2}O{sub 3}{center_dot}CaHBO{sub 3}{center_dot}12H{sub 2}O (4CaO{center_dot}Al{sub 2}O{sub 3}{center_dot}1/2B{sub 2}O{sub 3}{center_dot}12.5H{sub 2}O, C{sub 4}AB{sub 1/2}H{sub 12.5}) general formulae with planar trigonal HBO{sub 3}{sup 2-} anions weakly bonded at the centre of themore » interlayer region. One HBO{sub 3}{sup 2-} anion is statistically distributed with two weakly bonded water molecules on the same crystallographic site. B-AFt crystallizes in the trigonal P3cl space group and has the 3CaO{center_dot}Al{sub 2}O{sub 3}{center_dot}Ca(OH){sub 2}{center_dot}2Ca(B (OH){sub 4}){sub 2}{center_dot}24H{sub 2}O (6CaO{center_dot}Al{sub 2}O{sub 3}{center_dot}2B{sub 2}O{sub 3}{center_dot}33H{sub 2}O, C{sub 6}AB{sub 2}H{sub 33}) general formulae with tetrahedral B (OH){sub 4}{sup -} anions located in the channel region of the structure. All tetrahedral anions are oriented in a unique direction, leading to a hexagonal c lattice parameter about half that of ettringite.« less
Moro, Daniele; Valdrè, Giovanni; Mesto, Ernesto; Scordari, Fernando; Lacalamita, Maria; Ventura, Giancarlo Della; Bellatreccia, Fabio; Scirè, Salvatore; Schingaro, Emanuela
2017-01-01
This study presents a cross-correlated surface and near surface investigation of two phlogopite polytypes from Kasenyi kamafugitic rocks (SW Uganda) by means of advanced Atomic Force Microscopy (AFM), confocal microscopy and Raman micro-spectroscopy. AFM revealed comparable nanomorphology and electrostatic surface potential for the two mica polytypes. A widespread presence of nano-protrusions located on the mica flake surface was also observed, with an aspect ratio (maximum height/maximum width) from 0.01 to 0.09. Confocal microscopy showed these features to range from few nm to several μm in dimension, and shapes from perfectly circular to ellipsoidic and strongly elongated. Raman spectra collected across the bubbles showed an intense and convolute absorption in the range 3000–2800 cm−1, associated with weaker bands at 1655, 1438 and 1297 cm−1, indicating the presence of fluid inclusions consisting of aliphatic hydrocarbons, alkanes and cycloalkanes, with minor amounts of oxygenated compounds, such as carboxylic acids. High-resolution Raman images provided evidence that these hydrocarbons are confined within the bubbles. This work represents the first direct evidence that phlogopite, a common rock-forming mineral, may be a possible reservoir for hydrocarbons. PMID:28098185
Zhang, Suoxin; Qian, Jianqiang; Li, Yingzi; Zhang, Yingxu; Wang, Zhenyu
2018-06-04
Atomic force microscope (AFM) is an idealized tool to measure the physical and chemical properties of the sample surfaces by reconstructing the force curve, which is of great significance to materials science, biology, and medicine science. Frequency modulation atomic force microscope (FM-AFM) collects the frequency shift as feedback thus having high force sensitivity and it accomplishes a true noncontact mode, which means great potential in biological sample detection field. However, it is a challenge to establish the relationship between the cantilever properties observed in practice and the tip-sample interaction theoretically. Moreover, there is no existing method to reconstruct the force curve in FM-AFM combining the higher harmonics and the higher flexural modes. This paper proposes a novel method that a full force curve can be reconstructed by any order higher harmonics of the first two flexural modes under any vibration amplitude in FM-AFM. Moreover, in the small amplitude regime, short range forces are reconstructed more accurately by higher harmonics analysis compared with fundamental harmonics using the Sader-Jarvis formula.
Ultra-high aspect ratio replaceable AFM tips using deformation-suppressed focused ion beam milling.
Savenko, Alexey; Yildiz, Izzet; Petersen, Dirch Hjorth; Bøggild, Peter; Bartenwerfer, Malte; Krohs, Florian; Oliva, Maria; Harzendorf, Torsten
2013-11-22
Fabrication of ultra-high aspect ratio exchangeable and customizable tips for atomic force microscopy (AFM) using lateral focused ion beam (FIB) milling is presented. While on-axis FIB milling does allow high aspect ratio (HAR) AFM tips to be defined, lateral milling gives far better flexibility in terms of defining the shape and size of the tip. Due to beam-induced deformation, it has so far not been possible to define HAR structures using lateral FIB milling. In this work we obtain aspect ratios of up to 45, with tip diameters down to 9 nm, by a deformation-suppressing writing strategy. Several FIB milling strategies for obtaining sharper tips are discussed. Finally, assembly of the HAR tips on a custom-designed probe as well as the first AFM scanning is shown.
Study of mechanical behavior of AFM silicon tips under mechanical load
NASA Astrophysics Data System (ADS)
Kopycinska-Mueller, M.; Gluch, J.; Köhler, B.
2016-11-01
In this paper we address critical issues concerning calibration of AFM based methods used for nanoscale mechanical characterization of materials. It has been shown that calibration approaches based on macroscopic models for contact mechanics may yield excellent results in terms of the indentation modulus of the sample, but fail to provide a comprehensive and actual information concerning the tip-sample contact radius or the mechanical properties of the tip. Explanations for the severely reduced indentation modulus of the tip included the inadequacies of the models used for calculations of the tip-sample contact stiffness, discrepancies in the actual and ideal shape of the tip, presence of the amorphous silicon phase within the silicon tip, as well as negligence of the actual size of the stress field created in the tip during elastic interactions. To clarify these issues, we investigated the influence of the mechanical load applied to four AFM silicon tips on their crystalline state by exposing them to systematically increasing loads, evaluating the character of the tip-sample interactions via the load-unload stiffness curves, and assessing the state of the tips from HR-TEM images. The results presented in this paper were obtained in a series of relatively simple and basic atomic force acoustic microscopy (AFAM) experiments. The novel combination of TEM imaging of the AFM tips with the analysis of the load-unload stiffness curves gave us a detailed insight into their mechanical behavior under load conditions. We were able to identify the limits for the elastic interactions, as well as the hallmarks for phase transformation and dislocation formation and movement. The comparison of the physical dimensions of the AFM tips, geometry parameters determined from the values of the contact stiffness, and the information on the crystalline state of the tips allowed us a better understanding of the nanoscale contact.
Diaz, Alfredo J; Noh, Hanaul; Meier, Tobias
2017-01-01
Bioinspired design has been central in the development of hierarchical nanocomposites. Particularly, the nacre-mimetic brick-and-mortar structure has shown excellent mechanical properties, as well as gas-barrier properties and optical transparency. Along with these intrinsic properties, the layered structure has also been utilized in sensing devices. Here we extend the multifunctionality of nacre-mimetics by designing an optically transparent and electron conductive coating based on PEDOT:PSS and nanoclays Laponite RD and Cloisite Na+. We carry out extensive characterization of the nanocomposite using transmittance spectra (transparency), conductive atomic force microscopy (conductivity), contact-resonance force microscopy (mechanical properties), and SEM combined with a variety of stress-strain AFM experiments and AFM numerical simulations (internal structure). We further study the nanoclay’s response to the application of pressure with multifrequency AFM and conductive AFM, whereby increases and decreases in conductivity can occur for the Laponite RD composites. We offer a possible mechanism to explain the changes in conductivity by modeling the coating as a 1-dimensional multibarrier potential for electron transport, and show that conductivity can change when the separation between the barriers changes under the application of pressure, and that the direction of the change depends on the energy of the electrons. We did not observe changes in conductivity under the application of pressure with AFM for the Cloisite Na+ nanocomposite, which has a large platelet size compared with the AFM probe diameter. No pressure-induced changes in conductivity were observed in the clay-free polymer either. PMID:29090109
Walczyk, Wiktoria; Schönherr, Holger
2013-01-15
To date, TM AFM (tapping mode or intermittent contact mode atomic force microscopy) is the most frequently applied direct imaging technique to visualize surface nanobubbles at the solid-aqueous interface. On one hand, AFM is the only profilometric technique that provides estimates of the bubbles' nanoscopic dimensions. On the other hand, the nanoscopic contact angles of surface nanobubbles estimated from their apparent dimensions that are deduced from AFM "height" images of nanobubbles differ markedly from the macrocopic water contact angles on the identical substrates. Here we show in detail how the apparent bubble height and width of surface nanobubbles on highly oriented pyrolytic graphite (HOPG) depend on the free amplitude of the cantilever oscillations and the amplitude setpoint ratio. (The role of these two AFM imaging parameters and their interdependence has not been studied so far for nanobubbles in a systematic way.) In all experiments, even with optimal scanning parameters, nanobubbles at the HOPG-water interface appeared to be smaller in the AFM images than their true size, which was estimated using a method presented herein. It was also observed that the severity of the underestimate increased with increasing bubble height and radius of curvature. The nanoscopic contact angle of >130° for nanobubbles on HOPG extrapolated to zero interaction force was only slightly overestimated and hence significantly higher than the macroscopic contact angle of water on HOPG (63 ± 2°). Thus, the widely reported contact angle discrepancy cannot be solely attributed to inappropriate AFM imaging conditions.
Temperature-Controlled High-Speed AFM: Real-Time Observation of Ripple Phase Transitions.
Takahashi, Hirohide; Miyagi, Atsushi; Redondo-Morata, Lorena; Scheuring, Simon
2016-11-01
With nanometer lateral and Angstrom vertical resolution, atomic force microscopy (AFM) has contributed unique data improving the understanding of lipid bilayers. Lipid bilayers are found in several different temperature-dependent states, termed phases; the main phases are solid and fluid phases. The transition temperature between solid and fluid phases is lipid composition specific. Under certain conditions some lipid bilayers adopt a so-called ripple phase, a structure where solid and fluid phase domains alternate with constant periodicity. Because of its narrow regime of existence and heterogeneity ripple phase and its transition dynamics remain poorly understood. Here, a temperature control device to high-speed atomic force microscopy (HS-AFM) to observe dynamics of phase transition from ripple phase to fluid phase reversibly in real time is developed and integrated. Based on HS-AFM imaging, the phase transition processes from ripple phase to fluid phase and from ripple phase to metastable ripple phase to fluid phase could be reversibly, phenomenologically, and quantitatively studied. The results here show phase transition hysteresis in fast cooling and heating processes, while both melting and condensation occur at 24.15 °C in quasi-steady state situation. A second metastable ripple phase with larger periodicity is formed at the ripple phase to fluid phase transition when the buffer contains Ca 2+ . The presented temperature-controlled HS-AFM is a new unique experimental system to observe dynamics of temperature-sensitive processes at the nanoscopic level. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
MDI: integrity index of cytoskeletal fibers observed by AFM
NASA Astrophysics Data System (ADS)
Manghi, Massimo; Bruni, Luca; Croci, Simonetta
2016-06-01
The Modified Directional Index (MDI) is a form factor of the angular spectrum computed from the 2D Fourier transform of an image marking the prevalence of rectilinear features throughout the picture. We study some properties of the index and we apply it to AFM images of cell cytoskeleton regions featuring patterns of rectilinear nearly parallel actin filaments as in the case of microfilaments grouped in bundles. The analysis of AFM images through MDI calculation quantifies the fiber directionality changes which could be related to fiber damages. This parameter is applied to the images of Hs 578Bst cell line, non-tumoral and not immortalized human epithelial cell line, irradiated with X-rays at doses equivalent to typical radiotherapy treatment fractions. In the reported samples, we could conclude that the damages are mainly born to the membrane and not to the cytoskeleton. It could be interesting to test the parameter also using other kinds of chemical or physical agents.
Direct AFM observation of an opening event of a DNA cuboid constructed via a prism structure.
Endo, Masayuki; Hidaka, Kumi; Sugiyama, Hiroshi
2011-04-07
A cuboid structure was constructed using a DNA origami design based on a square prism structure. The structure was characterized by atomic force microscopy (AFM) and dynamic light scattering. The real-time opening event of the cuboid was directly observed by high-speed AFM.
Ripple formation in unilamellar-supported lipid bilayer revealed by FRAPP.
Harb, Frédéric; Simon, Anne; Tinland, Bernard
2013-12-01
The mechanisms of formation and conditions of the existence of the ripple phase are fundamental thermodynamic questions with practical implications for medicine and pharmaceuticals. We reveal a new case of ripple formation occurring in unilamellar-supported bilayers in water, which results solely from the bilayer/support interaction, without using lipid mixtures or specific ions. This ripple phase is detected by FRAPP using diffusion coefficient measurements as a function of temperature: a diffusivity plateau is observed. It occurs in the same temperature range where ripple phase existence has been observed using other methods. When AFM experiments are performed in the appropriate temperature range the ripple phase is confirmed.
Brain tumor classification using AFM in combination with data mining techniques.
Huml, Marlene; Silye, René; Zauner, Gerald; Hutterer, Stephan; Schilcher, Kurt
2013-01-01
Although classification of astrocytic tumors is standardized by the WHO grading system, which is mainly based on microscopy-derived, histomorphological features, there is great interobserver variability. The main causes are thought to be the complexity of morphological details varying from tumor to tumor and from patient to patient, variations in the technical histopathological procedures like staining protocols, and finally the individual experience of the diagnosing pathologist. Thus, to raise astrocytoma grading to a more objective standard, this paper proposes a methodology based on atomic force microscopy (AFM) derived images made from histopathological samples in combination with data mining techniques. By comparing AFM images with corresponding light microscopy images of the same area, the progressive formation of cavities due to cell necrosis was identified as a typical morphological marker for a computer-assisted analysis. Using genetic programming as a tool for feature analysis, a best model was created that achieved 94.74% classification accuracy in distinguishing grade II tumors from grade IV ones. While utilizing modern image analysis techniques, AFM may become an important tool in astrocytic tumor diagnosis. By this way patients suffering from grade II tumors are identified unambiguously, having a less risk for malignant transformation. They would benefit from early adjuvant therapies.
Jones, Matthew D; Buckton, Graham
2016-07-25
The abilities of the cohesive-adhesive balance approach to atomic force microscopy (AFM) and the measurement of Hansen partial solubility parameters by inverse gas chromatography (IGC) to predict the performance of carrier-based dry powder inhaler (DPI) formulations were compared. Five model drugs (beclometasone dipropionate, budesonide, salbutamol sulphate, terbutaline sulphate and triamcinolone acetonide) and three model carriers (erythritol, α-lactose monohydrate and d-mannitol) were chosen, giving fifteen drug-carrier combinations. Comparison of the AFM and IGC interparticulate adhesion data suggested that they did not produce equivalent results. Comparison of the AFM data with the in vitro fine particle delivery of appropriate DPI formulations normalised to account for particle size differences revealed a previously observed pattern for the AFM measurements, with a slightly cohesive AFM CAB ratio being associated with the highest fine particle fraction. However, no consistent relationship between formulation performance and the IGC data was observed. The results as a whole highlight the complexity of the many interacting variables that can affect the behaviour of DPIs and suggest that the prediction of their performance from a single measurement is unlikely to be successful in every case. Copyright © 2016 Elsevier B.V. All rights reserved.
Studying post-etching silicon crystal defects on 300mm wafer by automatic defect review AFM
NASA Astrophysics Data System (ADS)
Zandiatashbar, Ardavan; Taylor, Patrick A.; Kim, Byong; Yoo, Young-kook; Lee, Keibock; Jo, Ahjin; Lee, Ju Suk; Cho, Sang-Joon; Park, Sang-il
2016-03-01
Single crystal silicon wafers are the fundamental elements of semiconductor manufacturing industry. The wafers produced by Czochralski (CZ) process are very high quality single crystalline materials with known defects that are formed during the crystal growth or modified by further processing. While defects can be unfavorable for yield for some manufactured electrical devices, a group of defects like oxide precipitates can have both positive and negative impacts on the final device. The spatial distribution of these defects may be found by scattering techniques. However, due to limitations of scattering (i.e. light wavelength), many crystal defects are either poorly classified or not detected. Therefore a high throughput and accurate characterization of their shape and dimension is essential for reviewing the defects and proper classification. While scanning electron microscopy (SEM) can provide high resolution twodimensional images, atomic force microscopy (AFM) is essential for obtaining three-dimensional information of the defects of interest (DOI) as it is known to provide the highest vertical resolution among all techniques [1]. However AFM's low throughput, limited tip life, and laborious efforts for locating the DOI have been the limitations of this technique for defect review for 300 mm wafers. To address these limitations of AFM, automatic defect review AFM has been introduced recently [2], and is utilized in this work for studying DOI on 300 mm silicon wafer. In this work, we carefully etched a 300 mm silicon wafer with a gaseous acid in a reducing atmosphere at a temperature and for a sufficient duration to decorate and grow the crystal defects to a size capable of being detected as light scattering defects [3]. The etched defects form a shallow structure and their distribution and relative size are inspected by laser light scattering (LLS). However, several groups of defects couldn't be properly sized by the LLS due to the very shallow depth and low
High-resolution AFM topographs of Rubrivivax gelatinosus light-harvesting complex LH2
Scheuring, Simon; Reiss-Husson, Francoise; Engel, Andreas; Rigaud, Jean-Louis; Ranck, Jean-Luc
2001-01-01
Light-harvesting complexes 2 (LH2) are the accessory antenna proteins in the bacterial photosynthetic apparatus and are built up of αβ-heterodimers containing three bacteriochlorophylls and one carotenoid each. We have used atomic force microscopy (AFM) to investigate reconstituted LH2 from Rubrivivax gelatinosus, which has a C-terminal hydrophobic extension of 21 amino acids on the α-subunit. High-resolution topographs revealed a nonameric organization of the regularly packed cylindrical complexes incorporated into the membrane in both orientations. Native LH2 showed one surface which protruded by ∼6 Å and one that protruded by ∼14 Å from the membrane. Topographs of samples reconstituted with thermolysin-digested LH2 revealed a height reduction of the strongly protruding surface to ∼9 Å, and a change of its surface appearance. These results suggested that the α-subunit of R.gelatinosus comprises a single transmembrane helix and an extrinsic C-terminus, and allowed the periplasmic surface to be assigned. Occasionally, large rings (∼120 Å diameter) surrounded by LH2 rings were observed. Their diameter and appearance suggest the large rings to be LH1 complexes. PMID:11406579
High-speed AFM and the reduction of tip-sample forces
NASA Astrophysics Data System (ADS)
Miles, Mervyn; Sharma, Ravi; Picco, Loren
High-speed DC-mode AFM has been shown to be routinely capable of imaging at video rate, and, if required, at over 1000 frames per second. At sufficiently high tip-sample velocities in ambient conditions, the tip lifts off the sample surface in a superlubricity process which reduces the level of shear forces imposed on the sample by the tip and therefore reduces the potential damage and distortion of the sample being imaged. High-frequency mechanical oscillations, both lateral and vertical, have been reported to reduced the tip-sample frictional forces. We have investigated the effect of combining linear high-speed scanning with these small amplitude high-frequency oscillations with the aim of reducing further the force interaction in high-speed imaging. Examples of this new version of high-speed AFM imaging will be presented for biological samples.
Probing fibronectin–antibody interactions using AFM force spectroscopy and lateral force microscopy
Kulik, Andrzej J; Lee, Kyumin; Pyka-Fościak, Grazyna; Nowak, Wieslaw
2015-01-01
Summary The first experiment showing the effects of specific interaction forces using lateral force microscopy (LFM) was demonstrated for lectin–carbohydrate interactions some years ago. Such measurements are possible under the assumption that specific forces strongly dominate over the non-specific ones. However, obtaining quantitative results requires the complex and tedious calibration of a torsional force. Here, a new and relatively simple method for the calibration of the torsional force is presented. The proposed calibration method is validated through the measurement of the interaction forces between human fibronectin and its monoclonal antibody. The results obtained using LFM and AFM-based classical force spectroscopies showed similar unbinding forces recorded at similar loading rates. Our studies verify that the proposed lateral force calibration method can be applied to study single molecule interactions. PMID:26114080
Comparison of the Identation and Elasticity of E.coli and its Spheroplasts by AFM
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sullivan, Claretta J; Venkataraman, Sankar; Retterer, Scott T
2007-01-01
Atomic force microscopy (AFM) provides a unique opportunity to study live individual bacteria at the nanometer scale. In addition to providing accurate morphological information, AFM can be exploited to investigate membrane protein localization and molecular interactions on the surface of living cells. A prerequisite for these studies is the development of robust procedures for sample preparation. While such procedures are established for intact bacteria, they are only beginning to emerge for bacterial spheroplasts. Spheroplasts are useful research models for studying mechanosensitive ion channels, membrane transport, lipopolysaccharide translocation, solute uptake, and the effects of antimicrobial agents on membranes. Furthermore, given themore » similarities between spheroplasts and cell wall-deficient (CWD) forms of pathogenic bacteria, spheroplast research could be relevant in biomedical research. In this paper, a new technique for immobilizing spheroplasts on mica pretreated with aminopropyltriethoxysilane (APTES) and glutaraldehyde is described. Using this mounting technique, the indentation and cell elasticity of glutaraldehyde-fixed and untreated spheroplasts of E. coli in liquid were measured. These values are compared to those of intact E. coli. Untreated spheroplasts were found to be much softer than the intact cells and the silicon nitride cantilevers used in this study.« less
Morales-Rivas, Lucia; González-Orive, Alejandro; Garcia-Mateo, Carlos; Hernández-Creus, Alberto; Caballero, Francisca G; Vázquez, Luis
2015-11-25
The full understanding of the deformation mechanisms in nanostructured bainite requires the local characterization of its mechanical properties, which are expected to change from one phase, bainitic ferrite, to another, austenite. This study becomes a challenging process due to the bainitic nanostructured nature and high Young's modulus. In this work, we have carried out such study by means of the combination of AFM-based techniques, such as nanoindentation and Peak Force Quantitative Nanomechanical Mapping (PF-QNM) measurements. We have addressed critically the limits and advantages of these techniques and been able to measure some elastoplastic parameters of both phases. Specifically, we have analyzed by PF-QNM two nanostructured bainitic steels, with a finer and a coarser structure, and found that both phases have a similar Young's modulus.
Guo, Tong; Wang, Siming; Dorantes-Gonzalez, Dante J.; Chen, Jinping; Fu, Xing; Hu, Xiaotang
2012-01-01
A hybrid atomic force microscopic (AFM) measurement system combined with white light scanning interferometry for micro/nanometer dimensional measurement is developed. The system is based on a high precision large-range positioning platform with nanometer accuracy on which a white light scanning interferometric module and an AFM head are built. A compact AFM head is developed using a self-sensing tuning fork probe. The head need no external optical sensors to detect the deflection of the cantilever, which saves room on the head, and it can be directly fixed under an optical microscopic interferometric system. To enhance the system’s dynamic response, the frequency modulation (FM) mode is adopted for the AFM head. The measuring data can be traceable through three laser interferometers in the system. The lateral scanning range can reach 25 mm × 25 mm by using a large-range positioning platform. A hybrid method combining AFM and white light scanning interferometry is proposed to improve the AFM measurement efficiency. In this method, the sample is measured firstly by white light scanning interferometry to get an overall coarse morphology, and then, further measured with higher resolution by AFM. Several measuring experiments on standard samples demonstrate the system’s good measurement performance and feasibility of the hybrid measurement method. PMID:22368463
Guo, Tong; Wang, Siming; Dorantes-Gonzalez, Dante J; Chen, Jinping; Fu, Xing; Hu, Xiaotang
2012-01-01
A hybrid atomic force microscopic (AFM) measurement system combined with white light scanning interferometry for micro/nanometer dimensional measurement is developed. The system is based on a high precision large-range positioning platform with nanometer accuracy on which a white light scanning interferometric module and an AFM head are built. A compact AFM head is developed using a self-sensing tuning fork probe. The head need no external optical sensors to detect the deflection of the cantilever, which saves room on the head, and it can be directly fixed under an optical microscopic interferometric system. To enhance the system's dynamic response, the frequency modulation (FM) mode is adopted for the AFM head. The measuring data can be traceable through three laser interferometers in the system. The lateral scanning range can reach 25 mm × 25 mm by using a large-range positioning platform. A hybrid method combining AFM and white light scanning interferometry is proposed to improve the AFM measurement efficiency. In this method, the sample is measured firstly by white light scanning interferometry to get an overall coarse morphology, and then, further measured with higher resolution by AFM. Several measuring experiments on standard samples demonstrate the system's good measurement performance and feasibility of the hybrid measurement method.
NASA Technical Reports Server (NTRS)
Nguyen, Cattien V.; Stevens, Ramsey M.; Meyyappan, M.; Volksen, Willi; Miller, Robert D.
2005-01-01
Phase shift tapping mode scanning force microscopy (TMSFM) has evolved into a very powerful technique for the nanoscale surface characterization of compositional variations in heterogeneous samples. Phase shift signal measures the difference between the phase angle of the excitation signal and the phase angle of the cantilever response. The signal correlates to the tip-sample inelastic interactions, identifying the different chemical and/or physical property of surfaces. In general, the resolution and quality of scanning probe microscopic images are highly dependent on the size of the scanning probe tip. In improving AFM tip technology, we recently developed a technique for sharpening the tip of a multi-walled carbon nanotube (CNT) AFM tip, reducing the radius of curvature of the CNT tip to less than 5 nm while still maintaining the inherent stability of multi-walled CNT tips. Herein we report the use of sharpened (CNT) AFM tips for phase-imaging of polymer hybrids, a precursor for generating nanoporous low-k dielectrics for on-chip interconnect applications. Using sharpened CNT tips, we obtained phase-contrast images having domains less than 10 nm. In contrast, conventional Si tips and unsharpened CNT tips (radius greater than 15 nm) were not able to resolve the nanoscale domains in the polymer hybrid films. C1early, the size of the CNT tip contributes significantly to the resolution of phase-contrast imaging. In addition, a study on the nonlinear tapping dynamics of the multi-walled CNT tip indicates that the multi-walled CNT tip is immune to conventional imaging instabilities related to the coexistence of attractive and repulsive tapping regimes. This factor may also contribute to the phase-contrast image quality of multi-walled CNT AFM tips. This presentation will also offer data in support of the stability of the CNT tip for phase shift TMSFM.
Fracture Mechanics Testing of Titanium 6AL-4V in AF-M315E
NASA Technical Reports Server (NTRS)
Sampson, J. W.; Martinez, J.; McLean, C.
2016-01-01
The Green Propellant Infusion Mission (GPIM) will demonstrate the performance of AF-M315E monopropellant on orbit. Flight certification requires a safe-life analysis of the titanium alloy fuel tank to ensure inherent processing flaws will not cause failure during the design life of the tank. Material property inputs for this analysis require testing to determine the stress intensity factor for environment-assisted cracking (KEAC) of Ti 6Al-4V in combination with the AF-M315E monopropellant. Testing of single-edge notched, or SE(B), specimens representing the bulk tank membrane and weld material were performed in accordance with ASTM E1681. Specimens with fatigue pre-cracks were loaded into test fixtures so that the crack tips were exposed to AF-M315E at 50 C for a duration of 1,000 hours. Specimens that did not fail during exposure were opened to inspect the crack surfaces for evidence of crack growth. The threshold stress intensity value, KEAC, is the highest applied stress intensity that produced neither a failure of the specimen during the exposure nor showed evidence of crack growth. The threshold stress intensity factor for environment-assisted cracking of the Ti 6Al-4V forged tank material was found to be at least 22 ksivin and at least 31 ksivin for the weld material when exposed to AF-M315E monopropellant.
Targosz-Korecka, Marta; Jaglarz, Magdalena; Malek-Zietek, Katarzyna E; Gregorius, Aleksandra; Zakrzewska, Agnieszka; Sitek, Barbara; Rajfur, Zenon; Chlopicki, Stefan; Szymonski, Marek
2017-11-21
Degradation of the glycocalyx and stiffening of endothelium are important pathophysiological components of endothelial dysfunction. However, to our knowledge, these events have not been investigated in tandem in experimental diabetes. Here, the mechanical properties of the glycocalyx and endothelium in ex vivo mouse aorta were determined simultaneously in indentation experiments with an atomic force microscope (AFM) for diabetic db/db and control db/+ mice at ages of 11-19 weeks. To analyze highly heterogeneous aorta samples, we developed a tailored classification procedure of indentation data based on a bi-layer brush model supplemented with Hertz model for quantification of nanomechanics of endothelial regions with and without the glycocalyx surface. In db/db mice, marked endothelial stiffening and reduced glycocalyx coverage were present already in 11-week-old mice and persisted in older animals. In contrast, reduction of the effective glycocalyx length was progressive and was most pronounced in 19-week-old db/db mice. The reduction of the glycocalyx length correlated with an increasing level of glycated haemoglobin and decreased endothelial NO production. In conclusion, AFM nanoindentation analysis revealed that stiffening of endothelial cells and diminished glycocalyx coverage occurred in early diabetes and were followed by the reduction of the glycocalyx length that correlated with diabetes progression.
Methodological development of topographic correction in 2D/3D ToF-SIMS images using AFM images
NASA Astrophysics Data System (ADS)
Jung, Seokwon; Lee, Nodo; Choi, Myungshin; Lee, Jungmin; Cho, Eunkyunng; Joo, Minho
2018-02-01
Time-of-flight secondary-ion mass spectrometry (ToF-SIMS) is an emerging technique that provides chemical information directly from the surface of electronic materials, e.g. OLED and solar cell. It is very versatile and highly sensitive mass spectrometric technique that provides surface molecular information with their lateral distribution as a two-dimensional (2D) molecular image. Extending the usefulness of ToF-SIMS, a 3D molecular image can be generated by acquiring multiple 2D images in a stack. These imaging techniques by ToF-SIMS provide an insight into understanding the complex structures of unknown composition in electronic material. However, one drawback in ToF-SIMS is not able to represent topographical information in 2D and 3D mapping images. To overcome this technical limitation, topographic information by ex-situ technique such as atomic force microscopy (AFM) has been combined with chemical information from SIMS that provides both chemical and physical information in one image. The key to combine two different images obtained from ToF-SIMS and AFM techniques is to develop the image processing algorithm, which performs resize and alignment by comparing the specific pixel information of each image. In this work, we present methodological development of the semiautomatic alignment and the 3D structure interpolation system for the combination of 2D/3D images obtained by ToF-SIMS and AFM measurements, which allows providing useful analytical information in a single representation.
Force-controlled manipulation of single cells: from AFM to FluidFM.
Guillaume-Gentil, Orane; Potthoff, Eva; Ossola, Dario; Franz, Clemens M; Zambelli, Tomaso; Vorholt, Julia A
2014-07-01
The ability to perturb individual cells and to obtain information at the single-cell level is of central importance for addressing numerous biological questions. Atomic force microscopy (AFM) offers great potential for this prospering field. Traditionally used as an imaging tool, more recent developments have extended the variety of cell-manipulation protocols. Fluidic force microscopy (FluidFM) combines AFM with microfluidics via microchanneled cantilevers with nano-sized apertures. The crucial element of the technology is the connection of the hollow cantilevers to a pressure controller, allowing their operation in liquid as force-controlled nanopipettes under optical control. Proof-of-concept studies demonstrated a broad spectrum of single-cell applications including isolation, deposition, adhesion and injection in a range of biological systems. Copyright © 2014 Elsevier Ltd. All rights reserved.
Corrosion process monitoring by AFM higher harmonic imaging
NASA Astrophysics Data System (ADS)
Babicz, S.; Zieliński, A.; Smulko, J.; Darowicki, K.
2017-11-01
The atomic force microscope (AFM) was invented in 1986 as an alternative to the scanning tunnelling microscope, which cannot be used in studies of non-conductive materials. Today the AFM is a powerful, versatile and fundamental tool for visualizing and studying the morphology of material surfaces. Moreover, additional information for some materials can be recovered by analysing the AFM’s higher cantilever modes when the cantilever motion is inharmonic and generates frequency components above the excitation frequency, usually close to the resonance frequency of the lowest oscillation mode. This method has been applied and developed to monitor corrosion processes. The higher-harmonic imaging is especially helpful for sharpening boundaries between objects in heterogeneous samples, which can be used to identify variations in steel structures (e.g. corrosion products, steel heterogeneity). The corrosion products have different chemical structures because they are composed of chemicals other than the original metal base (mainly iron oxides). Thus, their physicochemical properties are different from the primary basis. These structures have edges at which higher harmonics should be more intense because of stronger interference between the tip and the specimen structure there. This means that the AFM’s higher-harmonic imaging is an excellent tool for monitoring surficial effects of the corrosion process.
BOREAS AFM-5 Level-2 Upper Air Network Standard Pressure Level Data
NASA Technical Reports Server (NTRS)
Barr, Alan; Hrynkiw, Charmaine; Hall, Forrest G. (Editor); Newcomer, Jeffrey A. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The BOREAS AFM-5 team collected and processed data from the numerous radiosonde flights during the project. The goals of the AFM-05 team were to provide large-scale definition of the atmosphere by supplementing the existing AES aerological network, both temporally and spatially. This data set includes basic upper-air parameters interpolated at 0.5 kiloPascal increments of atmospheric pressure from data collected from the network of upper-air stations during the 1993, 1994, and 1996 field campaigns over the entire study region. The data are contained in tabular ASCII files. The data files are available on a CD-ROM (see document number 20010000884) or from the Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC).
NASA Astrophysics Data System (ADS)
Reichling, M.; Mikosch, W.
2006-04-01
formation allow the highly resolved measurement of a number of physical properties far beyond the determination of surface topography. The development of techniques allowing atomic resolution dynamic mode imaging in liquids pushes the door open for an atomic precision analysis of biological samples under physiological conditions. In each of these fields, the conference demonstrated cutting-edge results and also provided perspectives for the next steps on the roadmap of NC-AFM towards the development of its full extent. The conference in Bad Essen was made possible by the continuous dedication of the local management and we are most grateful to Frauke Riemann, Joachim Fontaine and the members of the supporting team for the smooth organization. We gratefully appreciate the financial support of the exhibitors, namely Anfatec, HALCYONICS, JEOL, LOT-Oriel, NanoMagnetics, NT-MDT, Omicron, Schaefer Technology, SURFACE, UNISOKU and the local sponsors which enabled us to provide free participation at the conference for ten promising young researchers who had submitted excellent contributions. It was a great pleasure for us to continue our most successful collaboration with Nanotechnology as our partner for the proceedings publication and we would like to thank Ian Forbes and the publishing team for the professional handling of the peer review and all production matters.
Raman, AFM and SNOM high resolution imaging of carotene crystals in a model carrot cell system.
Rygula, Anna; Oleszkiewicz, Tomasz; Grzebelus, Ewa; Pacia, Marta Z; Baranska, Malgorzata; Baranski, Rafal
2018-05-15
Three non-destructive and complementary techniques, Raman imaging, Atomic Force Microscopy and Scanning Near-field Optical Microscopy were used simultaneously to show for the first time chemical and structural differences of carotenoid crystals. Spectroscopic and microscopic scanning probe measurements were applied to the released crystals or to crystals accumulated in a unique, carotenoids rich callus tissue growing in vitro that is considered as a new model system for plant carotenoid research. Three distinct morphological crystal types of various carotenoid composition were identified, a needle-like, rhomboidal and helical. Raman imaging using 532 and 488 nm excitation lines provided evidence that the needle-like and rhomboidal crystals had similar carotenoid composition and that they were composed mainly of β-carotene accompanied by α-carotene. However, the presence of α-carotene was not identified in the helical crystals, which had the characteristic spatial structure. AFM measurements of crystals identified by Raman imaging revealed the crystal topography and showed the needle-like and rhomboidal crystals were planar but they differed in all three dimensions. Combining SNOM and Raman imaging enabled indication of carotenoid rich structures and visualised their distribution in the cell. The morphology of identified subcellular structures was characteristic for crystalline, membraneous and tubular chromoplasts that are plant organelles responsible for carotenoid accumulation in cells. Copyright © 2018 Elsevier B.V. All rights reserved.
Shi, Shaowei; Chen, Xiaofeng; Liu, Xubo; Wu, Xuefei; Liu, Feng; Zhang, Zhi-Guo; Li, Yongfang; Russell, Thomas P; Wang, Dong
2017-07-26
Rapid improvements in nonfullerene polymer solar cells (PSCs) have brought power conversion efficiencies to greater than 12%. To further improve device performance, a fundamental understanding of the correlations between structure and performance is essential. In this paper, based on a typical high-performance system consisting of J61(one donor-acceptor (D-A) copolymer of benzodithiophene and fluorine substituted benzotriazole) and ITIC (3,9-bis(2-methylene-(3-(1,1-dicyanomethylene)-indanone)-5,5,11,11-tetrakis(4-hexylphenyl)-dithieno[2,3-d:2',3'-d']-s-indaceno[1,2-b:5,6-b']-dithiophene), a 3D structural model is directly imaged by employing high-resolution atomic force microscopy (AFM). Hierarchical morphologies ranging from fiberlike crystallites, several nanometers in size, to a bicontinuous morphology, having domains tens of nanometers in size, are observed. A fibrillar interpenetrating networks of J61-rich domains embedded in a matrix comprised of a J61/ITIC is seen, reflecting the partial miscibility of J61 with ITIC. These hierarchical nanostructural characteristics are coupled to significantly enhanced exciton dissociation, and further contribute to photocurrent and final device performance.
Electrical Measurements and Nanomechanics Using Scanning Probe Microscopy
NASA Astrophysics Data System (ADS)
Chang, Yong
2002-10-01
In the early 1980s, G. Binnig et al. invented the Scanning Tunneling Microscopy (STM) [1], making it possible to obtain atomic resolution images of conducting surfaces. After that, many different types of Scanning Probe Microscopy (SPM) were invented and some of the most useful representatives are Atomic Force Microscopy (AFM) [2], Electrostatic Force Microscopy (EFM) [3] and Kelvin Probe Force Microscopy (KPFM) [4,5]. In 1985, G. Binnig et al. [2] invented the AFM, which now is used as a fundamental tool in many fields of research. Developed from AFM, Y. Martin et al. [3] invented EFM in 1987. The development of AC mode AFM allows the detection of weak long-range forces. EFM has also been used to study other systems and phenomena, such as thin liquid films on solid surfaces [6], electrically stressed gold nanowires [7], and spatial charge distribution in quantum wires [8]. In 1991, M. Nonnenmacher et al. [5] invented Kelvin Probe Force Microscopy. KPFM is used to study any property that affects the tip-surface Contact Potential Difference (CPD), such as voltage signals in integrated circuits (IC) [9], charged grain boundaries in polycrystalline silicon [10] and surface potential variations in multilayer semiconductor devices [11]. The aim of this poster is to discuss the application of SPM to electrical measurements. The theory of SPM was presented. The AFM was firstly introduced as it was developed before the other two. The design and theory were discussed. The force-distance curve was introduced. After this EFM was presented. EFM was developed from AC mode AFM. The technique was achieved by applying a DC voltage between the tip and the sample. The design, theory and features of it were surveyed. KPFM was also discussed. KPFM was developed from EFM. The central part of this technique is to measure the CPD. Experimental measurements of SPM were described after theory part. Research work using AFM was presented. The newest technique of AFM, UHV-AFM has been used in
Quantitative force measurements in liquid using frequency modulation atomic force microscopy
NASA Astrophysics Data System (ADS)
Uchihashi, Takayuki; Higgins, Michael J.; Yasuda, Satoshi; Jarvis, Suzanne P.; Akita, Seiji; Nakayama, Yoshikazu; Sader, John E.
2004-10-01
The measurement of short-range forces with the atomic force microscope (AFM) typically requires implementation of dynamic techniques to maintain sensitivity and stability. While frequency modulation atomic force microscopy (FM-AFM) is used widely for high-resolution imaging and quantitative force measurements in vacuum, quantitative force measurements using FM-AFM in liquids have proven elusive. Here we demonstrate that the formalism derived for operation in vacuum can also be used in liquids, provided certain modifications are implemented. To facilitate comparison with previous measurements taken using surface forces apparatus, we choose a model system (octamethylcyclotetrasiloxane) that is known to exhibit short-ranged structural ordering when confined between two surfaces. Force measurements obtained are found to be in excellent agreement with previously reported results. This study therefore establishes FM-AFM as a powerful tool for the quantitative measurement of forces in liquid.
Simulation of CNT-AFM tip based on finite element analysis for targeted probe of the biological cell
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yousefi, Amin Termeh, E-mail: at.tyousefi@gmail.com; Miyake, Mikio, E-mail: miyakejaist@gmail.com; Ikeda, Shoichiro, E-mail: sho16.ikeda@gmail.com
Carbon nanotubes (CNTs) are potentially ideal tips for atomic force microscopy (AFM) due to the robust mechanical properties, nano scale diameter and also their ability to be functionalized by chemical and biological components at the tip ends. This contribution develops the idea of using CNTs as an AFM tip in computational analysis of the biological cell’s. Finite element analysis employed for each section and displacement of the nodes located in the contact area was monitored by using an output database (ODB). This reliable integration of CNT-AFM tip process provides a new class of high performance nanoprobes for single biological cellmore » analysis.« less
AFM Study of Charge Transfer Between Metals Due to the Oxygen Redox Couple in Water
NASA Astrophysics Data System (ADS)
Trombley, Jeremy; Panthani, Tessie; Sankaran, Mohan; Angus, John; Kash, Kathleen
2010-03-01
The oxygen redox couple in an adsorbed water film can pin the Fermi level at the surfaces of diamond, GaN and ZnO.footnotetextV. Chakrapani, C. Pendyala, K. Kash, A. B. Anderson, M. K. Sunkara and J. C. Angus, J. Am. Chem. Soc. 130 (2008) 12944-12952, and ref. 6 therein. We report here preliminary observations of the same phenomenon at metal surfaces. A Pt-coated atomic force microscope (AFM) tip was used to take force-distance measurements on Au, Ag, and Pt surfaces placed in pH-controlled water. The work functions of these surfaces vary over ˜2eV and span the electrochemical potential range of the oxygen redox couple, which varies with pH according to the Nernst equation. Adjusting the pH of the water from 4 to 12 allowed us to change the redox potential energy from -5.42eV to -4.95eV, changing the surface charge and the associated screening charge and modulating the pull-off force. This work has relevance to AFM of many materials in air, and to contact electrification, mechanical friction, and nanoscale corona discharges.
Morales-Rivas, Lucia; González-Orive, Alejandro; Garcia-Mateo, Carlos; Hernández-Creus, Alberto; Caballero, Francisca G.; Vázquez, Luis
2015-01-01
The full understanding of the deformation mechanisms in nanostructured bainite requires the local characterization of its mechanical properties, which are expected to change from one phase, bainitic ferrite, to another, austenite. This study becomes a challenging process due to the bainitic nanostructured nature and high Young’s modulus. In this work, we have carried out such study by means of the combination of AFM-based techniques, such as nanoindentation and Peak Force Quantitative Nanomechanical Mapping (PF-QNM) measurements. We have addressed critically the limits and advantages of these techniques and been able to measure some elastoplastic parameters of both phases. Specifically, we have analyzed by PF-QNM two nanostructured bainitic steels, with a finer and a coarser structure, and found that both phases have a similar Young’s modulus. PMID:26602631
NASA Technical Reports Server (NTRS)
Pusey, Marc Lee; Gorti, Sridhar; Forsythe, Elizabeth; Konnert, John
2002-01-01
Previous high resolution AFM studies of the (110) surface of tetragonal chicken egg white lysozyme crystals had shown that only one of two possible molecular surfaces is present, those constituting the completed 43 helices. These suggested that the crystal growth process was by the solution-phase assembly of the growth units, which then attach to the surface. However, the best fit for the imaged surfaces, vs. those predicted based upon the bulk crystallographic coordinates, were obtained when the packing about the 43 helices was "tightened up", while maintaining the underlying crystallographic unit cell spacing. This results in a widening of the gap between adjacent helices, and the top- most layer(s) may no longer be in contact. We postulated that the tightened packing about the helices is a result of the high salt concentrations in the bulk solution, used to crystallize the protein, driving hydrophobic interactions. Once the crystal surface is sufficiently buried by subsequent growth layers the ratio of salt to protein molecules decreases and the helices relax to their bulk crystallographic coordinates. The crystal surface helix structure is thus a reflection of the solution structure, and the tightness of the packing about the 43 helices would be a function of the bulk salt concentration. AFM images of the (110) surface of tetragonal lysozyme crystals grown under low (2%) and high (5%) NaCl concentrations reveal differences in the packing about the 43 helices consistent with the above proposal.
In situ AFM imaging of apolipoprotein A-I directly derived from plasma HDL.
Gan, Chaoye; Wang, Zhexuan; Chen, Yong
2017-04-01
The major apolipoproteins of plasma lipoproteins play vital roles in the structural integrity and physiological functions of lipoproteins. More than ten structural models of apolipoprotein A-I (apoA-I), the major apolipoprotein of high-density lipoprotein (HDL), have been developed successively. In these models, apoA-I was supposed to organize in a ring-shaped form. To date, however, there is no direct evidence under physiological condition. Here, atomic force microscopy (AFM) was used to in situ visualize the organization of apoA-I, which was exposed via depletion of the lipid component of plasma HDL pre-immobilized on functionalized mica sheets. For the first time, the ring-shaped coarse structure and three detailed structures (crescent-shaped, gapped "O"-shaped, and parentheses-shaped structures, respectively) of apoA-I in plasma HDL, which have the ability of binding scavenger receptors, were directly observed and quantitatively measured by AFM. The three detailed structures probably represent the different extents to which the lipid component of HDL was depleted. Data on lipid depletion of HDL may provide clues to understand lipid insertion of HDL. These data provide important information for the understanding of the structure/maturation of plasma HDL. Moreover, they suggest a powerful method for directly visualizing the major apolipoproteins of plasma lipoproteins or the protein component of lipoprotein-like lipid-protein complexes. Copyright © 2017 Elsevier B.V. All rights reserved.
Connizzo, Brianne K; Grodzinsky, Alan J
2017-03-21
Tendons transmit load from muscle to bone by utilizing their unique static and viscoelastic tensile properties. These properties are highly dependent on the composition and structure of the tissue matrix, including the collagen I hierarchy, proteoglycans, and water. While the role of matrix constituents in the tensile response has been studied, their role in compression, particularly in matrix pressurization via regulation of fluid flow, is not well understood. Injured or diseased tendons and tendon regions that naturally experience compression are known to have alterations in glycosaminoglycan content, which could modulate fluid flow and ultimately mechanical function. While recent theoretical studies have predicted tendon mechanics using poroelastic theory, no experimental data have directly demonstrated such behavior. In this study, we use high-bandwidth AFM-based rheology to determine the dynamic response of tendons to compressive loading at the nanoscale and to determine the presence of poroelastic behavior. Tendons are found to have significant characteristic dynamic relaxation behavior occurring at both low and high frequencies. Classic poroelastic behavior is observed, although we hypothesize that the full dynamic response is caused by a combination of flow-dependent poroelasticity as well as flow-independent viscoelasticity. Tendons also demonstrate regional dependence in their dynamic response, particularly near the junction of tendon and bone, suggesting that the structural and compositional heterogeneity in tendon may be responsible for regional poroelastic behavior. Overall, these experiments provide the foundation for understanding fluid-flow-dependent poroelastic mechanics of tendon, and the methodology is valuable for assessing changes in tendon matrix compressive behavior at the nanoscale. Copyright © 2017 Elsevier Ltd. All rights reserved.
Characterization of surface roughness of laser deposited titanium alloy and copper using AFM
NASA Astrophysics Data System (ADS)
Erinosho, M. F.; Akinlabi, E. T.; Johnson, O. T.
2018-03-01
Laser Metal Deposition (LMD) is the process of using the laser beam of a nozzle to produce a melt pool on a metal surface usually the substrate and metal powder is been deposited into it thereby creating a fusion bond with the substrate to form a new material layer against the force gravity. A good metal laminate is formed when the wettability between the dropping metal powder and the substrate adheres. This paper reports the surface roughness of laser deposited titanium alloy and copper (Ti6Al4V + Cu) using the Atomic Force Microscopy (AFM). This AFM is employed in order to sense the surface and produce different manipulated images using the micro-fabricated mechanical tip under a probe cartridge of high resolution. The process parameters employed during the deposition routine determines the output of the deposit. A careful attention is given to the laser deposited Ti6Al4V + Cu samples under the AFM probe because of their single tracked layers with semi-circular pattern of deposition. This research work can be applicable in the surface modification of laser deposited samples for the marine industry.
NASA Astrophysics Data System (ADS)
Sajjadi, Mohammadreza; Pishkenari, Hossein Nejat; Vossoughi, Gholamreza
2018-06-01
Trolling mode atomic force microscopy (TR-AFM) has resolved many imaging problems by a considerable reduction of the liquid-resonator interaction forces in liquid environments. The present study develops a nonlinear model of the meniscus force exerted to the nanoneedle of TR-AFM and presents an analytical solution to the distributed-parameter model of TR-AFM resonator utilizing multiple time scales (MTS) method. Based on the developed analytical solution, the frequency-response curves of the resonator operation in air and liquid (for different penetration length of the nanoneedle) are obtained. The closed-form analytical solution and the frequency-response curves are validated by the comparison with both the finite element solution of the main partial differential equations and the experimental observations. The effect of excitation angle of the resonator on horizontal oscillation of the probe tip and the effect of different parameters on the frequency-response of the system are investigated.
Adiabatic Compression Sensitivity of AF-M315E
2015-07-01
the current work is to expand the knowledge base from previous experiments completed at AFRL for AF-M315E in stainless steel U-tubes at room...addressed, to some degree, with the use of clamps and a large stainless steel plate to dissipate any major vibrations. A large preheated bath of 50:50 v/v...autocatalytic chain decomposition in the propellant. This exothermic decomposition decreases the fume -off initiation temperature of the propellant and its
Förste, Alexander; Pfirrmann, Marco; Sachs, Johannes; Gröger, Roland; Walheim, Stefan; Brinkmann, Falko; Hirtz, Michael; Fuchs, Harald; Schimmel, Thomas
2015-05-01
There are only few quantitative studies commenting on the writing process in dip-pen nanolithography with lipids. Lipids are important carrier ink molecules for the delivery of bio-functional patters in bio-nanotechnology. In order to better understand and control the writing process, more information on the transfer of lipid material from the tip to the substrate is needed. The dependence of the transferred ink volume on the dwell time of the tip on the substrate was investigated by topography measurements with an atomic force microscope (AFM) that is characterized by an ultra-large scan range of 800 × 800 μm(2). For this purpose arrays of dots of the phospholipid1,2-dioleoyl-sn-glycero-3-phosphocholine were written onto planar glass substrates and the resulting pattern was imaged by large scan area AFM. Two writing regimes were identified, characterized of either a steady decline or a constant ink volume transfer per dot feature. For the steady state ink transfer, a linear relationship between the dwell time and the dot volume was determined, which is characterized by a flow rate of about 16 femtoliters per second. A dependence of the ink transport from the length of pauses before and in between writing the structures was observed and should be taken into account during pattern design when aiming at best writing homogeneity. The ultra-large scan range of the utilized AFM allowed for a simultaneous study of the entire preparation area of almost 1 mm(2), yielding good statistic results.
NASA Astrophysics Data System (ADS)
Förste, Alexander; Pfirrmann, Marco; Sachs, Johannes; Gröger, Roland; Walheim, Stefan; Brinkmann, Falko; Hirtz, Michael; Fuchs, Harald; Schimmel, Thomas
2015-05-01
There are only few quantitative studies commenting on the writing process in dip-pen nanolithography with lipids. Lipids are important carrier ink molecules for the delivery of bio-functional patters in bio-nanotechnology. In order to better understand and control the writing process, more information on the transfer of lipid material from the tip to the substrate is needed. The dependence of the transferred ink volume on the dwell time of the tip on the substrate was investigated by topography measurements with an atomic force microscope (AFM) that is characterized by an ultra-large scan range of 800 × 800 μm2. For this purpose arrays of dots of the phospholipid1,2-dioleoyl-sn-glycero-3-phosphocholine were written onto planar glass substrates and the resulting pattern was imaged by large scan area AFM. Two writing regimes were identified, characterized of either a steady decline or a constant ink volume transfer per dot feature. For the steady state ink transfer, a linear relationship between the dwell time and the dot volume was determined, which is characterized by a flow rate of about 16 femtoliters per second. A dependence of the ink transport from the length of pauses before and in between writing the structures was observed and should be taken into account during pattern design when aiming at best writing homogeneity. The ultra-large scan range of the utilized AFM allowed for a simultaneous study of the entire preparation area of almost 1 mm2, yielding good statistic results.
NASA Astrophysics Data System (ADS)
Fukuma, Takeshi; Mostaert, Anika S.; Serpell, Louise C.; Jarvis, Suzanne P.
2008-09-01
We have investigated the surface structure of islet amyloid polypeptide (IAPP) fibrils and α-synuclein protofibrils in liquid by means of frequency modulation atomic force microscopy (FM-AFM). Ångström-resolution FM-AFM imaging of isolated macromolecules in liquid is demonstrated for the first time. Individual β-strands aligned perpendicular to the fibril axis with a spacing of 0.5 nm are resolved in FM-AFM images, which confirms cross-β structure of IAPP fibrils in real space. FM-AFM images also reveal the existence of 4 nm periodic domains along the axis of IAPP fibrils. Stripe features with 0.5 nm spacing are also found in images of α-synuclein protofibrils. However, in contrast to the case for IAPP fibrils, the stripes are oriented 30° from the axis, suggesting the possibility of β-strand alignment in protofibrils different from that in mature fibrils or the regular arrangement of thioflavin T molecules present during the fibril preparation aligned at the surface of the protofibrils.
NASA Astrophysics Data System (ADS)
Weafer, P. P.; McGarry, J. P.; van Es, M. H.; Kilpatrick, J. I.; Ronan, W.; Nolan, D. R.; Jarvis, S. P.
2012-09-01
Atomic force microscopy (AFM) is widely used in the study of both morphology and mechanical properties of living cells under physiologically relevant conditions. However, quantitative experiments on timescales of minutes to hours are generally limited by thermal drift in the instrument, particularly in the vertical (z) direction. In addition, we demonstrate the necessity to remove all air-liquid interfaces within the system for measurements in liquid environments, which may otherwise result in perturbations in the measured deflection. These effects severely limit the use of AFM as a practical tool for the study of long-term cell behavior, where precise knowledge of the tip-sample distance is a crucial requirement. Here we present a readily implementable, cost effective method of minimizing z-drift and liquid instabilities by utilizing active temperature control combined with a customized fluid cell system. Long-term whole cell mechanical measurements were performed using this stabilized AFM by attaching a large sphere to a cantilever in order to approximate a parallel plate system. An extensive examination of the effects of sphere attachment on AFM data is presented. Profiling of cantilever bending during substrate indentation revealed that the optical lever assumption of free ended cantilevering is inappropriate when sphere constraining occurs, which applies an additional torque to the cantilevers "free" end. Here we present the steps required to accurately determine force-indentation measurements for such a scenario. Combining these readily implementable modifications, we demonstrate the ability to investigate long-term whole cell mechanics by performing strain controlled cyclic deformation of single osteoblasts.
Investigation of Oxidation Profile in PMR-15 Polyimide using Atomic Microscope (AFM)
NASA Technical Reports Server (NTRS)
Meador, Mary Ann B.; Johnson, Lili L.; Eby, R. K.
2002-01-01
Nanoindentation measurements are made on thermosetting materials using cantiever deflection vs. piezoelectric scanner position behavior determined by AFM. The spring model is used to determine mechanical properties of materials. The generalized Sneddon's equation is utilized to calculate Young's moduli for thermosetting materials at ambient conditions. Our investigations show that the force-penetration depth curves during unloading in these materials can be described accurately by a power law relationship. The results show that the accuracy of the measurements can be controlled within 7%. The above method is used to study oxidation profiles in Pl\\1R-15 polyimide. The thermo-mechanical profiles ofPNIR-15 indicate that the elastic modulus at the surface portion of the specimen is different from that at the interior of the material. It is also shown that there are two zones within the oxidized portion of the samples. Results confirm that the surface layer and the core material have substantially different properties.
Cell mechanics as a marker for diseases: Biomedical applications of AFM
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rianna, Carmela; Radmacher, Manfred, E-mail: mr@biophysik.uni-bremen.de
Many diseases are related to changes in cell mechanics. Atomic Force Microscopy (AFM) is one of the most suitable techniques allowing the investigation of both topography and mechanical properties of adherent cells with high spatial resolution under physiological conditions. Over the years the use of this technique in medical and clinical applications has largely increased, resulting in the notion of cell mechanics as a biomarker to discriminate between different physiological and pathological states of cells. Cell mechanics has proven to be a biophysical fingerprint able discerning between cell phenotypes, unraveling processes in aging or diseases, or even detecting and diagnosingmore » cellular pathologies. We will review in this report some of the works on cell mechanics investigated by AFM with clinical and medical relevance in order to clarify the state of research in this field and to highlight the role of cell mechanics in the study of pathologies, focusing on cancer, blood and cardiovascular diseases.« less
NASA Astrophysics Data System (ADS)
Sangeetha, Neralagatta M.; Moutet, Pierre; Lagarde, Delphine; Sallen, Gregory; Urbaszek, Bernhard; Marie, Xavier; Viau, Guillaume; Ressier, Laurence
2013-09-01
Formation of 3D close-packed assemblies of upconverting NaYF4 colloidal nanocrystals (NCs) on surfaces, by Atomic Force Microscopy (AFM) nanoxerography is presented. The surface potential of the charge patterns, the NC concentration, the polarizability of the NCs and the polarity of the dispersing solvent are identified as the key parameters controlling the assembly of NaYF4 NCs into micropatterns of the desired 3D architecture. This insight allowed us to fabricate micrometer sized Quick Response (QR) codes encoded in terms of upconversion luminescence intensity or color. Topographically hidden messages could also be readily incorporated within these microtags. This work demonstrates that AFM nanoxerography has enormous potential for generating high-security anti-counterfeiting microtags.Formation of 3D close-packed assemblies of upconverting NaYF4 colloidal nanocrystals (NCs) on surfaces, by Atomic Force Microscopy (AFM) nanoxerography is presented. The surface potential of the charge patterns, the NC concentration, the polarizability of the NCs and the polarity of the dispersing solvent are identified as the key parameters controlling the assembly of NaYF4 NCs into micropatterns of the desired 3D architecture. This insight allowed us to fabricate micrometer sized Quick Response (QR) codes encoded in terms of upconversion luminescence intensity or color. Topographically hidden messages could also be readily incorporated within these microtags. This work demonstrates that AFM nanoxerography has enormous potential for generating high-security anti-counterfeiting microtags. Electronic supplementary information (ESI) available: Detailed experimental procedures for the synthesis of upconverting NaYF4 nanocrystals and their transmission electron microscopy images. KFM and AFM images corresponding to the assembly of positively charged β-NaYF4:Er3+,Yb3+ nanocrystals from water suspensions by AFM nanoxerography. Photoluminescence spectra of β-NaYF4:Er3+,Yb3+ nanocrystals
Combining complexity measures of EEG data: multiplying measures reveal previously hidden information
Burns, Thomas; Rajan, Ramesh
2015-01-01
Many studies have noted significant differences among human electroencephalograph (EEG) results when participants or patients are exposed to different stimuli, undertaking different tasks, or being affected by conditions such as epilepsy or Alzheimer's disease. Such studies often use only one or two measures of complexity and do not regularly justify their choice of measure beyond the fact that it has been used in previous studies. If more measures were added to such studies, however, more complete information might be found about these reported differences. Such information might be useful in confirming the existence or extent of such differences, or in understanding their physiological bases. In this study we analysed publically-available EEG data using a range of complexity measures to determine how well the measures correlated with one another. The complexity measures did not all significantly correlate, suggesting that different measures were measuring unique features of the EEG signals and thus revealing information which other measures were unable to detect. Therefore, the results from this analysis suggests that combinations of complexity measures reveal unique information which is in addition to the information captured by other measures of complexity in EEG data. For this reason, researchers using individual complexity measures for EEG data should consider using combinations of measures to more completely account for any differences they observe and to ensure the robustness of any relationships identified. PMID:26594331
Burns, Thomas; Rajan, Ramesh
2015-01-01
Many studies have noted significant differences among human electroencephalograph (EEG) results when participants or patients are exposed to different stimuli, undertaking different tasks, or being affected by conditions such as epilepsy or Alzheimer's disease. Such studies often use only one or two measures of complexity and do not regularly justify their choice of measure beyond the fact that it has been used in previous studies. If more measures were added to such studies, however, more complete information might be found about these reported differences. Such information might be useful in confirming the existence or extent of such differences, or in understanding their physiological bases. In this study we analysed publically-available EEG data using a range of complexity measures to determine how well the measures correlated with one another. The complexity measures did not all significantly correlate, suggesting that different measures were measuring unique features of the EEG signals and thus revealing information which other measures were unable to detect. Therefore, the results from this analysis suggests that combinations of complexity measures reveal unique information which is in addition to the information captured by other measures of complexity in EEG data. For this reason, researchers using individual complexity measures for EEG data should consider using combinations of measures to more completely account for any differences they observe and to ensure the robustness of any relationships identified.
Multi-frequency data analysis in AFM by wavelet transform
NASA Astrophysics Data System (ADS)
Pukhova, V.; Ferrini, G.
2017-10-01
Interacting cantilevers in AFM experiments generate non-stationary, multi-frequency signals consisting of numerous excited flexural and torsional modes and their harmonics. The analysis of such signals is challenging, requiring special methodological approaches and a powerful mathematical apparatus. The most common approach to the signal analysis is to apply Fourier transform analysis. However, FT gives accurate spectra for stationary signals, and for signals changing their spectral content over time, FT provides only an averaged spectrum. Hence, for non-stationary and rapidly varying signals, such as those from interacting cantilevers, a method that shows the spectral evolution in time is needed. One of the most powerful techniques, allowing detailed time-frequency representation of signals, is the wavelet transform. It is a method of analysis that allows representation of energy associated to the signal at a particular frequency and time, providing correlation between the spectral and temporal features of the signal, unlike FT. This is particularly important in AFM experiments because signals nonlinearities contains valuable information about tip-sample interactions and consequently surfaces properties. The present work is aimed to show the advantages of wavelet transform in comparison with FT using as an example the force curve analysis in dynamic force spectroscopy.
KPFM/AFM imaging on TiO2(110) surface in O2 gas
NASA Astrophysics Data System (ADS)
Arima, Eiji; Wen, Huan Fei; Naitoh, Yoshitaka; Li, Yan Jun; Sugawara, Yasuhiro
2018-03-01
We have carried out high-speed imaging of the topography and local contact potential difference (LCPD) on rutile TiO2(110) in O2 gas by atomic force microscopy (AFM) and Kelvin probe force microscopy (KPFM). We succeeded in KPFM/AFM imaging with atomic resolution at 1 frame min-1 and observed the adsorbate on a hydroxylated TiO2(110) surface. The observed adsorbate is considered to be oxygen adatoms (Oa), hydroperoxyls (HO2), or terminal hydroxyls (OHt). After adsorption, changes in the topography and the LCPD of the adsorbate were observed. This phenomenon is thought to be caused by the charge transfer of the adsorbate. This technique has the potential to observe catalytic behavior with atomic resolution.
Reid, Obadiah G; Munechika, Keiko; Ginger, David S
2008-06-01
We describe local (~150 nm resolution), quantitative measurements of charge carrier mobility in conjugated polymer films that are commonly used in thin-film transistors and nanostructured solar cells. We measure space charge limited currents (SCLC) through these films using conductive atomic force microscopy (c-AFM) and in macroscopic diodes. The current densities we measure with c-AFM are substantially higher than those observed in planar devices at the same bias. This leads to an overestimation of carrier mobility by up to 3 orders of magnitude when using the standard Mott-Gurney law to fit the c-AFM data. We reconcile this apparent discrepancy between c-AFM and planar device measurements by accounting for the proper tip-sample geometry using finite element simulations of tip-sample currents. We show that a semiempirical scaling factor based on the ratio of the tip contact area diameter to the sample thickness can be used to correct c-AFM current-voltage curves and thus extract mobilities that are in good agreement with values measured in the conventional planar device geometry.
Experimentally validated 3D MD model for AFM-based tip-based nanomanufacturing
NASA Astrophysics Data System (ADS)
Promyoo, Rapeepan
In order to control AFM-based TBN to produce precise nano-geometry efficiently, there is a need to conduct a more focused study of the effects of different parameters, such as feed, speed, and depth of cut on the process performance and outcome. This is achieved by experimentally validating a MD simulation model of nanomachining, and using it to conduct parametric studies to guide AFM-based TBN. A 3D MD model with a larger domain size was developed and used to gain a unique insight into the nanoindentation and nanoscratching processes such as the effect of tip speed (e.g. effect of tip speed on indentation force above 10 nm of indentation depth). The model also supported a more comprehensive parametric study (than other published work) in terms of number of parameters and ranges of values investigated, as well as a more cost effective design of experiments. The model was also used to predict material properties at the nanoscale (e.g. hardness of gold predicted within 6% error). On the other hand, a comprehensive experimental parametric study was conducted to produce a database that is used to select proper machining conditions for guiding the fabrication of nanochannels (e.g. scratch rate = 0.996 Hz, trigger threshold = 1 V, for achieving a nanochannel depth = 50 nm for the case of gold device). Similar trends for the variation of indentation force with depth of cut, pattern of the material pile-up around the indentation mark or scratched groove were found. The parametric studies conducted using both MD model simulations and AFM experiments showed the following: Normal forces for both nanoindentation and nanoscratching increase as the depth of cut increases. The indentation depth increases with tip speed, but the depth of scratch decrease with increasing tip speed. The width and depth of scratched groove also depend on the scratch angle. The recommended scratch angle is at 90°. The surface roughness increases with step over, especially when the step over is larger
NASA Astrophysics Data System (ADS)
Wagner, Ryan; Killgore, Jason P.; Tung, Ryan C.; Raman, Arvind; Hurley, Donna C.
2015-01-01
Contact resonance atomic force microscopy (CR-AFM) methods currently utilize the eigenvalues, or resonant frequencies, of an AFM cantilever in contact with a surface to quantify local mechanical properties. However, the cantilever eigenmodes, or vibrational shapes, also depend strongly on tip-sample contact stiffness. In this paper, we evaluate the potential of eigenmode measurements for improved accuracy and sensitivity of CR-AFM. We apply a recently developed, in situ laser scanning method to experimentally measure changes in cantilever eigenmodes as a function of tip-sample stiffness. Regions of maximum sensitivity for eigenvalues and eigenmodes are compared and found to occur at different values of contact stiffness. The results allow the development of practical guidelines for CR-AFM experiments, such as optimum laser spot positioning for different experimental conditions. These experiments provide insight into the complex system dynamics that can affect CR-AFM and lay a foundation for enhanced nanomechanical measurements with CR-AFM.
Dyda, Amalie; Stelzer-Braid, Sacha; Adam, Dillon; Chughtai, Abrar A; MacIntyre, C Raina
2018-01-01
BackgroundEnterovirus D68 (EV-D68) has historically been a sporadic disease, causing occasional small outbreaks of generally mild infection. In recent years, there has been evidence of an increase in EV-D68 infections globally. Large outbreaks of EV-D68, with thousands of cases, occurred in the United States, Canada and Europe in 2014. The outbreaks were associated temporally and geographically with an increase in clusters of acute flaccid myelitis (AFM). Aims: We aimed to evaluate a causal association between EV-D68 and AFM. Methods: Using data from the published and grey literature, we applied the Bradford Hill criteria, a set of nine principles applied to examine causality, to evaluate the relationship between EV-D68 and AFM. Based on available evidence, we defined the Bradford Hill Criteria as being not met, or met minimally, partially or fully. Results: Available evidence applied to EV-D68 and AFM showed that six of the Bradford Hill criteria were fully met and two were partially met. The criterion of biological gradient was minimally met. The incidence of EV-D68 infections is increasing world-wide. Phylogenetic epidemiology showed diversification from the original Fermon and Rhyne strains since the year 2000, with evolution of a genetically distinct outbreak strain, clade B1. Clade B1, but not older strains, is associated with AFM and is neuropathic in animal models. Conclusion: While more research is needed on dose-response relationship, application of the Bradford Hill criteria supported a causal relationship between EV-D68 and AFM.
NASA Astrophysics Data System (ADS)
Shindo, H.; Kaise, M.; Kondoh, H.; Nishihara, C.; Nozoye, H.
Structures of cleaved surfaces of anhydrite were studied with atomic force microscopy (AFM) before and after partial dissolution in aqueous solutions of NH 4Cl and NaHSO 4. Two crystal faces showed atom-resolved images just after cleavage, (100) and (010), of which the former was roughened by the dissolution, while step structures were developed on the latter. After dissolution, steplines ran along the a- and c-axes on the (010) face, while they ran in directions inclined to these axes before. It was revealed that the arrangement of dipoles is a key factor in determining stabilities of step structures on crystal faces. On the terraces, the arrangement of oxygen atoms of the sulfate groups and calcium ions were clearly observed.
Kochan, Kamila; Peng, Huadong; Wood, Bayden R; Haritos, Victoria S
2018-01-01
Biodiesel is a valuable renewable fuel made from derivatized fatty acids produced in plants, animals, and oleaginous microbes. Of the latter, yeasts are of special interest due to their wide use in biotechnology, ability to synthesize fatty acids and store large amounts of triacylglycerols while utilizing non-food carbon sources. While yeast efficiently produce lipids, genetic modification and indeed, lipid pathway metabolic engineering, is usually required for cost-effective production. Traditionally, gas chromatography (GC) is used to measure fatty acid production and to track the success of a metabolic engineering strategy in a microbial culture; here we have employed vibrational spectroscopy approaches at population and single cell level of engineered yeast while simultaneously investigating metabolite levels in subcellular structures. Firstly, a strong correlation ( r 2 > 0.99) was established between Fourier transform infrared (FTIR) lipid in intact cells and GC analysis of fatty acid methyl esters in the differently engineered strains. Confocal Raman spectroscopy of individual cells carrying genetic modifications to enhance fatty acid synthesis and lipid accumulation revealed changes to the lipid body (LB), the storage organelle for lipids in yeast, with their number increasing markedly (up to tenfold higher); LB size was almost double in the strain that also expressed a LB stabilizing gene but considerable variation was also noted between cells. Raman spectroscopy revealed a clear trend toward reduced unsaturated fatty acid content in lipids of cells carrying more complex metabolic engineering. Atomic force microscopy-infrared spectroscopy (AFM-IR) analysis of individual cells indicated large differences in subcellular constituents between strains: cells of the most highly engineered strain had elevated lipid and much reduced carbohydrate in their cytoplasm compared with unmodified cells. Vibrational spectroscopy analysis allowed the simultaneous
Hain, Nicole; Wesner, Daniel; Druzhinin, Sergey I; Schönherr, Holger
2016-11-01
The impact of surface treatment and modification on surface nanobubble nucleation in water has been addressed by a new combination of fluorescence lifetime imaging microscopy (FLIM) and atomic force microscopy (AFM). In this study, rhodamine 6G (Rh6G)-labeled surface nanobubbles nucleated by the ethanol-water exchange were studied on differently cleaned borosilicate glass, silanized glass as well as self-assembled monolayers on transparent gold by combined AFM-FLIM. While the AFM data confirmed earlier reports on surface nanobubble nucleation, size, and apparent contact angles in dependence of the underlying substrate, the colocalization of these elevated features with highly fluorescent features observed in confocal intensity images added new information. By analyzing the characteristic contributions to the excited state lifetime of Rh6G in decay curves obtained from time-correlated single photon counting (TCSPC) experiments, the characteristic short-lived (<600 ps) component of could be associated with an emission at the gas-water interface. Its colocalization with nanobubble-like features in the AFM height images provides evidence for the observation of gas-filled surface nanobubbles. While piranha-cleaned glass supported nanobubbles, milder UV-ozone or oxygen plasma treatment afforded glass-water interfaces, where no nanobubbles were observed by combined AFM-FLIM. Finally, the number density of nanobubbles scaled inversely with increasing surface hydrophobicity.
AFM surface imaging of AISI D2 tool steel machined by the EDM process
NASA Astrophysics Data System (ADS)
Guu, Y. H.
2005-04-01
The surface morphology, surface roughness and micro-crack of AISI D2 tool steel machined by the electrical discharge machining (EDM) process were analyzed by means of the atomic force microscopy (AFM) technique. Experimental results indicate that the surface texture after EDM is determined by the discharge energy during processing. An excellent machined finish can be obtained by setting the machine parameters at a low pulse energy. The surface roughness and the depth of the micro-cracks were proportional to the power input. Furthermore, the AFM application yielded information about the depth of the micro-cracks is particularly important in the post treatment of AISI D2 tool steel machined by EDM.
NASA Astrophysics Data System (ADS)
Sader, John E.; Uchihashi, Takayuki; Higgins, Michael J.; Farrell, Alan; Nakayama, Yoshikazu; Jarvis, Suzanne P.
2005-03-01
Use of the atomic force microscope (AFM) in quantitative force measurements inherently requires a theoretical framework enabling conversion of the observed deflection properties of the cantilever to an interaction force. In this paper, the theoretical foundations of using frequency modulation atomic force microscopy (FM-AFM) in quantitative force measurements are examined and rigorously elucidated, with consideration being given to both 'conservative' and 'dissipative' interactions. This includes a detailed discussion of the underlying assumptions involved in such quantitative force measurements, the presentation of globally valid explicit formulae for evaluation of so-called 'conservative' and 'dissipative' forces, discussion of the origin of these forces, and analysis of the applicability of FM-AFM to quantitative force measurements in liquid.
BOREAS AFM-6 Boundary Layer Height Data
NASA Technical Reports Server (NTRS)
Wilczak, James; Hall, Forrest G. (Editor); Newcomer, Jeffrey A. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-6 team from National Oceanic and Atmospheric Adminsitration/Environment Technology Laboratory (NOAA/ETL) operated a 915-MHz wind/Radio Acoustic Sounding System (RASS) profiler system in the Southern Study Area (SSA) near the Old Jack Pine (OJP) site. This data set provides boundary layer height information over the site. The data were collected from 21 May 1994 to 20 Sep 1994 and are stored in tabular ASCII files. The boundary layer height data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files are available on a CD-ROM (see document number 20010000884).
Surface study of irradiated sapphires from Phrae Province, Thailand using AFM
NASA Astrophysics Data System (ADS)
Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.
2017-09-01
The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.
Cumurcu, Aysegul; Diaz, Jordi; Lindsay, Ian D; de Beer, Sissi; Duvigneau, Joost; Schön, Peter; Julius Vancso, G
2015-03-01
Tip-enhanced nanoscale optical imaging techniques such as apertureless scanning near-field optical microscopy (a-SNOM) and scanning near-field ellipsometric microscopy (SNEM) applications can suffer from a steady degradation in performance due to adhesion of atmospheric contaminants to the metal coated tip. Here, we demonstrate that a self-assembled monolayer (SAM) of ethanethiol (EtSH) is an effective means of protecting gold-coated atomic force microscopy (AFM) probe tips from accumulation of surface contaminants during prolonged exposure to ambient air. The period over which they yield consistent and reproducible results for scanning near-field ellipsometric microscopy (SNEM) imaging is thus extended. SNEM optical images of a microphase separated polystyrene-block-poly (methylmethacrylate) (PS-b-PMMA) diblock copolymer film, which were captured with bare and SAM-protected gold-coated AFM probes, both immediately after coating and following five days of storage in ambient air, were compared. During this period the intensity of the optical signals from the untreated gold tip fell by 66%, while those from the SAM protected tip fell by 14%. Additionally, gold coated AFM probe tips were modified with various lengths of alkanethiols to measure the change in intensity variation in the optical images with SAM layer thickness. The experimental results were compared to point dipole model calculations. While a SAM of 1-dodecanethiol (DoSH) was found to strongly suppress field enhancement we find that it can be locally removed from the tip apex by deforming the molecules under load, restoring SNEM image contrast. Copyright © 2014 Elsevier B.V. All rights reserved.
Wang, Weina; Xu, Yisheng; Backes, Sebastian; Li, Ang; Micciulla, Samantha; Kayitmazer, A Basak; Li, Li; Guo, Xuhong; von Klitzing, Regine
2016-04-12
Biomimetic multilayers based on layer-by-layer (LbL) assembly were prepared as functional films with compact structure by incorporating the mussel-inspired catechol cross-linking. Dopamine-modified poly(acrylic acid) (PAADopa) was synthesized as a polyanion to offer electrostatic interaction with the prelayer polyethylenimine (PEI) and consecutively cross-linked by zinc to generate compact multilayers with tunable physicochemical properties. In situ layer-by-layer growth and cross-linking were monitored by a quartz crystal microbalance with dissipation (QCM-D) to reveal the kinetics of the process and the influence of Dopa chemistry. Addition of Dopa enhanced the mass adsorption and led to the formation of a more compact structure. An increase of ionic strength induced an increase in mass adsorption in the Dopa-cross-linked multilayers. This is a universal approach for coating of various surfaces such as Au, SiO2, Ti, and Al2O3. Roughness observed by AFM in both wet and dry conditions was compared to confirm the compact morphology of Dopa-cross-linked multilayers. Because of the pH sensitivity of Dopa moiety, metal-chelated Dopa groups can be turned into softer structure at higher pH as revealed by reduction of Young's modulus determined by MFP-3D AFM. A deeper insight into the growth and mechanical properties of Dopa-cross-linked polyelectrolyte multilayers was addressed in the present study. This allows a better control of these systems for bioapplications.
A novel AFM-based 5-axis nanoscale machine tool for fabrication of nanostructures on a micro ball
NASA Astrophysics Data System (ADS)
Geng, Yanquan; Wang, Yuzhang; Yan, Yongda; Zhao, Xuesen
2017-11-01
This paper presents a novel atomic force microscopy (AFM)-based 5-axis nanoscale machine tool developed to fabricate nanostructures on different annuli of the micro ball. Different nanostructures can be obtained by combining the scratching trajectory of the AFM tip with the movement of the high precision air-bearing spindle. The center of the micro ball is aligned to be coincided with the gyration center of the high precision to guarantee the machining process during the rotating of the air-bearing spindle. Processing on different annuli of the micro ball is achieved by controlling the distance between the center of the micro ball and the rotation center of the AFM head. Nanostructures including square cavities, circular cavities, triangular cavities, and an annular nanochannel are machined successfully on the three different circumferences of a micro ball with a diameter of 1500 μm. Moreover, the influences of the error motions of the high precision air-bearing spindle and the eccentric between the micro ball and the gyration center of the high precision air-bearing spindle on the processing position error on the micro ball are also investigated. This proposed machining method has the potential to prepare the inertial confinement fusion target with the expected dimension defects, which would advance the application of the AFM tip-based nanomachining approach.
Dyda, Amalie; Stelzer-Braid, Sacha; Adam, Dillon; Chughtai, Abrar A; MacIntyre, C Raina
2018-01-01
Background Enterovirus D68 (EV-D68) has historically been a sporadic disease, causing occasional small outbreaks of generally mild infection. In recent years, there has been evidence of an increase in EV-D68 infections globally. Large outbreaks of EV-D68, with thousands of cases, occurred in the United States, Canada and Europe in 2014. The outbreaks were associated temporally and geographically with an increase in clusters of acute flaccid myelitis (AFM). Aims: We aimed to evaluate a causal association between EV-D68 and AFM. Methods: Using data from the published and grey literature, we applied the Bradford Hill criteria, a set of nine principles applied to examine causality, to evaluate the relationship between EV-D68 and AFM. Based on available evidence, we defined the Bradford Hill Criteria as being not met, or met minimally, partially or fully. Results: Available evidence applied to EV-D68 and AFM showed that six of the Bradford Hill criteria were fully met and two were partially met. The criterion of biological gradient was minimally met. The incidence of EV-D68 infections is increasing world-wide. Phylogenetic epidemiology showed diversification from the original Fermon and Rhyne strains since the year 2000, with evolution of a genetically distinct outbreak strain, clade B1. Clade B1, but not older strains, is associated with AFM and is neuropathic in animal models. Conclusion: While more research is needed on dose–response relationship, application of the Bradford Hill criteria supported a causal relationship between EV-D68 and AFM. PMID:29386095
A study of phase defect measurement on EUV mask by multiple detectors CD-SEM
NASA Astrophysics Data System (ADS)
Yonekura, Isao; Hakii, Hidemitsu; Morisaki, Shinya; Murakawa, Tsutomu; Shida, Soichi; Kuribara, Masayuki; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki
2013-06-01
We have studied MVM (Multi Vision Metrology) -SEM® E3630 to measure 3D shape of defects. The four detectors (Detector A, B, C and D) are independently set up in symmetry for the primary electron beam axis. Signal processing of four direction images enables not only 2D (width) measurement but also 3D (height) measurement. At last PMJ, we have investigated the relation between the E3630's signal of programmed defect on MoSi-HT and defect height measured by AFM (Atomic Force Microscope). It was confirmed that height of integral profile by this tool is correlated with AFM. It was tested that E3630 has capability of observing multilayer defect on EUV. We have investigated correlation with AFM of width and depth or height of multilayer defect. As the result of observing programmed defects, it was confirmed that measurement result by E3630 is well correlated with AFM. And the function of 3D view image enables to show nm order defect.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Labuda, Aleksander; Proksch, Roger
An ongoing challenge in atomic force microscope (AFM) experiments is the quantitative measurement of cantilever motion. The vast majority of AFMs use the optical beam deflection (OBD) method to infer the deflection of the cantilever. The OBD method is easy to implement, has impressive noise performance, and tends to be mechanically robust. However, it represents an indirect measurement of the cantilever displacement, since it is fundamentally an angular rather than a displacement measurement. Here, we demonstrate a metrological AFM that combines an OBD sensor with a laser Doppler vibrometer (LDV) to enable accurate measurements of the cantilever velocity and displacement.more » The OBD/LDV AFM allows a host of quantitative measurements to be performed, including in-situ measurements of cantilever oscillation modes in piezoresponse force microscopy. As an example application, we demonstrate how this instrument can be used for accurate quantification of piezoelectric sensitivity—a longstanding goal in the electromechanical community.« less
Wu, Heng-Liang; Tong, Yujin; Peng, Qiling; Li, Na; Ye, Shen
2016-01-21
The phase transition behaviors of a supported bilayer of dipalmitoylphosphatidyl-choline (DPPC) have been systematically evaluated by in situ sum frequency generation (SFG) vibrational spectroscopy and atomic force microscopy (AFM). By using an asymmetric bilayer composed of per-deuterated and per-protonated monolayers, i.e., DPPC-d75/DPPC and a symmetric bilayer of DPPC/DPPC, we were able to probe the molecular structural changes during the phase transition process of the lipid bilayer by SFG spectroscopy. It was found that the DPPC bilayer is sequentially melted from the top (adjacent to the solution) to bottom leaflet (adjacent to the substrate) over a wide temperature range. The conformational ordering of the supported bilayer does not decrease (even slightly increases) during the phase transition process. The conformational defects in the bilayer can be removed after the complete melting process. The phase transition enthalpy for the bottom leaflet was found to be approximately three times greater than that for the top leaflet, indicating a strong interaction of the lipids with the substrate. The present SFG and AFM observations revealed similar temperature dependent profiles. Based on these results, the temperature-induced structural changes in the supported lipid bilayer during its phase transition process are discussed in comparison with previous studies.
Helfricht, Nicolas; Mark, Andreas; Behr, Marina; Bernet, Andreas; Schmidt, Hans-Werner; Papastavrou, Georg
2017-08-01
Hydrogels have many applications in biomedical surface modification and tissue engineering. However, the structuring of hydrogels after their formation represents still a major challenge, in particular due to their softness. Here, a novel approach is presented that is based on the combination of atomic force microscopy (AFM) and nanofluidics, also referred to as FluidFM technology. Its applicability is demonstrated for supramolecular hydrogel films that are prepared from low-molecular weight hydrogelators, such as derivates of 1,3,5-benzene tricarboxamides (BTAs). BTA films can be dissolved selectively by ejecting alkaline solution through the aperture of a hollow AFM-cantilever connected to a nanofluidic controller. The AFM-based force control is essential in preventing mechanical destruction of the hydrogels. The resulting "chemical writing" process is studied in detail and the influence of various parameters, such as applied pressure and time, is validated. It is demonstrated that the achievable structuring precision is primarily limited by diffusion and the aperture dimensions. Recently, various additive techniques have been presented to pattern hydrogels. The here-presented subtractive approach can not only be applied to structure hydrogels from the large class of reversibly formed gels with superior resolution but would also allow for the selective loading of the hydrogels with active substances or nanoparticles. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Zhang, Han; Xiao, Lifu; Li, Qifei; Qi, Xiaojun; Zhou, Anhong
2018-03-01
Raman spectroscopy has been playing an increasingly significant role for cell classification. Here, we introduce a novel microfluidic chip for non-invasive Raman cell natural fingerprint collection. Traditional Raman spectroscopy measurement of the cells grown in a Polydimethylsiloxane (PDMS) based microfluidic device suffers from the background noise from the substrate materials of PDMS when intended to apply as an in vitro cell assay. To overcome this disadvantage, the current device is designed with a middle layer of PDMS layer sandwiched by two MgF 2 slides which minimize the PDMS background signal in Raman measurement. Three cancer cell lines, including a human lung cancer cell A549, and human breast cancer cell lines MDA-MB-231 and MDA-MB-231/BRMS1, were cultured in this microdevice separately for a period of three days to evaluate the biocompatibility of the microfluidic system. In addition, atomic force microscopy (AFM) was used to measure the Young's modulus and adhesion force of cancer cells at single cell level. The AFM results indicated that our microchannel environment did not seem to alter the cell biomechanical properties. The biochemical responses of cancer cells exposed to anti-cancer drug doxorubicin (DOX) up to 24 h were assessed by Raman spectroscopy. Principal component analysis over the Raman spectra indicated that cancer cells untreated and treated with DOX can be distinguished. This PDMS microfluidic device offers a non-invasive and reusable tool for in vitro Raman measurement of living cells, and can be potentially applied for anti-cancer drug screening.
Single cell active force generation under dynamic loading - Part I: AFM experiments.
Weafer, P P; Reynolds, N H; Jarvis, S P; McGarry, J P
2015-11-01
A novel series of experiments are performed on single cells using a bespoke AFM system where the response of cells to dynamic loading at physiologically relevant frequencies is uncovered. Measured forces for the untreated cells are dramatically different to cytochalasin-D (cyto-D) treated cells, indicating that the contractile actin cytoskeleton plays a critical role in the response of cells to dynamic loading. Following a change in applied strain magnitude, while maintaining a constant applied strain rate, the compression force for contractile cells recovers to 88.9±7.8% of the steady state force. In contrast, cyto-D cell compression forces recover to only 38.0±6.7% of the steady state force. Additionally, untreated cells exhibit strongly negative (pulling) forces during unloading half-cycles when the probe is retracted. In comparison, negligible pulling forces are measured for cyto-D cells during probe retraction. The current study demonstrates that active contractile forces, generated by actin-myosin cross-bridge cycling, dominate the response of single cells to dynamic loading. Such active force generation is shown to be independent of applied strain magnitude. Passive forces generated by the applied deformation are shown to be of secondary importance, exhibiting a high dependence on applied strain magnitude, in contrast to the active forces in untreated cells. A novel series of experiments are performed on single cells using a bespoke AFM system where the response of cells to dynamic loading at physiologically relevant frequencies is uncovered. Contractile cells, which contain the active force generation machinery of the actin cytoskeleton, are shown to be insensitive to applied strain magnitude, exhibiting high resistance to dynamic compression and stretching. Such trends are not observed for cells in which the actin cytoskeleton has been chemically disrupted. These biomechanical insights have not been previously reported. This detailed characterisation of
DOE Office of Scientific and Technical Information (OSTI.GOV)
Babic, Bakir, E-mail: bakir.babic@measurement.gov.au; Lawn, Malcolm A.; Coleman, Victoria A.
The results of systematic height measurements of polystyrene (PS) nanoparticles using intermittent contact amplitude modulation atomic force microscopy (IC-AM-AFM) are presented. The experimental findings demonstrate that PS nanoparticles deform during AFM imaging, as indicated by a reduction in the measured particle height. This deformation depends on the IC-AM-AFM imaging parameters, material composition, and dimensional properties of the nanoparticles. A model for nanoparticle deformation occurring during IC-AM-AFM imaging is developed as a function of the peak force which can be calculated for a particular set of experimental conditions. The undeformed nanoparticle height can be estimated from the model by extrapolation tomore » zero peak force. A procedure is proposed to quantify and minimise nanoparticle deformation during IC-AM-AFM imaging, based on appropriate adjustments of the experimental control parameters.« less
Characterization of Cr/6H-SiC(0 0 0 1) nano-contacts by current-sensing AFM
NASA Astrophysics Data System (ADS)
Grodzicki, Miłosz; Smolarek, Szymon; Mazur, Piotr; Zuber, Stefan; Ciszewski, Antoni
2009-11-01
The electrical properties and interface chemistry of Cr/6H-SiC(0 0 0 1) contacts have been studied by current-sensing atomic force microscopy (CS-AFM) and X-ray photoelectron spectroscopy (XPS). Cr layers were vapor deposited under ultrahigh vacuum onto both ex situ etched in H 2 and in situ Ar + ion-bombarded samples. The Cr/SiC contacts are electrically non-uniform. Both the measured I- V characteristics and the modeling calculations enabled to estimate changes of the Schottky barrier height caused by Ar + bombardment. Formation of ohmic nano-contacts on Ar +-bombarded surfaces was observed.
BOREAS AFM-06 Mean Wind Profile Data
NASA Technical Reports Server (NTRS)
Wilczak, James; Hall, Forrest G. (Editor); Newcomer, Jeffrey A. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-6 team from the National Oceanic and Atmospheric Administration/Environment Technology Laboratory (NOAA/ETL) operated a 915-MHz wind/Radio Acoustic Sounding System (RASS) profiler system in the Southern Study Area (SSA) near the Old Jack Pine (OJP) tower from 21 May 1994 to 20 Sep 1994. The data set provides wind profiles at 38 heights, containing the variables of wind speed; wind direction; and the u-, v-, and w-components of the total wind. The data are stored in tabular ASCII files. The mean wind profile data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files are available on a CD-ROM (see document number 20010000884).
BOREAS AFM-06 Mean Temperature Profile Data
NASA Technical Reports Server (NTRS)
Wilczak, James; Hall, Forrest G. (Editor); Newcomer, Jeffrey A. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-6 team from the National Oceanic and Atmospheric Adminsitration/Environment Technology Laboratory (NOAA/ETL) operated a 915-MHz wind/Radio Acoustic Sounding System (RASS) profiler system in the Southern Study Area (SSA) near the Old Jack Pine (OJP) tower from 21 May 1994 to 20 Sep 1994. The data set provides temperature profiles at 15 heights, containing the variables of virtual temperature, vertical velocity, the speed of sound, and w-bar. The data are stored in tabular ASCII files. The mean temperature profile data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files are available on a CD-ROM (see document number 20010000884).
Borgatti, Francesco; Torelli, Piero; Brucale, Marco; Gentili, Denis; Panaccione, Giancarlo; Castan Guerrero, Celia; Schäfer, Bernhard; Ruben, Mario; Cavallini, Massimiliano
2018-03-27
We investigate the solvatochromic effect of a Fe-based spin-crossover (SCO) compound via ambient pressure soft X-ray absorption spectroscopy (AP-XAS) and atomic force microscopy (AFM). AP-XAS provides the direct evidence of the spin configuration for the Fe(II) 3d states of the SCO material upon in situ exposure to specific gas or vapor mixtures; concurrent changes in nanoscale topography and mechanical characteristics are revealed via AFM imaging and AFM-based force spectroscopy, respectively. We find that exposing the SCO material to gaseous helium promotes an effective decrease of the transition temperature of its surface layers, while the exposure to methanol vapor causes opposite surfacial and bulk solvatochromic effects. Surfacial solvatochromism is accompanied by a dramatic reduction of the surface layers stiffness. We propose a rationalization of the observed effects based on interfacial dehydration and solvation phenomena.
NASA Astrophysics Data System (ADS)
Ido, Shinichiro; Kimiya, Hirokazu; Kobayashi, Kei; Kominami, Hiroaki; Matsushige, Kazumi; Yamada, Hirofumi
2014-03-01
The conformational flexibility of antibodies in solution directly affects their immune function. Namely, the flexible hinge regions of immunoglobulin G (IgG) antibodies are essential in epitope-specific antigen recognition and biological effector function. The antibody structure, which is strongly related to its functions, has been partially revealed by electron microscopy and X-ray crystallography, but only under non-physiological conditions. Here we observed monoclonal IgG antibodies in aqueous solution by high-resolution frequency modulation atomic force microscopy (FM-AFM). We found that monoclonal antibodies self-assemble into hexamers, which form two-dimensional crystals in aqueous solution. Furthermore, by directly observing antibody-antigen interactions using FM-AFM, we revealed that IgG molecules in the crystal retain immunoactivity. As the self-assembled monolayer crystal of antibodies retains immunoactivity at a neutral pH and is functionally stable at a wide range of pH and temperature, the antibody crystal is applicable to new biotechnological platforms for biosensors or bioassays.
Fracture Growth Testing of Titanium 6AL-4V in AF-M315E
NASA Technical Reports Server (NTRS)
Sampson, Jeffrey W.; Martinez, Jonathan; McLean, Christopher
2015-01-01
The Green Propellant Infusion Mission (GPIM) will demonstrate the performance of AF-M315E monopropellant in orbit. Flight certification requires a safe-life analysis of the titanium alloy fuel tank to ensure inherent flaws will not cause failure during the design life. Material property inputs for this analysis require testing to determine the stress intensity factor for environmentally-assisted cracking (K (sub EAC)) of Ti 6Al-4V in combination with the AF-M315E monopropellant. Testing of single-edge notched specimens SE(B) representing the bulk tank membrane and weld material were performed in accordance with ASTM E1681. Specimens with fatigue pre-cracks were loaded into test fixtures so that the crack tips were exposed to the monopropellant at 50 degrees Centigrade for a duration of 1,000 hours. Specimens that did not fail during exposure were opened to inspect the crack surfaces for evidence of crack growth. The threshold stress intensity value, KEAC, is the highest applied stress intensity that produced neither a failure of the specimen during the exposure nor showed evidence of crack growth. The threshold stress intensity factor of the Ti 6Al-4V forged tank material when exposed to AF-M315E monopropellant was found to be at least 22.0 kilopounds per square inch. The stress intensity factor of the weld material was at least 31.3 kilopounds per square inch.
Pathogen identification using peptide nanotube biosensors and impedance AFM
NASA Astrophysics Data System (ADS)
Maccuspie, Robert I.
Pathogen identification at highly sensitive levels is crucial to meet urgent needs in fighting the spread of disease or detecting bioterrorism events. Toward that end, a new method for biosensing utilizing fluorescent antibody nanotubes is proposed. Fundamental studies on the self-assembly of these peptide nanotubes are performed, as are applications of aligning these nanotubes on surfaces. As biosensors, these nanotubes incorporate recognition units with antibodies at their ends and fluorescent signaling units at their sidewalls. When viral pathogens were mixed with these antibody nanotubes in solution, the nanotubes rapidly aggregated around the viruses. The size of the aggregates increased as the concentration of viruses increased, as detected by flow cytometry on the order of attomolar concentrations by changes in fluorescence and light scattering intensities. This enabled determination of the concentrations of viruses at trace levels (102 to 106 pfu/mL) within 30 minutes from the receipt of samples to the final quantitative data analysis, as demonstrated on Adenovirus, Herpes Simplex Virus, Influenza, and Vaccinia virus. As another separate approach, impedance AFM is used to study the electrical properties of individual viruses and nanoparticles used as model systems. The design, development, and implementation of the impedance AFM for an Asylum Research platform is described, as well as its application towards studying the impedance of individual nanoparticles as a model system for understanding the fundamental science of how the life cycle of a virus affects its electrical properties. In combination, these approaches fill a pressing need to quantify viruses both rapidly and sensitively.
Zhang, B; Evans, J S
2001-01-01
Molecular elasticity is associated with a select number of polypeptides and proteins, such as titin, Lustrin A, silk fibroin, and spider silk dragline protein. In the case of titin, the globular (Ig) and non-globular (PEVK) regions act as extensible springs under stretch; however, their unfolding behavior and force extension characteristics are different. Using our time-dependent macroscopic method for simulating AFM-induced titin Ig domain unfolding and refolding, we simulate the extension and relaxation of hypothetical titin chains containing Ig domains and a PEVK region. Two different models are explored: 1) a series-linked WLC expression that treats the PEVK region as a distinct entropic spring, and 2) a summation of N single WLC expressions that simulates the extension and release of a discrete number of parallel titin chains containing constant or variable amounts of PEVK. In addition to these simulations, we also modeled the extension of a hypothetical PEVK domain using a linear Hooke's spring model to account for "enthalpic" contributions to PEVK elasticity. We find that the modified WLC simulations feature chain length compensation, Ig domain unfolding/refolding, and force-extension behavior that more closely approximate AFM, laser tweezer, and immunolocalization experimental data. In addition, our simulations reveal the following: 1) PEVK extension overlaps with the onset of Ig domain unfolding, and 2) variations in PEVK content within a titin chain ensemble lead to elastic diversity within that ensemble. PMID:11159428
Theoretical modelling of AFM for bimetallic tip-substrate interactions
NASA Technical Reports Server (NTRS)
Bozzolo, Guillermo; Ferrante, John
1991-01-01
Recently, a new technique for calculating the defect energetics of alloys based on Equivalent Crystal Theory was developed. This new technique successfully predicts the bulk properties for binary alloys as well as segregation energies in the dilute limit. The authors apply this limit for the calculation of energy and force as a function of separation of an atomic force microscope (AFM) tip and substrate. The study was done for different combinations of tip and sample materials. The validity of the universality discovered for the same metal interfaces is examined for the case of different metal interactions.
The Advancing State of AF-M315E Technology
NASA Technical Reports Server (NTRS)
Masse, Robert; Spores, Ronald A.; McLean, Chris
2014-01-01
The culmination of twenty years of applied research in hydroxyl ammonium nitrate (HAN)-based monopropellants, the NASA Space Technology mission Directorate's (STMD) Green Propellant Infusion Mission (GPIM) will achieve the first on-orbit demonstration of an operational AF-M315E green propellant propulsion system by the end of 2015. Following an contextual overview of the completed flight design of the GPIM propellant storage and feed system, results of first operation of a flight-representative heavyweight 20-N engineering model thruster (to be conducted in mid-2014) are presented with performance comparisons to prior lab model (heavyweight) test articles.
Probing of miniPEGγ-PNA-DNA Hybrid Duplex Stability with AFM Force Spectroscopy.
Dutta, Samrat; Armitage, Bruce A; Lyubchenko, Yuri L
2016-03-15
Peptide nucleic acids (PNA) are synthetic polymers, the neutral peptide backbone of which provides elevated stability to PNA-PNA and PNA-DNA hybrid duplexes. It was demonstrated that incorporation of diethylene glycol (miniPEG) at the γ position of the peptide backbone increased the thermal stability of the hybrid duplexes (Sahu, B. et al. J. Org. Chem. 2011, 76, 5614-5627). Here, we applied atomic force microscopy (AFM) based single molecule force spectroscopy and dynamic force spectroscopy (DFS) to test the strength and stability of the hybrid 10 bp duplex. This hybrid duplex consisted of miniPEGγ-PNA and DNA of the same length (γ(MP)PNA-DNA), which we compared to a DNA duplex with a homologous sequence. AFM force spectroscopy data obtained at the same conditions showed that the γ(MP)PNA-DNA hybrid is more stable than the DNA counterpart, 65 ± 15 pN vs 47 ± 15 pN, respectively. The DFS measurements performed in a range of pulling speeds analyzed in the framework of the Bell-Evans approach yielded a dissociation constant, koff ≈ 0.030 ± 0.01 s⁻¹ for γ(MP)PNA-DNA hybrid duplex vs 0.375 ± 0.18 s⁻¹ for the DNA-DNA duplex suggesting that the hybrid duplex is much more stable. Correlating the high affinity of γ(MP)PNA-DNA to slow dissociation kinetics is consistent with prior bulk characterization by surface plasmon resonance. Given the growing interest in γ(MP)PNA as well as other synthetic DNA analogues, the use of single molecule experiments along with computational analysis of force spectroscopy data will provide direct characterization of various modifications as well as higher order structures such as triplexes and quadruplexes.
NASA Astrophysics Data System (ADS)
Lee, Junsu; Kim, Minjung; Chelikowsky, James R.; Kim, Gunn
2016-07-01
Using ab initio density functional calculations, we predict subatomic-resolution atomic force microscopy (AFM) and scanning tunneling microscopy (STM) images of vertical heterostructures of graphene/hexagonal boron nitride (h-BN) with an intercalated metal atom (Li, K, Cr, Mn, Co, or Cu), and study the effects of the extrinsic metal defect on the interfacial coupling. We find that the structural deformation of the graphene/h-BN layer caused by the metal defect strongly affects the AFM images, whereas orbital hybridization between the metal defect and the graphene/h-BN layer characterizes the STM images.
Note: Compact and light displacement sensor for a precision measurement system in large motion
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lee, Sang Heon, E-mail: shlee@andong.ac.kr
We developed a compact and light displacement sensor applicable to systems that require wide range motions of its sensing device. The proposed sensor utilized the optical pickup unit of the optical disk drive, which has been used applied to atomic force microscopy (AFM) because of its compactness and lightness as well as its high performance. We modified the structure of optical pickup unit and made the compact sensor driver attachable to a probe head of AFM to make large rotation. The feasibilities of the developed sensor for a general probe-moving measurement device and for probe-rotating AFM were verified. Moreover, amore » simple and precise measurement of alignment between centers of rotator and probe tip in probe-rotation AFM was experimentally demonstrated using the developed sensor.« less
AFM investigation and optical band gap study of chemically deposited PbS thin films
NASA Astrophysics Data System (ADS)
Zaman, S.; Mansoor, M.; Abubakar; Asim, M. M.
2016-08-01
The interest into deposition of nanocrystalline PbS thin films, the potential of designing and tailoring both the topographical features and the band gap energy (Eg) by controlling growth parameters, has significant technological importance. Nanocrystalline thin films of lead sulfide were grown onto glass substrates by chemical bath deposition (CBD) method. The experiments were carried out by varying deposition temperature. We report on the modification of structural and optical properties as a function of deposition temperature. The morphological changes of the films were analyzed by using SEM and AFM. AFM was also used to calculate average roughness of the films. XRD spectra indicated preferred growth of cubic phase of PbS films in (200) direction with increasing deposition time. Optical properties have been studied by UV-Spectrophotometer. From the diffused reflectance spectra we have calculated the optical Eg shift from 0.649-0.636 eV with increasing deposition time.
Ramachandran, Gayathri
2017-01-01
Kinetic studies of tau fibril formation in vitro most commonly employ spectroscopic probes such as thioflavinT fluorescence and laser light scattering or negative stain transmission electron microscopy. Here, I describe the use of Fourier transform infrared (FTIR) spectroscopy, ultraviolet resonance Raman (UVRR) spectroscopy, and atomic force microscopy (AFM) as complementary probes for studies of tau aggregation. The sensitivity of vibrational spectroscopic techniques (FTIR and UVRR) to secondary structure content allows for measurement of conformational changes that occur when the intrinsically disordered protein tau transforms into cross-β-core containing fibrils. AFM imaging serves as a gentle probe of structures populated over the time course of tau fibrillization. Together, these assays help further elucidate the structural and mechanistic complexity inherent in tau fibril formation.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Foucher, J.; Faurie, P.; Dourthe, L.
2011-11-10
The measurement accuracy is becoming one of the major components that have to be controlled in order to guarantee sufficient production yield. Already at the R and D level, we have to come up with the accurate measurements of sub-40 nm dense trenches and contact holes coming from 193 immersion lithography or E-Beam lithography. Current production CD (Critical Dimension) metrology techniques such as CD-SEM (CD-Scanning Electron Microscope) and OCD (Optical Critical Dimension) are limited in relative accuracy for various reasons (i.e electron proximity effect, outputs parameters correlation, stack influence, electron interaction with materials...). Therefore, time for R and D ismore » increasing, process windows degrade and finally production yield can decrease because you cannot manufactured correctly if you are unable to measure correctly. A new high volume manufacturing (HVM) CD metrology solution has to be found in order to improve the relative accuracy of production environment otherwise current CD Metrology solution will very soon get out of steam.In this paper, we will present a potential Hybrid CD metrology solution that smartly tuned 3D-AFM (3D-Atomic Force Microscope) and CD-SEM data in order to add accuracy both in R and D and production. The final goal for 'chip makers' is to improve yield and save R and D and production costs through real-time feedback loop implement on CD metrology routines. Such solution can be implemented and extended to any kind of CD metrology solution. In a 2{sup nd} part we will discuss and present results regarding a new AFM3D probes breakthrough with the introduction of full carbon tips made will E-Beam Deposition process. The goal is to overcome the current limitations of conventional flared silicon tips which are definitely not suitable for sub-32 nm nodes production.« less
NASA Astrophysics Data System (ADS)
Schwarz, Udo
2005-03-01
With the ongoing miniaturization of devices and controlled nanostructuring of materials, the importance of atomic-scale information on surfaces and surface properties is growing continuously. The astonishing progress in nanoscience and nanotechnology that took place during the last two decades was in many ways related to recent progress in high-resolution imaging techniques such as scanning tunnelling microscopy and transmission electron microscopy. Since the mid-1990s, non-contact atomic force microscopy (NC-AFM) performed in ultrahigh vacuum has evolved as an alternative technique that achieves atomic resolution, but without the restriction to conducting surfaces of the previously established techniques. Advances of the rapidly developing field of NC-AFM are discussed at annual conferences as part of a series that started in 1998 in Osaka, Japan. This special issue of Nanotechnology is a compilation of original work presented at the 7th International Conference on Non-contact Atomic Force Microscopy that took place in Seattle, USA, 12-15 September 2004. Over the years, the conference grew in size and scope. Atomic resolution imaging of oxides and semiconductors remains an issue. Noticeable new developments have been presented in this regard such as, e.g., the demonstrated ability to manipulate individual atoms. Additionally, the investigation of individual molecules, clusters, and organic materials gains more and more attention. In this context, considerable effort is undertaken to transfer the NC-AFM principle based on frequency modulation to applications in air and liquids with the goal of enabling high-resolution surface studies of biological material in native environments, as well as to reduce the experimental complexity, which so far involves the availability of (costly) vacuum systems. Force spectroscopy methods continue to be improved and are applied to topics such as the imaging of the three-dimensional force field as a function of the distance with
Tribological behavior of micro/nano-patterned surfaces in contact with AFM colloidal probe
NASA Astrophysics Data System (ADS)
Zhang, Xiaoliang; Wang, Xiu; Kong, Wen; Yi, Gewen; Jia, Junhong
2011-10-01
In effort to investigate the influence of the micro/nano-patterning or surface texturing on the nanotribological properties of patterned surfaces, the patterned polydimethylsiloxane (PDMS) surfaces with pillars were fabricated by replica molding technique. The surface morphologies of patterned PDMS surfaces with varying pillar sizes and spacing between pillars were characterized by atomic force microscope (AFM) and scanning electron microscope (SEM). The AFM/FFM was used to acquire the friction force images of micro/nano-patterned surfaces using a colloidal probe. A difference in friction force produced a contrast on the friction force images when the colloidal probe slid over different regions of the patterned polymer surfaces. The average friction force of patterned surface was related to the spacing between the pillars and their size. It decreased with the decreasing of spacing between the pillars and the increasing of pillar size. A reduction in friction force was attributed to the reduced area of contact between patterned surface and colloidal probe. Additionally, the average friction force increased with increasing applied load and sliding velocity.
Cell mechanics as a marker for diseases: Biomedical applications of AFM
NASA Astrophysics Data System (ADS)
Rianna, Carmela; Radmacher, Manfred
2016-08-01
Many diseases are related to changes in cell mechanics. Atomic Force Microscopy (AFM) is one of the most suitable techniques allowing the investigation of both topography and mechanical properties of adherent cells with high spatial resolution under physiological conditions. Over the years the use of this technique in medical and clinical applications has largely increased, resulting in the notion of cell mechanics as a biomarker to discriminate between different physiological and pathological states of cells. Cell mechanics has proven to be a biophysical fingerprint able discerning between cell phenotypes, unraveling processes in aging or diseases, or even detecting and diagnosing cellular pathologies. We will review in this report some of the works on cell mechanics investigated by AFM with clinical and medical relevance in order to clarify the state of research in this field and to highlight the role of cell mechanics in the study of pathologies, focusing on cancer, blood and cardiovascular diseases. At the request of all authors of the paper, and with the agreement of the Proceedings Editor, an updated version of this article was published on 26 September 2016. The original version supplied to AIP Publishing contained blurred figures introduced during the PDF conversion process. Moreover, Equations (5), (6), and (7) were not correctly cited in the text. These errors have been corrected in the updated and republished article.
BOREAS AFM-1 NOAA/ATDD Long-EZ Aircraft Flux data Over the SSA
NASA Technical Reports Server (NTRS)
Crawford, Timothy L.; Baldocchi, Dennis; Hall, Forrest G. (Editor); Knapp, David E. (Editor); Gunter, Laureen; Dumas, Ed; Smith, David E. (Technical Monitor)
2000-01-01
This data set contains measurements from the Airborne Flux and Meteorology (AFM)-1 National Oceanographic and Atmospheric Administration/Atmospheric Turbulence and Diffusion Division (NOAA/ATDD) Long-EZ Aircraft collected during the 1994 Intensive Field Campaigns (IFCs) at the southern study area (SSA). These measurements were made from various instruments mounted on the aircraft. The data that were collected include aircraft altitude, wind direction, wind speed, air temperature, potential temperature, water mixing ratio, U and V components of wind velocity, static pressure, surface radiative temperature, downwelling and upwelling total radiation, downwelling and upwelling longwave radiation, net radiation, downwelling and upwelling photosynthectically active radiation (PAR), greenness index, CO2 concentration, O3 concentration, and CH4 concentration. There are also various columns that indicate the standard deviation, skewness, kurtosis, and trend of some of these data. The data are stored in tabular ASCII files. The NOAA/ATDD Long-EZ aircraft flux data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files are available on a CD-ROM (see document number 20010000884).
The Analog Atomic Force Microscope: Measuring, Modeling, and Graphing for Middle School
ERIC Educational Resources Information Center
Goss, Valerie; Brandt, Sharon; Lieberman, Marya
2013-01-01
using an analog atomic force microscope (A-AFM) made from a cardboard box and mailing tubes. Varying numbers of ping pong balls inside the tubes mimic atoms on a surface. Students use a dowel to make macroscale measurements similar to those of a nanoscale AFM tip as it…
Upadhye, Kalpesh V.; Candiello, Joseph E.; Davidson, Lance A.; Lin, Hai
2011-01-01
Patch clamp is a powerful tool for studying the properties of ion-channels and cellular membrane. In recent years, planar patch clamp chips have been fabricated from various materials including glass, quartz, silicon, silicon nitride, polydimethyl-siloxane (PDMS), and silicon dioxide. Planar patch clamps have made automation of patch clamp recordings possible. However, most planar patch clamp chips have limitations when used in combination with other techniques. Furthermore, the fabrication methods used are often expensive and require specialized equipments. An improved design as well as fabrication and characterization of a silicon-based planar patch clamp chip are described in this report. Fabrication involves true batch fabrication processes that can be performed in most common microfabrication facilities using well established MEMS techniques. Our planar patch clamp chips can form giga-ohm seals with the cell plasma membrane with success rate comparable to existing patch clamp techniques. The chip permits whole-cell voltage clamp recordings on variety of cell types including Chinese Hamster Ovary (CHO) cells and pheochromocytoma (PC12) cells, for times longer than most available patch clamp chips. When combined with a custom microfluidics chamber, we demonstrate that it is possible to perfuse the extra-cellular as well as intra-cellular buffers. The chamber design allows integration of planar patch clamp with atomic force microscope (AFM). Using our planar patch clamp chip and microfluidics chamber, we have recorded whole-cell mechanosensitive (MS) currents produced by directly stimulating human keratinocyte (HaCaT) cells using an AFM cantilever. Our results reveal the spatial distribution of MS ion channels and temporal details of the responses from MS channels. The results show that planar patch clamp chips have great potential for multi-parametric high throughput studies of ion channel proteins. PMID:22174731
AFM-Based Single Molecule Techniques: Unraveling the Amyloid Pathogenic Species
Ruggeri, Francesco Simone; Habchi, Johnny; Cerreta, Andrea; Dietler, Giovanni
2016-01-01
Background A wide class of human diseases and neurodegenerative disorders, such as Alzheimer’s disease, is due to the failure of a specific peptide or protein to keep its native functional conformational state and to undergo a conformational change into a misfolded state, triggering the formation of fibrillar cross-β sheet amyloid aggregates. During the fibrillization, several coexisting species are formed, giving rise to a highly heterogeneous mixture. Despite its fundamental role in biological function and malfunction, the mechanism of protein self-assembly and the fundamental origins of the connection between aggregation, cellular toxicity and the biochemistry of neurodegeneration remains challenging to elucidate in molecular detail. In particular, the nature of the specific state of proteins that is most prone to cause cytotoxicity is not established. Methods: In the present review, we present the latest advances obtained by Atomic Force Microscopy (AFM) based techniques to unravel the biophysical properties of amyloid aggregates at the nanoscale. Unraveling amyloid single species biophysical properties still represents a formidable experimental challenge, mainly because of their nanoscale dimensions and heterogeneous nature. Bulk techniques, such as circular dichroism or infrared spectroscopy, are not able to characterize the heterogeneity and inner properties of amyloid aggregates at the single species level, preventing a profound investigation of the correlation between the biophysical properties and toxicity of the individual species. Conclusion: The information delivered by AFM based techniques could be central to study the aggregation pathway of proteins and to design molecules that could interfere with amyloid aggregation delaying the onset of misfolding diseases. PMID:27189600
Electrical conductivity measurements of bacterial nanowires from Pseudomonas aeruginosa
NASA Astrophysics Data System (ADS)
Maruthupandy, Muthusamy; Anand, Muthusamy; Maduraiveeran, Govindhan; Sait Hameedha Beevi, Akbar; Jeeva Priya, Radhakrishnan
2015-12-01
The extracellular appendages of bacteria (flagella) that transfer electrons to electrodes are called bacterial nanowires. This study focuses on the isolation and separation of nanowires that are attached via Pseudomonas aeruginosa bacterial culture. The size and roughness of separated nanowires were measured using transmission electron microscopy (TEM) and atomic force microscopy (AFM), respectively. The obtained bacterial nanowires indicated a clear image of bacterial nanowires measuring 16 nm in diameter. The formation of bacterial nanowires was confirmed by microscopic studies (AFM and TEM) and the conductivity nature of bacterial nanowire was investigated by electrochemical techniques. Cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS), which are nondestructive voltammetry techniques, suggest that bacterial nanowires could be the source of electrons—which may be used in various applications, for example, microbial fuel cells, biosensors, organic solar cells, and bioelectronic devices. Routine analysis of electron transfer between bacterial nanowires and the electrode was performed, providing insight into the extracellular electron transfer (EET) to the electrode. CV revealed the catalytic electron transferability of bacterial nanowires and electrodes and showed excellent redox activities. CV and EIS studies showed that bacterial nanowires can charge the surface by producing and storing sufficient electrons, behave as a capacitor, and have features consistent with EET. Finally, electrochemical studies confirmed the development of bacterial nanowires with EET. This study suggests that bacterial nanowires can be used to fabricate biomolecular sensors and nanoelectronic devices.
Adaptive AFM scan speed control for high aspect ratio fast structure tracking
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ahmad, Ahmad; Schuh, Andreas; Rangelow, Ivo W.
2014-10-15
Improved imaging rates in Atomic Force Microscopes (AFM) are of high interest for disciplines such as life sciences and failure analysis of semiconductor wafers, where the sample topology shows high aspect ratios. Also, fast imaging is necessary to cover a large surface under investigation in reasonable times. Since AFMs are composed of mechanical components, they are associated with comparably low resonance frequencies that undermine the effort to increase the acquisition rates. In particular, high and steep structures are difficult to follow, which causes the cantilever to temporarily loose contact to or crash into the sample. Here, we report on amore » novel approach that does not affect the scanner dynamics, but adapts the lateral scanning speed of the scanner. The controller monitors the control error signal and, only when necessary, decreases the scan speed to allow the z-piezo more time to react to changes in the sample's topography. In this case, the overall imaging rate can be significantly increased, because a general scan speed trade-off decision is not needed and smooth areas are scanned fast. In contrast to methods trying to increase the z-piezo bandwidth, our method is a comparably simple approach that can be easily adapted to standard systems.« less
Automatic hammering of nano-patterns on special polymer film by using a vibrating AFM tip
2012-01-01
Complicated nano-patterns with linewidth less than 18 nm can be automatically hammered by using atomic force microscopy (AFM) tip in tapping mode with high speed. In this study, the special sample was thin poly(styrene-ethylene/butylenes-styrene) (SEBS) block copolymer film with hexagonal spherical microstructures. An ordinary silicon tip was used as a nano-hammer, and the entire hammering process is controlled by a computer program. Experimental results demonstrate that such structure-tailored thin films enable AFM tip hammering to be performed on their surfaces. Both imprinted and embossed nano-patterns can be generated by using a vibrating tip with a larger tapping load and by using a predefined program to control the route of tip movement as it passes over the sample’s surface. Specific details for the fabrication of structure-tailored SEBS film and the theory for auto-hammering patterns were presented in detail. PMID:22889045
Leveraging Air Force Medical Service (AFMS) Senior Leadership Corps Diversity to Improve Efficiency
2013-04-01
licensing , and board certification requirements . A few of these specialties include physician assistant, physical therapist, optometrist, podiatrist...the Deputy Surgeon General (MC), the AFMS Family Practice consultant (MC), 5 Family Practice physicians (MC), 2 nurses (NC), 2 medical technicians...specifically require clinicians, instead of taking advantage of their valuable clinical training in the MTFs. To illustrate, moving one family practice
BOREAS AFM-2 Wyoming King Air 1994 Aircraft Sounding Data
NASA Technical Reports Server (NTRS)
Kelly, Robert D.; Hall, Forrest G. (Editor); Knapp, David E. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The BOREAS AFM-2 team used the University of Wyoming King Air aircraft during IFCs 1, 2, and 3 in 1994 to collected pass-by-pass fluxes (and many other statistics) for the large number of level (constant altitude), straight-line passes used in a variety of flight patterns over the SSA and NSA and areas along the transect between these study areas. The data described here form a second set, namely soundings that were incorporated into nearly every research flight by the King Air in 1994. These soundings generally went from near the surface to above the inversion layer. Most were flown immediately after takeoff or immediately after finishing the last flux pattern of that particular day's flights. The parameters that were measured include wind direction, wind speed, west wind component (u), south wind component (v), static pressure, air dry bulb temperature, potential temperature, dewpoint, temperature, water vapor mixing ratio, and CO2 concentration. Data on the aircraft's location, attitude, and altitude during data collection are also provided. These data are stored in tabular ASCH files. The data files are available on a CD-ROM (see document number 20010000884) or from the Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC).
Time-dependent efficiency measurements of donor-acceptor, dye-sensitized polymer solar cells
NASA Astrophysics Data System (ADS)
Bandaccari, Kyle; Chesmore, Grace; Tajalli-Tehrani Valverde, Parisa; Bugaj, Mitchel; McNelis, Brian; Barber, Richard, Jr.
The fullerene/polymer active layer pairing of PCBM/P3HT has become the model system within the field of polymer solar cell research. A large body of work concerned with reporting improved efficiencies for this system exists, but truly quantitative studies of device lifetime and long-term degradation tendencies are much rarer. Here, we report the effects of two donor-acceptor diazo dye sensitizers on efficiency and lifetime upon addition into the PCBM/P3HT active layer at varied concentrations. The electrical and efficiency measurements were supplemented by time-dependent UV-visible spectroscopy studies and morphology investigations via atomic-force microscopy (AFM). This pairing with spectroscopy offers an internal check on the data as the rate of change in absorbance of the active layer correlates almost exactly to the rate of power conversion efficiency decrease. Additionally, AFM imaging reveals different morphology patterns when dye concentrations and functionalities change. Such observations suggest that such small-molecule sensitizers exert yet undetermined effects on the organization of components within the active layer at the molecular level.
Kelley, Algernon T; Ngunjiri, Johnpeter N; Serem, Wilson K; Lawrence, Steve O; Yu, Jing-Jiang; Crowe, William E; Garno, Jayne C
2010-03-02
Molecules of n-alkanethiols with methyl head groups typically form well-ordered monolayers during solution self-assembly for a wide range of experimental conditions. However, we have consistently observed that, for either carboxylic acid or thiol-terminated n-alkanethiols, under certain conditions nanografted patterns are generated with a thickness corresponding precisely to a double layer. To investigate the role of head groups for solution self-assembly, designed patterns of omega-functionalized n-alkanethiols were nanografted with systematic changes in concentration. Nanografting is an in situ approach for writing patterns of thiolated molecules on gold surfaces by scanning with an AFM tip under high force, accomplished in dilute solutions of desired ink molecules. As the tip is scanned across the surface of a self-assembled monolayer under force, the matrix molecules are displaced from the surface and are immediately replaced with fresh molecules from solution to generate nanopatterns. In this report, side-by-side comparison of nanografted patterns is achieved for different matrix molecules using AFM images. The chain length and head groups (i.e., carboxyl, hydroxyl, methyl, thiol) were varied for the nanopatterns and matrix monolayers. Interactions such as head-to-head dimerization affect the vertical self-assembly of omega-functionalized n-alkanethiol molecules within nanografted patterns. At certain threshold concentrations, double layers were observed to form when nanografting with head groups of carboxylic acid and dithiols, whereas single layers were generated exclusively for nanografted patterns with methyl and hydroxyl groups, regardless of changes in concentration.
Hou, Yue; Wang, Linbing; Wang, Dawei; Guo, Meng; Liu, Pengfei; Yu, Jianxin
2017-02-21
Fundamental understanding of micro-mechanical behaviors in bitumen, including phase separation, micro-friction, micro-abrasion, etc., can help the pavement engineers better understand the bitumen mechanical performances at macroscale. Recent researches show that the microstructure evolution in bitumen will directly affect its surface structure and micro-mechanical performance. In this study, the bitumen microstructure and micro-mechanical behaviors are studied using Atomic Force Microscopy (AFM) experiments, Phase Dynamics Theory and Molecular Dynamics (MD) Simulation. The AFM experiment results show that different phase-structure will occur at the surface of the bitumen samples under certain thermodynamic conditions at microscale. The phenomenon can be explained using the phase dynamics theory, where the effects of stability parameter and temperature on bitumen microstructure and micro-mechanical behavior are studied combined with MD Simulation. Simulation results show that the saturates phase, in contrast to the naphthene aromatics phase, plays a major role in bitumen micro-mechanical behavior. A high stress zone occurs at the interface between the saturates phase and the naphthene aromatics phase, which may form discontinuities that further affect the bitumen frictional performance.
Hou, Yue; Wang, Linbing; Wang, Dawei; Guo, Meng; Liu, Pengfei; Yu, Jianxin
2017-01-01
Fundamental understanding of micro-mechanical behaviors in bitumen, including phase separation, micro-friction, micro-abrasion, etc., can help the pavement engineers better understand the bitumen mechanical performances at macroscale. Recent researches show that the microstructure evolution in bitumen will directly affect its surface structure and micro-mechanical performance. In this study, the bitumen microstructure and micro-mechanical behaviors are studied using Atomic Force Microscopy (AFM) experiments, Phase Dynamics Theory and Molecular Dynamics (MD) Simulation. The AFM experiment results show that different phase-structure will occur at the surface of the bitumen samples under certain thermodynamic conditions at microscale. The phenomenon can be explained using the phase dynamics theory, where the effects of stability parameter and temperature on bitumen microstructure and micro-mechanical behavior are studied combined with MD Simulation. Simulation results show that the saturates phase, in contrast to the naphthene aromatics phase, plays a major role in bitumen micro-mechanical behavior. A high stress zone occurs at the interface between the saturates phase and the naphthene aromatics phase, which may form discontinuities that further affect the bitumen frictional performance. PMID:28772570
U.S. Army Training and Testing Area Carrying Capacity (ATTACC) for Munitions (AFM)
2006-11-01
Army Training Support Center USDA United States Department of Agriculture USGS United States Geological Survey USLE Universal Soil Loss Equation...Range condition is a function of climate, soil , and hydrology. The munitions impact, constituent load, and range condition are modeled using AFM...For ArcGIS v2 to attain expected concentrations of munitions constituents and corresponding risk due to exposure through soil - and water-related
Nanoscale evaluation of lubricity on well-defined polymer brush surfaces using QCM-D and AFM.
Kitano, Kazuhiko; Inoue, Yuuki; Matsuno, Ryosuke; Takai, Madoka; Ishihara, Kazuhiko
2009-11-01
For preparing a "highly lubricated biointerface", which has both excellent lubricity and biocompatibility, we investigated the factors responsible for resistance to friction during polymer grafting. We prepared poly(2-methacryloyloxyethyl phosphorylcholine) (PMPC), poly(2-hydroxyethyl methacrylate) (PHEMA), and poly(methyl methacrylate) (PMMA) brush layers with high graft density and well-controlled thickness using atom transfer radical polymerization (ATRP). We measured the water absorptivity in the polymer brush layers and the viscoelasticity of the polymer-hydrated layers using a quartz crystal microbalance with dissipation monitoring (QCM-D) measurements. The PMPC brush layer had the highest water absorptivity, while the PMPC-hydrated layer had the highest fluidity. The friction properties of the polymer brush layers were determined in air, water, and toluene by atomic force microscopy (AFM). The friction on each polymer brush decreased only when a good solvent was chosen for each polymer. In conclusion, the brush layer possessing high water absorptivity and fluidity in water contributes to reduce friction. PMPC grafting is an effective and promising method for obtaining highly lubricated biointerfaces.
Piezoelectric tuning fork biosensors for the quantitative measurement of biomolecular interactions
NASA Astrophysics Data System (ADS)
Gonzalez, Laura; Rodrigues, Mafalda; Benito, Angel Maria; Pérez-García, Lluïsa; Puig-Vidal, Manel; Otero, Jorge
2015-12-01
The quantitative measurement of biomolecular interactions is of great interest in molecular biology. Atomic force microscopy (AFM) has proved its capacity to act as a biosensor and determine the affinity between biomolecules of interest. Nevertheless, the detection scheme presents certain limitations when it comes to developing a compact biosensor. Recently, piezoelectric quartz tuning forks (QTFs) have been used as laser-free detection sensors for AFM. However, only a few studies along these lines have considered soft biological samples, and even fewer constitute quantified molecular recognition experiments. Here, we demonstrate the capacity of QTF probes to perform specific interaction measurements between biotin-streptavidin complexes in buffer solution. We propose in this paper a variant of dynamic force spectroscopy based on representing adhesion energies E (aJ) against pulling rates v (nm s-1). Our results are compared with conventional AFM measurements and show the great potential of these sensors in molecular interaction studies.
Comparative studies of thin film growth on aluminium by AFM, TEM and GDOES characterization
NASA Astrophysics Data System (ADS)
Qi, Jiantao; Thompson, George E.
2016-07-01
In this present study, comparative studies of trivalent chromium conversion coating formation, associated with aluminium dissolution process, have been investigated using atomic force microscopy (AFM), transmission electron microscopy (TEM) and glow-discharge optical emission spectroscopy (GDOES). High-resolution electron micrographs revealed the evident and uniform coating initiation on the whole surface after conversion treatment for only 30 s, although a network of metal ridges was created by HF etching pre-treatment. In terms of conversion treatment process on electropolished aluminium, constant kinetics of coating growth, ∼0.30 ± 0.2 nm/s, were found after the prolonged conversion treatment for 600 s. The availability of electrolyte anions for coating deposition determined the growth process. Simultaneously, a proceeding process of aluminium dissolution during conversion treatment, of ∼0.11 ± 0.02 nm/s, was found for the first time, indicating constant kinetics of anodic reactions. The distinct process of aluminium consumption was assigned with loss of corrosion protection of the deposited coating material as evidenced in the electrochemical impedance spectroscopy. Based on the present data, a new mechanism of coating growth on aluminium was proposed, and it consisted of an activation period (0-30 s), a linear growth period (0.30 nm/s, up for 600 s) and limited growth period (0.17 nm/s, 600-1200 s). In addition, the air-drying post-treatment and a high-vacuum environment in the microscope revealed a coating shrinkage, especially in the coatings after conversion treatments for longer time.
The structure of high-methoxyl sugar acid gels of citrus pectin as determined by AFM
USDA-ARS?s Scientific Manuscript database
Images of native high methoxyl sugar acid gels (HMSAG) were obtained by atomic force microscopy (AFM) in the Tapping ModeTM. Electronic thinning of the pectin strands to one pixel wide allowed the pectin network to be viewed in the absence of variable strand widths related to preferentially solvate...
Dissolution of uranophane: An AFM, XPS, SEM and ICP study
NASA Astrophysics Data System (ADS)
Schindler, Michael; Freund, Michael; Hawthorne, Frank C.; Burns, Peter C.; Maurice, Patricia A.
2009-05-01
Dissolution experiments on single crystals of uranophane and uranophane-β, Ca(H 2O) 5[(UO 2)(SiO 3(OH)] 2, from the Shinkolobwe mine of the Democratic Republic of Congo, were done in an aqueous HCl solution of pH 3.5 for 3 h, in HCl solutions of pH 2 for 5, 10 and 30 min, and in Pb 2+-, Ba-, Sr-, Ca- and Mg-HCl solutions of pH 2 for 30 min. The basal surfaces of the treated uranophane crystals were examined using atomic-force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Solutions after dissolution experiments on single crystals and synthetic powders were analysed with inductively coupled plasma-optical emission spectroscopy (ICP-OES) and mass spectroscopy (ICP-MS). The morphology of the observed etch pits (measured by AFM) were compared to the morphology, predicted on the basis of the bond-valence deficiency of polyhedron chains along the edges of the basal surface. Etch pits form in HCl solutions of pH 2. Their decrease in depth with the duration of the dissolution experiment is explained with the stepwave dissolution model, which describes the lowering of the surrounding area of an etch pit with continuous waves of steps emanated from the etch pit into the rest of the crystal surface. Hillocks form in an HCl solution of pH 3.5, and the chemical composition of the surface (as indicated by XPS) shows that these hillocks are the result of the precipitation of a uranyl-hydroxy-hydrate phase. Well-orientated hillocks form on the surface of uranophane in a SrCl 2-HCl solution of pH 2. They are part of an aged silica coating of composition Si 2O 2(OH) 4(H 2O) n. An amorphous layer forms on the surface of uranophane in a MgCl 2-HCl solution of pH 2, which has a composition and structure similar to silicic acid. Small crystallites of uranyl-hydroxy-hydrate phases form on the surface of uranophane after treatment in Pb(NO 3) 2-HCl and BaCl 2-HCl solutions of pH 2. Dissolution experiments on synthetic uranophane powders
Proceedings of the 2011 AFMS Medical Research Symposium. Volume 4. Healthcare Informatics Track
2011-08-02
pretest and posttest , a survey of 10 five-point Likert scale questions on managing critical children before and after, and 2 videotaped pediatric...critical care simulations with debriefings after each scenario. Results: Fund of knowledge improved from a pretest score of 60% to a posttest score of...02--2012 Proceedings 02-08-2011 to 04-08-2011 Proceedings of the 2011 AFMS Medical Research Symposium. Volume 4. Healthcare Informatics Track Major
NASA Astrophysics Data System (ADS)
Kim, Jae-Hoon; Shi, Yushan; Ha, Kiryong; West, John L.; Kumar, Satyendra
1997-03-01
We have studied the competition between the effects of mechanical buffing of and photo-induced chemical reaction in Nissan SE610 polyimide film on the director orientation of liquid crystals using atomic force microscopy (AFM) and textural study under polarizing miscroscope. It was found that the uv light exposure after buffing significantly alters the degree and the direction of alignment achieved by buffing. Results of our study show that the two techniques can be used to control and fine-tune liquid crystal alignment. A description of the microscopic changes as inferred from AFM and x-ray studies will be presented.
Real-time TIRF observation of vinculin recruitment to stretched α-catenin by AFM.
Maki, Koichiro; Han, Sung-Woong; Hirano, Yoshinori; Yonemura, Shigenobu; Hakoshima, Toshio; Adachi, Taiji
2018-01-25
Adherens junctions (AJs) adaptively change their intensities in response to intercellular tension; therefore, they integrate tension generated by individual cells to drive multicellular dynamics, such as morphogenetic change in embryos. Under intercellular tension, α-catenin, which is a component protein of AJs, acts as a mechano-chemical transducer to recruit vinculin to promote actin remodeling. Although in vivo and in vitro studies have suggested that α-catenin-mediated mechanotransduction is a dynamic molecular process, which involves a conformational change of α-catenin under tension to expose a cryptic vinculin binding site, there are no suitable experimental methods to directly explore the process. Therefore, in this study, we developed a novel system by combining atomic force microscopy (AFM) and total internal reflection fluorescence (TIRF). In this system, α-catenin molecules (residues 276-634; the mechano-sensitive M 1 -M 3 domain), modified on coverslips, were stretched by AFM and their recruitment of Alexa-labeled full-length vinculin molecules, dissolved in solution, were observed simultaneously, in real time, using TIRF. We applied a physiologically possible range of tensions and extensions to α-catenin and directly observed its vinculin recruitment. Our new system could be used in the fields of mechanobiology and biophysics to explore functions of proteins under tension by coupling biomechanical and biochemical information.
Mechanical properties of complex biological systems using AFM-based force spectroscopy
NASA Astrophysics Data System (ADS)
Graham, John Stephen
An atomic force microscope (AFM) was designed and built to study the mechanical properties of small collagen fibrils and the plasma membrane of living cells. Collagen is a major component of bone, skin and connective tissues, and is abundant in the extracellular matrix (ECM). Because of its abundance, an understanding of how disease affects collagen mechanics is crucial in disease prevention efforts. Two levels of type I collagen structure were investigated, subfibrils (on the order of 1 mum in length) and longer fibrils. Comparisons were made between measurements of wild-type (wt) collagen and collagen from the mouse model of osteogenesis imperfecta (OI). Significant differences between OI and wt collagen were observed, primarily that intermolecular bonds in OI collagen fibrils are weaker than in wt, or not ruptured, as in the case of OI subfibrils. As cells interact with collagen in the ECM, the mechanical properties of the plasma membrane are also of great interest. Membrane tethers were extracted from living cells under varied conditions in order to assess the contributions of membrane-associated macromolecules such as the actin cytoskeleton and the glycocalyx, and intracellular signaling. Tether extraction force was found to be sensitive to all of these altered conditions, suggesting that tether extraction may be used to monitor various cellular processes.
NASA Astrophysics Data System (ADS)
Chen, Yali
The plastic deformation behavior of PST TiAl crystals was investigated using AFM techniques to reveal the effects of lamellar structure on the deform mechanisms of two-phase TiAl materials. PST crystals with a nominal composition of Ti52Al48 (atomic percent) were grown by the floating zone method and at various orientations deformed in compression at room temperature. Atomic Force Microscopy (AFM) was employed to investigate the deformation structure on the free surfaces. The deformation of the PST crystals is highly anisotropic and the deformation mechanism changes dramatically with sample orientation. When the angle between the loading axis and the lamellar interfaces is below 20°, the gamma lamellae deform by dislocation slip and twinning on planes oblique to the lamellar interfaces, but the Burgers vectors or the resultant shear vectors are parallel to the lamellar interfaces inside each lamella. When the angle is between 20° and 80° the gamma phase deforms by shear on planes parallel to the lamellar interfaces. Some domains deform by a combination of ordinary dislocation slip and twinning. In the domains where twinning cannot be activated, slip occurs by ordinary dislocations or superdislocations. When the loading axis is nearly perpendicular to the lamellar interfaces ordinary dislocation slip and twinning on slip planes inclined with the lamellar interfaces are dominant and the shear is trans-lamellar. The three deformation modes are termed as A, B and N type deformation modes respectively. In the A type mode the alpha2 lamellae concomitantly deform by prismatic slip. In the other two modes, the alpha2 phase does not deform and acts as strong obstacles to the transfer of deformation. Abundant misfit dislocations are emitted from the lamellar interfaces which is beneficial for the plastic deformation. On the other hand, the lamellar interfaces strongly impede trans-lamellar deformation and channel the deformation inside each lamella. The inhomogeneous
Bazari, Pelin Aslani Menareh; Honarmand Jahromy, Sahar; Zare Karizi, Shohreh
2017-09-01
Staphylococcus aureus is a major cause of nosocomial infections. Biofilm formation is an important factor for bacterial pathogenesis. Its mechanisms are complex and include of many genes depends on expression of icaADBC operon involved in the synthesis of a polysaccharide intercellular adhesion. The aim of study was to investigate biofilm forming ability of Staphylococcus aureus strains by phenotypic and genotypic methods. Also Atomic Force microscope (AFM) was used to visualize biofilm formation. 140 Isolates were collected from clinical specimens of patients in Milad Hospital, Tehran and diagnosed by biochemical tests. The ability of strains to produce slime was evaluated by CRA method. For diagnosing of bacterial EPS, Indian ink staining were used and finally biofilm surface of 3 isolates observed by AFM. The prevalence of icaA and icaD genes was determined by PCR. By CRA method 15% of samples considered as positive slime producers, 44.28% as intermediate and 40.71% indicative as negative slime producers. 118 staphylococcus aureus strains showed a distinct halo transparent zone but 22 strains showed no halo zone. AFM analysis of Slime positive isolates showed a distinct and complete biofilm formation. In slime negative strain, there was not observed biofilm. The prevalence of icaA, icaD genes was 44.2% and 10% of the isolates had both genes simultaneously. There is a relationship between exopolysaccharide layer and biofilm formation of Staphylococcus aureus isolates. The presence of icaAD genes among isolates is not associated with in vitro formation of biofilm. AFM is a useful tool for observation of bacterial biofilm formation. Copyright © 2017 Elsevier Ltd. All rights reserved.
Soufli, Regina; Baker, Sherry L; Windt, David L; Gullikson, Eric M; Robinson, Jeff C; Podgorski, William A; Golub, Leon
2007-06-01
The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV) wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement with EUV reflectance measurements of the mirrors after multilayer coating.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Soufli, Regina; Baker, Sherry L.; Windt, David L.
2007-06-01
The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV)wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement withmore » EUV reflectance measurements of the mirrors after multilayer coating.« less
NASA Astrophysics Data System (ADS)
Cho, Sung Woo
A method for nanoscale experimental mechanics was developed to address problems in deformation and fracture of micron-scale components in Microelectromechanical Systems (MEMS). Specifically, the effective and local, elastic and fracture behavior of polycrystalline silicon (polysilicon) and tetrahedral amorphous diamond-like carbon (ta-C) were studied using freestanding thin films subject to uniaxial tension. In this method, direct measurements of local deformations were derived from Atomic Force Microscopy (AFM) images in specimen areas varying between 1x2 mum2 and 15x15 mum2 using Digital Image Correlation (DIC) to extract displacements and strains with spatial resolution of 1-2 nm. The effective elastic modulus and Poisson's ratio of polysilicon and ta-C from the Sandia National Laboratories (SUMMiT) were 155 +/- 6 GPa and 0.22 +/- 0.02, and 759 +/- 22 GPa and 0.17 +/- 0.03, respectively. Similarly, the elastic modulus and Poisson's ratio of polysilicon fabricated at MCNC via the Multi-User MEMS Processes (MUMPs) with <110> texture were 164 +/- 7 GPa and 0.22 +/- 0.02, respectively. A second problem studied using the AFM/DIC method was the fracture of polysilicon in the presence of atomically sharp cracks. The effective (macroscopic) Mode-I critical stress intensity factor for polysilicon from different MUMPs runs was 1.00 +/- 0.1 MPa√m, where 0.1 MPa√m was the standard deviation, attributed to local cleavage anisotropy and grain boundary toughening. The variation in the effective critical stress intensity factor and the subcritical crack growth of polysilicon that was spatially recorded and quantified for the first time were the result of the spatial variation of the 4 local stress intensity factor at the crack tip that controlled crack initiation and thus, the overall fracture process. The AFM/DIC method was also applied to determine the minimum size of a polysilicon domain whose effective mechanical behavior could be described by the isotropic elastic
Optimal sensitivity for molecular recognition MAC-mode AFM
Schindler; Badt; Hinterdorfer; Kienberger; Raab; Wielert-Badt; Pastushenko
2000-02-01
Molecular recognition force microscopy (MRFM) using the magnetic AC mode (MAC mode) atomic force microscope (AFM) was recently investigated to locate and probe recognition sites. A flexible crosslinker carrying a ligand is bound to the tip for the molecular recognition of receptors on the surface of a sample. In this report, the driving frequency is calculated which optimizes the sensitivity (S). The sensitivity of MRFM is defined as the relative change of the magnetically excited cantilever deflection amplitude arising from a crosslinker/antibody/antigen connection that is characterized by a very small force constant. The sensitivity is calculated in a damped oscillator model with a certain value of quality factor Q, which, together with load, defines the frequency response (unloaded oscillator shows resonance at Q > 0.707). If Q < 1, the greatest value of S corresponds to zero driving frequency omega (measured in units of eigenfrequency). Therefore, for Q < 1, MAC-mode has no advantage in comparison with DC-mode. Two additional extremes are found at omegaL = (1 - 1/Q)(1/2) and omegaR = (1 + 1/Q)(1/2), with corresponding sensitivities S(L) = Q2/(2Q - 1), S(R) = Q2/(2Q + 1). The L-extreme exists only for Q > 1, and then S(L) > S(R), i.e. the L-extreme is the main one. For Q > 1, S(L) > 1, and for Q > 2.41, S(R) > 1. These are the critical Q-values, above which selecting driving frequency equal to sigmaL or sigmaR brings advantage to MAC mode vs. DC mode. Satisfactory quality of the oscillator model is demonstrated by comparison of some results with those calculated within the classical description of cantilevers.
Mechanical unfolding reveals stable 3-helix intermediates in talin and α-catenin
2018-01-01
Mechanical stability is a key feature in the regulation of structural scaffolding proteins and their functions. Despite the abundance of α-helical structures among the human proteome and their undisputed importance in health and disease, the fundamental principles of their behavior under mechanical load are poorly understood. Talin and α-catenin are two key molecules in focal adhesions and adherens junctions, respectively. In this study, we used a combination of atomistic steered molecular dynamics (SMD) simulations, polyprotein engineering, and single-molecule atomic force microscopy (smAFM) to investigate unfolding of these proteins. SMD simulations revealed that talin rod α-helix bundles as well as α-catenin α-helix domains unfold through stable 3-helix intermediates. While the 5-helix bundles were found to be mechanically stable, a second stable conformation corresponding to the 3-helix state was revealed. Mechanically weaker 4-helix bundles easily unfolded into a stable 3-helix conformation. The results of smAFM experiments were in agreement with the findings of the computational simulations. The disulfide clamp mutants, designed to protect the stable state, support the 3-helix intermediate model in both experimental and computational setups. As a result, multiple discrete unfolding intermediate states in the talin and α-catenin unfolding pathway were discovered. Better understanding of the mechanical unfolding mechanism of α-helix proteins is a key step towards comprehensive models describing the mechanoregulation of proteins. PMID:29698481
Manufacturing process of nanofluidics using afm probe
NASA Astrophysics Data System (ADS)
Karingula, Varun Kumar
A new process for fabricating a nano fluidic device that can be used in medical application is developed and demonstrated. Nano channels are fabricated using a nano tip in indentation mode on AFM (Atomic Force Microscopy). The nano channels are integrated between the micro channels and act as a filter to separate biomolecules. Nano channels of 4 to7 m in length, 80nm in width, and at varying depths from 100nm to 850 nm allow the resulting device to separate selected groups of lysosomes and other viruses. Sharply developed vertical micro channels are produced from a deep reaction ion etching followed by deposition of different materials, such as gold and polymers, on the top surface, allowing the study of alternative ways of manufacturing a nanofluidic device. PDMS (Polydimethylsiloxane) bonding is performed to close the top surface of the device. An experimental setup is used to test and validate the device by pouring fluid through the channels. A detailed cost evaluation is conducted to compare the economical merits of the proposed process. It is shown that there is a 47:7% manufacturing time savings and a 60:6% manufacturing cost savings.
NASA Astrophysics Data System (ADS)
Kane, D. M.; Naidoo, N.; Staib, G. R.
2010-10-01
Atomic force microscopy (AFM) study is used to measure the surface topology and roughness of radial and capture spider silks on the micro- and nanoscale. This is done for silks of the orb weaver spider Argiope keyserlingi. Capture silk has a surface roughness that is five times less than that for radial silk. The capture silk has an equivalent flatness of λ /100 (5-6 nm deep surface features) as an optical surface. This is equivalent to a very highly polished optical surface. AFM does show the number of silk fibers that make up a silk thread but geometric distortion occurs during sample preparation. This prevented AFM from accurately measuring the silk topology on the microscale in this study.
Luque-Caballero, Germán; Martín-Molina, Alberto; Sánchez-Treviño, Alda Yadira; Rodríguez-Valverde, Miguel A; Cabrerizo-Vílchez, Miguel A; Maldonado-Valderrama, Julia
2014-04-28
Complexation of DNA with lipids is currently being developed as an alternative to classical vectors based on viruses. Most of the research to date focuses on cationic lipids owing to their spontaneous complexation with DNA. Nonetheless, recent investigations have revealed that cationic lipids induce a large number of adverse effects on DNA delivery. Precisely, the lower cytotoxicity of anionic lipids accounts for their use as a promising alternative. However, the complexation of DNA with anionic lipids (mediated by cations) is still in early stages and is not yet well understood. In order to explore the molecular mechanisms underlying the complexation of anionic lipids and DNA we proposed a combined methodology based on the surface pressure-area isotherms, Gibbs elasticity and Atomic Force Microscopy (AFM). These techniques allow elucidation of the role of the surface pressure in the complexation and visualization of the interfacial aggregates for the first time. We demonstrate that the DNA complexes with negatively charged model monolayers (DPPC/DPPS 4 : 1) only in the presence of Ca(2+), but is expelled at very high surface pressures. Also, according to the Gibbs elasticity plot, the complexation of lipids and DNA implies a whole fluidisation of the monolayer and a completely different phase transition map in the presence of DNA and Ca(2+). AFM imaging allows identification for the first time of specific morphologies associated with different packing densities. At low surface coverage, a branched net like structure is observed whereas at high surface pressure fibers formed of interfacial aggregates appear. In summary, Ca(2+) mediates the interaction between DNA and negatively charged lipids and also the conformation of the ternary system depends on the surface pressure. Such observations are important new generic features of the interaction between DNA and anionic lipids.
Traceable nanoscale measurement at NML-SIRIM
NASA Astrophysics Data System (ADS)
Dahlan, Ahmad M.; Abdul Hapip, A. I.
2012-06-01
The role of national metrology institute (NMI) has always been very crucial in national technology development. One of the key activities of the NMI is to provide traceable measurement in all parameters under the International System of Units (SI). Dimensional measurement where size and shape are two important features investigated, is one of the important area covered by NMIs. To support the national technology development, particularly in manufacturing sectors and emerging technology such nanotechnology, the National Metrology Laboratory, SIRIM Berhad (NML-SIRIM), has embarked on a project to equip Malaysia with state-of-the-art nanoscale measurement facility with the aims of providing traceability of measurement at nanoscale. This paper will look into some of the results from current activities at NML-SIRIM related to measurement at nanoscale particularly on application of atomic force microscope (AFM) and laser based sensor in dimensional measurement. Step height standards of different sizes were measured using AFM and laser-based sensors. These probes are integrated into a long-range nanoscale measuring machine traceable to the international definition of the meter thus ensuring their traceability. Consistency of results obtained by these two methods will be discussed and presented. Factors affecting their measurements as well as their related uncertainty of measurements will also be presented.
Kurk, Toby; Adams, David G; Connell, Simon D; Thomson, Neil H
2010-05-01
Imaging signals derived from the atomic force microscope (AFM) are typically presented as separate adjacent images with greyscale or pseudo-colour palettes. We propose that information-rich false-colour composites are a useful means of presenting three-channel AFM image data. This method can aid the interpretation of complex surfaces and facilitate the perception of information that is convoluted across data channels. We illustrate this approach with images of filamentous cyanobacteria imaged in air and under aqueous buffer, using both deflection-modulation (contact) mode and amplitude-modulation (tapping) mode. Topography-dependent contrast in the error and tertiary signals aids the interpretation of the topography signal by contributing additional data, resulting in a more detailed image, and by showing variations in the probe-surface interaction. Moreover, topography-independent contrast and topography-dependent contrast in the tertiary data image (phase or friction) can be distinguished more easily as a consequence of the three dimensional colour-space.
Method for revealing biases in precision mass measurements
NASA Astrophysics Data System (ADS)
Vabson, V.; Vendt, R.; Kübarsepp, T.; Noorma, M.
2013-02-01
A practical method for the quantification of systematic errors of large-scale automatic comparators is presented. This method is based on a comparison of the performance of two different comparators. First, the differences of 16 equal partial loads of 1 kg are measured with a high-resolution mass comparator featuring insignificant bias and 1 kg maximum load. At the second stage, a large-scale comparator is tested by using combined loads with known mass differences. Comparing the different results, the biases of any comparator can be easily revealed. These large-scale comparator biases are determined over a 16-month period, and for the 1 kg loads, a typical pattern of biases in the range of ±0.4 mg is observed. The temperature differences recorded inside the comparator concurrently with mass measurements are found to remain within a range of ±30 mK, which obviously has a minor effect on the detected biases. Seasonal variations imply that the biases likely arise mainly due to the functioning of the environmental control at the measurement location.
Probing lipid membrane electrostatics
NASA Astrophysics Data System (ADS)
Yang, Yi
The electrostatic properties of lipid bilayer membranes play a significant role in many biological processes. Atomic force microscopy (AFM) is highly sensitive to membrane surface potential in electrolyte solutions. With fully characterized probe tips, AFM can perform quantitative electrostatic analysis of lipid membranes. Electrostatic interactions between Silicon nitride probes and supported zwitterionic dioleoylphosphatidylcholine (DOPC) bilayer with a variable fraction of anionic dioleoylphosphatidylserine (DOPS) were measured by AFM. Classical Gouy-Chapman theory was used to model the membrane electrostatics. The nonlinear Poisson-Boltzmann equation was numerically solved with finite element method to provide the potential distribution around the AFM tips. Theoretical tip-sample electrostatic interactions were calculated with the surface integral of both Maxwell and osmotic stress tensors on tip surface. The measured forces were interpreted with theoretical forces and the resulting surface charge densities of the membrane surfaces were in quantitative agreement with the Gouy-Chapman-Stern model of membrane charge regulation. It was demonstrated that the AFM can quantitatively detect membrane surface potential at a separation of several screening lengths, and that the AFM probe only perturbs the membrane surface potential by <2%. One important application of this technique is to estimate the dipole density of lipid membrane. Electrostatic analysis of DOPC lipid bilayers with the AFM reveals a repulsive force between the negatively charged probe tips and the zwitterionic lipid bilayers. This unexpected interaction has been analyzed quantitatively to reveal that the repulsion is due to a weak external field created by the internai membrane dipole moment. The analysis yields a dipole moment of 1.5 Debye per lipid with a dipole potential of +275 mV for supported DOPC membranes. This new ability to quantitatively measure the membrane dipole density in a noninvasive
Nanometric edge profile measurement of cutting tools on a diamond turning machine
NASA Astrophysics Data System (ADS)
Asai, Takemi; Arai, Yoshikazu; Cui, Yuguo; Gao, Wei
2008-10-01
Single crystal diamond tools are used for fabrication of precision parts [1-5]. Although there are many types of tools that are supplied, the tools with round nose are popular for machining very smooth surfaces. Tools with small nose radii, small wedge angles and included angles are also being utilized for fabrication of micro structured surfaces such as microlens arrays [6], diffractive optical elements and so on. In ultra precision machining, tools are very important as a part of the machining equipment. The roughness or profile of machined surface may become out of desired tolerance. It is thus necessary to know the state of the tool edge accurately. To meet these requirements, an atomic force microscope (AFM) for measuring the 3D edge profiles of tools having nanometer-scale cutting edge radii with high resolution has been developed [7-8]. Although the AFM probe unit is combined with an optical sensor for aligning the measurement probe with the tools edge top to be measured in short time in this system, this time only the AFM probe unit was used. During the measurement time, that was attached onto the ultra precision turning machine to confirm the possibility of profile measurement system.
Curry, Nathan; Ghézali, Grégory; Kaminski Schierle, Gabriele S.; Rouach, Nathalie; Kaminski, Clemens F.
2017-01-01
The plasticity of the cytoskeleton architecture and membrane properties is important for the establishment of cell polarity, adhesion and migration. Here, we present a method which combines stimulated emission depletion (STED) super-resolution imaging and atomic force microscopy (AFM) to correlate cytoskeletal structural information with membrane physical properties in live astrocytes. Using STED compatible dyes for live cell imaging of the cytoskeleton, and simultaneously mapping the cell surface topology with AFM, we obtain unprecedented detail of highly organized networks of actin and microtubules in astrocytes. Combining mechanical data from AFM with optical imaging of actin and tubulin further reveals links between cytoskeleton organization and membrane properties. Using this methodology we illustrate that scratch-induced migration induces cytoskeleton remodeling. The latter is caused by a polarization of actin and microtubule elements within astroglial cell processes, which correlates strongly with changes in cell stiffness. The method opens new avenues for the dynamic probing of the membrane structural and functional plasticity of living brain cells. It is a powerful tool for providing new insights into mechanisms of cell structural remodeling during physiological or pathological processes, such as brain development or tumorigenesis. PMID:28469559
Nelson, Edward M; Li, Hui; Timp, Gregory
2014-06-24
We report direct, concurrent measurements of the forces and currents associated with the translocation of a single-stranded DNA molecule tethered to the tip of an atomic force microscope (AFM) cantilever through synthetic pores with topagraphies comparable to the DNA. These measurements were performed to gauge the signal available for sequencing and the electric force required to impel a single molecule through synthetic nanopores ranging from 1.0 to 3.5 nm in diameter in silicon nitride membranes 6-10 nm thick. The measurements revealed that a molecule can slide relatively frictionlessly through a pore, but regular fluctuations are observed intermittently in the force (and the current) every 0.35-0.72 nm, which are attributed to individual nucleotides translating through the nanopore in a turnstile-like motion.
Kinetic Measurements Reveal Enhanced Protein-Protein Interactions at Intercellular Junctions
Shashikanth, Nitesh; Kisting, Meridith A.; Leckband, Deborah E.
2016-01-01
The binding properties of adhesion proteins are typically quantified from measurements with soluble fragments, under conditions that differ radically from the confined microenvironment of membrane bound proteins in adhesion zones. Using classical cadherin as a model adhesion protein, we tested the postulate that confinement within quasi two-dimensional intercellular gaps exposes weak protein interactions that are not detected in solution binding assays. Micropipette-based measurements of cadherin-mediated, cell-cell binding kinetics identified a unique kinetic signature that reflects both adhesive (trans) bonds between cadherins on opposing cells and lateral (cis) interactions between cadherins on the same cell. In solution, proposed lateral interactions were not detected, even at high cadherin concentrations. Mutations postulated to disrupt lateral cadherin association altered the kinetic signatures, but did not affect the adhesive (trans) binding affinity. Perturbed kinetics further coincided with altered cadherin distributions at junctions, wound healing dynamics, and paracellular permeability. Intercellular binding kinetics thus revealed cadherin interactions that occur within confined, intermembrane gaps but not in solution. Findings further demonstrate the impact of these revealed interactions on the organization and function of intercellular junctions. PMID:27009566
Enhanced stochastic fluctuations to measure steep adhesive energy landscapes
Haider, Ahmad; Potter, Daniel; Sulchek, Todd A.
2016-01-01
Free-energy landscapes govern the behavior of all interactions in the presence of thermal fluctuations in the fields of physical chemistry, materials sciences, and the biological sciences. From the energy landscape, critical information about an interaction, such as the reaction kinetic rates, bond lifetimes, and the presence of intermediate states, can be determined. Despite the importance of energy landscapes to understanding reaction mechanisms, most experiments do not directly measure energy landscapes, particularly for interactions with steep force gradients that lead to premature jump to contact of the probe and insufficient sampling of transition regions. Here we present an atomic force microscopy (AFM) approach for measuring energy landscapes that increases sampling of strongly adhesive interactions by using white-noise excitation to enhance the cantilever’s thermal fluctuations. The enhanced fluctuations enable the recording of subtle deviations from a harmonic potential to accurately reconstruct interfacial energy landscapes with steep gradients. Comparing the measured energy landscape with adhesive force measurements reveals the existence of an optimal excitation voltage that enables the cantilever fluctuations to fully sample the shape and depth of the energy surface. PMID:27911778
Göhler, Daniel; Wessely, Benno; Stintz, Michael; Lazzerini, Giovanni Mattia; Yacoot, Andrew
2017-01-01
Dimensional measurements on nano-objects by atomic force microscopy (AFM) require samples of safely fixed and well individualized particles with a suitable surface-specific particle number on flat and clean substrates. Several known and proven particle preparation methods, i.e., membrane filtration, drying, rinsing, dip coating as well as electrostatic and thermal precipitation, were performed by means of scanning electron microscopy to examine their suitability for preparing samples for dimensional AFM measurements. Different suspensions of nano-objects (with varying material, size and shape) stabilized in aqueous solutions were prepared therefore on different flat substrates. The drop-drying method was found to be the most suitable one for the analysed suspensions, because it does not require expensive dedicated equipment and led to a uniform local distribution of individualized nano-objects. Traceable AFM measurements based on Si and SiO2 coated substrates confirmed the suitability of this technique. PMID:28904839
Fiala, Petra; Göhler, Daniel; Wessely, Benno; Stintz, Michael; Lazzerini, Giovanni Mattia; Yacoot, Andrew
2017-01-01
Dimensional measurements on nano-objects by atomic force microscopy (AFM) require samples of safely fixed and well individualized particles with a suitable surface-specific particle number on flat and clean substrates. Several known and proven particle preparation methods, i.e., membrane filtration, drying, rinsing, dip coating as well as electrostatic and thermal precipitation, were performed by means of scanning electron microscopy to examine their suitability for preparing samples for dimensional AFM measurements. Different suspensions of nano-objects (with varying material, size and shape) stabilized in aqueous solutions were prepared therefore on different flat substrates. The drop-drying method was found to be the most suitable one for the analysed suspensions, because it does not require expensive dedicated equipment and led to a uniform local distribution of individualized nano-objects. Traceable AFM measurements based on Si and SiO 2 coated substrates confirmed the suitability of this technique.
NASA Astrophysics Data System (ADS)
Bandaccari, Kyle J.; Chesmore, Grace E.; Bugaj, Mitchel; Valverde, Parisa Tajalli-Tehrani; Barber, Richard P.; McNelis, Brian J.
2018-04-01
We report the effects of the addition of two azo-dye additives on the time-dependent efficiency of polymer solar cells. Although the maximum efficiencies of devices containing different amounts of dye do not vary greatly over the selected concentration range, the time dependence results reveal a surprising initial increase in efficiency in some samples. We observe this effect to be correlated with a leakage current, although a specific mechanism is not yet identified. We also present the measured lifetimes of these solar cells, and find that variations in dye concentrations produce a small effect at most. Characterization of the bulk heterojunction layer (active layer) morphology using atomic-force microscope (AFM) imaging reveals reordering patterns which suggest that the primary effects of the dyes arise via structural, not absorptive, characteristics.
Song, Bo; Chen, Kun; Schmittel, Michael; Schönherr, Holger
2016-11-01
All experimental findings related to surface nanobubbles, such as their pronounced stability and the striking differences of macroscopic and apparent nanoscopic contact angles, need to be addressed in any theory or model of surface nanobubbles. In this work we critically test a recent explanation of surface nanobubble stability and their consequences and contrast this with previously proposed models. In particular, we elucidated the effect of surface chemical composition of well-controlled solid-aqueous interfaces of identical roughness and defect density on the apparent nanoscopic contact angles. Expanding on a previous atomic force microscopy (AFM) study on the systematic variation of the macroscopic wettability using binary self-assembled monolayers (SAMs) on ultraflat template stripped gold (TSG), we assessed here the effect of different surface chemical composition for macroscopically identical static water contact angles. SAMs on TSG with a constant macroscopic water contact angle of 81 ± 2° were obtained by coadsorption of a methyl-terminated thiol and a second thiol with different terminal functional groups, including hydroxy, amino, and carboxylic acid groups. In addition, surface nanobubbles formed by entrainment of air on SAMs of a bromoisobutyrate-terminated thiol were analyzed by AFM. Despite the widely differing surface potentials and different functionality, such as hydrogen bond acceptor or donor, and different dipole moments and polarizability, the nanoscopic contact angles (measured through the condensed phase and corrected for AFM tip broadening effects) were found to be 145 ± 10° for all surfaces. Hence, different chemical functionalities at identical macroscopic static water contact angle do not noticeably influence the apparent nanoscopic contact angle of surface nanobubbles. This universal contact angle is in agreement with recent models that rely on contact line pinning and the equilibrium of gas outflux due to the Laplace pressure and
USDA-ARS?s Scientific Manuscript database
Tick-borne Babesia parasites are responsible for costly diseases worldwide. Improved control and prevention tools are urgently needed, but development of such tools is limited by numerous gaps in knowledge of the parasite-host relationships. We hereby used atomic force microscopy (AFM) and Kelvin pr...
Colloid-probe AFM studies of the interaction forces of proteins adsorbed on colloidal crystals.
Singh, Gurvinder; Bremmell, Kristen E; Griesser, Hans J; Kingshott, Peter
2015-04-28
In recent years, colloid-probe AFM has been used to measure the direct interaction forces between colloidal particles of different size or surface functionality in aqueous media, as one can study different forces in symmerical systems (i.e., sphere-sphere geometry). The present study investigates the interaction between protein coatings on colloid probes and hydrophilic surfaces decorated with hexagonally close packed single particle layers that are either uncoated or coated with proteins. Controlled solvent evaporation from aqueous suspensions of colloidal particles (coated with or without lysozyme and albumin) produces single layers of close-packed colloidal crystals over large areas on a solid support. The measurements have been carried out in an aqueous medium at different salt concentrations and pH values. The results show changes in the interaction forces as the surface charge of the unmodified or modified particles, and ionic strength or pH of the solution is altered. At high ionic strength or pH, electrostatic interactions are screened, and a strong repulsive force at short separation below 5 nm dominates, suggesting structural changes in the absorbed protein layer on the particles. We also study the force of adhesion, which decreases with an increment in the salt concentration, and the interaction between two different proteins indicating a repulsive interaction on approach and adhesion on retraction.
Aytac Korkmaz, Sevcan
2016-05-05
The aim of this article is to provide early detection of cervical cancer by using both Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) images of same patient. When the studies in the literature are examined, it is seen that the AFM and SEM images of the same patient are not used together for early diagnosis of cervical cancer. AFM and SEM images can be limited when using only one of them for the early detection of cervical cancer. Therefore, multi-modality solutions which give more accuracy results than single solutions have been realized in this paper. Optimum feature space has been obtained by Discrete Wavelet Entropy Energy (DWEE) applying to the 3×180 AFM and SEM images. Then, optimum features of these images are classified with Jensen Shannon, Hellinger, and Triangle Measure (JHT) Classifier for early diagnosis of cervical cancer. However, between classifiers which are Jensen Shannon, Hellinger, and triangle distance have been validated the measures via relationships. Afterwards, accuracy diagnosis of normal, benign, and malign cervical cancer cell was found by combining mean success rates of Jensen Shannon, Hellinger, and Triangle Measure which are connected with each other. Averages of accuracy diagnosis for AFM and SEM images by averaging the results obtained from these 3 classifiers are found as 98.29% and 97.10%, respectively. It has been observed that AFM images for early diagnosis of cervical cancer have higher performance than SEM images. Also in this article, surface roughness of malign AFM images in the result of the analysis made for the AFM images, according to the normal and benign AFM images is observed as larger, If the volume of particles has found as smaller. Copyright © 2016 Elsevier B.V. All rights reserved.
NASA Technical Reports Server (NTRS)
McClure, Mark B.; Greene, Benjamin
2014-01-01
All spacecraft require propulsion systems for thrust and maneuvering. Propulsion systems can be chemical, nuclear, electrical, cold gas or combinations thereof. Chemical propulsion has proven to be the most reliable technology since the deployment of launch vehicles. Performance, storability, and handling are three important aspects of liquid chemical propulsion. Bipropellant systems require a fuel and an oxidizer for propulsion, but monopropellants only require a fuel and a catalyst for propulsion and are therefore simpler and lighter. Hydrazine is the state of the art propellant for monopropellant systems, but has drawbacks because it is highly hazardous to human health, which requires extensive care in handling, complex ground ops due to safety and environmental considerations, and lengthy turnaround times for reusable spacecraft. All users of hydrazine monopropellant must contend with these issues and their associated costs. The development of a new monopropellant, intended to replace hydrazine, has been in progress for years. This project will apply advanced techniques to characterize the engineering properties of materials used in AF-M315E propulsion systems after propellant exposure. AF-M315E monopropellant has been selected HQ's Green Propellant Infusion Mission (GPIM) to replace toxic hydrazine for improved performance and reduce safety and health issues that will shorten reusable spacecraft turn-around time. In addition, this project will fundamentally strengthen JSC's core competency to evaluate, use and infuse liquid propellant systems.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jinkins, K.; Farina, L.; Wu, Y., E-mail: wuy@uwplatt.edu
2015-12-14
The properties of Few-Layer Graphene (FLG) change with the number of layers and Amplitude Modulation (AM) Atomic Force Microscopy (AFM) is commonly used to determine the thickness of FLG. However, AFM measurements have been shown to be sensitive to environmental conditions such as relative humidity (RH). In the present study, AM-AFM is used to measure the thickness and loss tangent of exfoliated graphene on silicon dioxide (SiO{sub 2}) as RH is increased from 10% to 80%. We show that the measured thickness of graphene is dependent on RH. The loss tangent values of the graphene and oxide regions are bothmore » affected by humidity, with generally higher loss tangent for graphene than SiO{sub 2}. As RH increases, we observe the loss tangent of both materials approaches the same value. We hypothesize that there is a layer of water trapped between the graphene and SiO{sub 2} substrate to explain this observation. Using this interpretation, the loss tangent images also indicate movement and change in this trapped water layer as RH increases, which impacts the measured thickness of graphene using AM-AFM.« less
Uncertainty quantification in nanomechanical measurements using the atomic force microscope
Ryan Wagner; Robert Moon; Jon Pratt; Gordon Shaw; Arvind Raman
2011-01-01
Quantifying uncertainty in measured properties of nanomaterials is a prerequisite for the manufacture of reliable nanoengineered materials and products. Yet, rigorous uncertainty quantification (UQ) is rarely applied for material property measurements with the atomic force microscope (AFM), a widely used instrument that can measure properties at nanometer scale...
Terlier, T; Lee, J; Lee, K; Lee, Y
2018-02-06
Technological progress has spurred the development of increasingly sophisticated analytical devices. The full characterization of structures in terms of sample volume and composition is now highly complex. Here, a highly improved solution for 3D characterization of samples, based on an advanced method for 3D data correction, is proposed. Traditionally, secondary ion mass spectrometry (SIMS) provides the chemical distribution of sample surfaces. Combining successive sputtering with 2D surface projections enables a 3D volume rendering to be generated. However, surface topography can distort the volume rendering by necessitating the projection of a nonflat surface onto a planar image. Moreover, the sputtering is highly dependent on the probed material. Local variation of composition affects the sputter yield and the beam-induced roughness, which in turn alters the 3D render. To circumvent these drawbacks, the correlation of atomic force microscopy (AFM) with SIMS has been proposed in previous studies as a solution for the 3D chemical characterization. To extend the applicability of this approach, we have developed a methodology using AFM-time-of-flight (ToF)-SIMS combined with an empirical sputter model, "dynamic-model-based volume correction", to universally correct 3D structures. First, the simulation of 3D structures highlighted the great advantages of this new approach compared with classical methods. Then, we explored the applicability of this new correction to two types of samples, a patterned metallic multilayer and a diblock copolymer film presenting surface asperities. In both cases, the dynamic-model-based volume correction produced an accurate 3D reconstruction of the sample volume and composition. The combination of AFM-SIMS with the dynamic-model-based volume correction improves the understanding of the surface characteristics. Beyond the useful 3D chemical information provided by dynamic-model-based volume correction, the approach permits us to enhance
NASA Astrophysics Data System (ADS)
Govindhan, Raman; Karthikeyan, Balakrishnan
2017-12-01
3,5-Bis(trifluoromethyl)benzylamine derivatives of single amino acid tyrosine produced self-assembled nanotubes (BTTNTs) as simple Phe-Phe. It has been observed that tyrosine derivative gives exclusively micro and nano tubes irrespective of the concentration of the precursor monomer. However, the introduced xenobiotic trifluoromethyl group (TFM) present in key backbone positionsof the self assembly gives the specific therapeutic function has been highlighted. Herein this work study of such self assembled nanotubes were studied through experimental and theoretical methods. The interaction of nanocopper cluster with the nanotubes (Cu@BTTNTs) were extensively studied by various methods like XRD, AFM, confocal Raman microscopy, SERS and theoretical methods like Mulliken's atomic charge analysis. SERS reveals that the interactions of Cu cluster with NH2, OH, NH and phenyl ring π-electrons system of BTTNTs. DFT studies gave the total dipole moment values of Cu@BTTNTs and explained the nature of interaction.
Gonzalez, Laura; Martínez-Martín, David; Otero, Jorge; de Pablo, Pedro José; Puig-Vidal, Manel; Gómez-Herrero, Julio
2015-01-14
The use of quartz tuning fork sensors as probes for scanning probe microscopy is growing in popularity. Working in shear mode, some methods achieve a lateral resolution comparable with that obtained with standard cantilevered probes, but only in experiments conducted in air or vacuum. Here, we report a method to produce and use commercial AFM tips in electrically driven quartz tuning fork sensors operating in shear mode in a liquid environment. The process is based on attaching a standard AFM tip to the end of a fiber probe which has previously been sharpened. Only the end of the probe is immersed in the buffer solution during imaging. The lateral resolution achieved is about 6 times higher than that of the etched microfiber on its own.
Spin dynamics and exchange interactions in CuO measured by neutron scattering
NASA Astrophysics Data System (ADS)
Jacobsen, H.; Gaw, S. M.; Princep, A. J.; Hamilton, E.; Tóth, S.; Ewings, R. A.; Enderle, M.; Wheeler, E. M. Hétroy; Prabhakaran, D.; Boothroyd, A. T.
2018-04-01
The magnetic properties of CuO encompass several contemporary themes in condensed-matter physics, including quantum magnetism, magnetic frustration, magnetically-induced ferroelectricity, and orbital currents. Here we report polarized and unpolarized neutron inelastic scattering measurements which provide a comprehensive map of the cooperative spin dynamics in the low-temperature antiferromagnetic (AFM) phase of CuO throughout much of the Brillouin zone. At high energies (E ≳100 meV ), the spectrum displays continuum features consistent with the des Cloizeax-Pearson dispersion for an ideal S =1/2 Heisenberg AFM chain. At lower energies, the spectrum becomes more three dimensional, and we find that a linear spin-wave model for a Heisenberg AFM provides a very good description of the data, allowing for an accurate determination of the relevant exchange constants in an effective spin Hamiltonian for CuO. In the high-temperature helicoidal phase, there are features in the measured low-energy spectrum that we could not reproduce with a spin-only model. We discuss how these might be associated with the magnetically-induced multiferroic behavior observed in this phase.
Li, Bin; Yu, Bo; Zhou, Feng
2013-02-12
Electrochemically induced surface-initiated atom-transfer radical polymerization is traced by in situ AFM technology for the first time, which allows visualization of the polymer growth process. It affords a fundamental insight into the surface morphology and growth mechanism simultaneously. Using this technique, the polymerization kinetics of two model monomers were studied, namely the anionic 3-sulfopropyl methacrylate potassium salt (SPMA) and the cationic 2-(metharyloyloxy)ethyltrimethylammonium chloride (METAC). The growth of METAC is significantly improved by screening the ammonium cations by the addition of ionic liquid electrolyte in aqueous solution. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Tekçe, Neslihan; Pala, Kansad; Demirci, Mustafa; Tuncer, Safa
2016-11-01
To evaluate changes in surface characteristics of two different resin composites after 1 year of water storage using a profilometer, Vickers hardness, scanning electron microscopy (SEM), and atomic force microscopy (AFM). A total of 46 composite disk specimens (10 mm in diameter and 2 mm thick) were fabricated using Clearfil Majesty Esthetic and Clearfil Majesty Posterior (Kuraray Medical Co, Tokyo, Japan). Ten specimens from each composite were used for surface roughness and microhardness tests (n = 10). For each composite, scanning electron microscope (SEM, n = 2) and atomic force microscope (AFM, n = 1) images were obtained after 24 h and 1 year of water storage. The data were analyzed using two-way analysis of variance and a post-hoc Bonferroni test. Microhardness values of Clearfil Majesty Esthetic decreased significantly (78.15-63.74, p = 0.015) and surface roughness values did not change after 1 year of water storage (0.36-0.39, p = 0.464). Clearfil Majesty Posterior microhardness values were quite stable (138.74-137.25, p = 0.784), and surface roughness values increased significantly (0.39-0.48, p = 0.028) over 1 year. One year of water storage caused microhardness values for Clearfil Majesty Esthetic to decrease and the surface roughness of Clearfil Majesty Posterior increased. AFM and SEM images demonstrated surface detoration of the materials after 1 year and ensured similar results with the quantitative test methods. SCANNING 38:694-700, 2016. © 2016 Wiley Periodicals, Inc. © Wiley Periodicals, Inc.
Stripe Antiferromagnetic Spin Fluctuations in SrCo 2As 2
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jayasekara, Wageesha; Lee, Young-Jin; Pandey, Abhishek
Inelastic neutron scattering measurements of paramagnetic SrCo 2As 2 at T = 5 K reveal antiferromagnetic (AFM) spin fluctuations that are peaked at a wave vector of Q AFM = (1/2, 1/2, 1) and possess a large energy scale. These stripe spin fluctuations are similar to those found in AFe 2As 2 compounds, where spin-density wave AFM is driven by Fermi surface nesting between electron and hole pockets separated by Q AFM. SrCo 2As 2 has a more complex Fermi surface and band-structure calculations indicate a potential instability toward either a ferromagnetic or stripe AFM ground state. The results suggestmore » that stripe AFM magnetism is a general feature of both iron and cobalt-based arsenides and the search for spin fluctuation-induced unconventional superconductivity should be expanded to include cobalt-based compounds.« less
NASA Astrophysics Data System (ADS)
Kageshima, Masami; Takeda, Seiji; Ptak, Arkadiusz; Nakamura, Chikashi; Jarvis, Suzanne P.; Tokumoto, Hiroshi; Miyake, Jun
2004-12-01
A method for measuring intramolecular energy dissipation as well as stiffness variation in a single biomolecule in situ by atomic force microscopy (AFM) is presented. An AFM cantilever is magnetically modulated at an off-resonance frequency while it elongates a single peptide molecule in buffer solution. The molecular stiffness and the energy dissipation are measured via the amplitude and phase lag in the response signal. Data showing a peculiar feature in both profiles of stiffness and dissipation is presented. This suggests that the present method is more sensitive to the state of the molecule than the conventional force-elongation measurement is.
An, Hongjie; Tan, Beng Hau; Ohl, Claus-Dieter
2016-12-06
The widespread application of surface-attached nanobubbles and nanodroplets in biomedical engineering and nanotechnology is limited by numerous experimental challenges, in particular, the possibility of contamination in nucleation experiments. These challenges are complicated by recent reports that it can be difficult to distinguish between nanoscale drops and bubbles. Here we identify clear differences in the mechanical responses of nanobubbles and nanodroplets under various modes of AFM imaging that subject the objects to predominantly vertical or lateral forces. This allows us to distinguish among nanodroplets, nanobubbles, and oil-covered nanobubbles in water.
Adsorption mechanisms for fatty acids on DLC and steel studied by AFM and tribological experiments
NASA Astrophysics Data System (ADS)
Simič, R.; Kalin, M.
2013-10-01
Fatty acids are known to affect the friction and wear of steel contacts via adsorption onto the surface, which is one of the fundamental boundary-lubrication mechanisms. The understanding of the lubrication mechanisms of polar molecules on diamond-like carbon (DLC) is, however, still insufficient. In this work we aimed to find out whether such molecules have a similar effect on DLC coatings as they do on steel. The adsorption of hexadecanoic acid in various concentrations (2-20 mmol/l) on DLC was studied under static conditions using an atomic force microscope (AFM). The amount of surface coverage of the adsorbed fatty-acid molecules was analysed. In addition, tribological tests were performed to correlate the wear and friction behaviours in tribological contacts with the adsorption of molecules on the surface under static conditions. A good correlation between the AFM results and the tribological behaviour was observed. We confirmed that fatty acids can adsorb onto the DLC surfaces and are, therefore, potential boundary-lubrication agents for DLC coatings. The adsorption of the fatty acid onto the DLC surfaces reduces the wear of the coatings, but it is less effective in reducing the friction. Tentative adsorption mechanisms that include an environmental species effect, a temperature effect and a tribochemical effect are proposed for DLC and steel surfaces based on our results and few potential mechanisms found in literature.
El-Said, Waleed Ahmed; Yea, Cheol-Heon; Jung, Mi; Kim, Hyuncheol; Choi, Jeong-Woo
2010-05-01
In this study, in situ electrochemical synthesis of polypyrrole nanowires with nanoporous alumina template was described. The formation of highly ordered porous alumina substrate was demonstrated with Atomic Force Microscopy (AFM) and Scanning Electron Microscopy (SEM). In addition, Fourier transform infrared analysis confirmed that polypyrrole (PP) nanowires were synthesized by direct electrochemical oxidation of pyrrole. HeLa cancer cells and HMCF normal cells were immobilized on the polypyrrole nanowires/nanoporous alumina substrates to determine the effects of the substrate on the cell morphology, adhesion and proliferation as well as the biocompatibility of the substrate. Cell adhesion and proliferation were characterized using a standard MTT assay. The effects of the polypyrrole nanowires/nanoporous alumina substrate on the cell morphology were studied by AFM. The nanoporous alumina coated with polypyrrole nanowires was found to exhibit better cell adhesion and proliferation than polystyrene petridish, aluminum foil, 1st anodized and uncoated 2nd anodized alumina substrate. This study showed the potential of the polypyrrole nanowires/nanoporous alumina substrate as biocompatibility electroactive polymer substrate for both healthy and cancer cell cultures applications.
NASA Astrophysics Data System (ADS)
Devès, Guillaume; Cohen-Bouhacina, Touria; Ortega, Richard
2004-10-01
We used the nuclear microprobe techniques, micro-PIXE (particle-induced X-ray emission), micro-RBS (Rutherford backscattering spectrometry) and scanning transmission ion microscopy (STIM) in order to perform the characterization of trace element content and spatial distribution within biological samples (dehydrated cultured cells, tissues). The normalization of PIXE results was usually expressed in terms of sample dry mass as determined by micro-RBS recorded simultaneously to micro-PIXE. However, the main limit of RBS mass measurement is the sample mass loss occurring during irradiation and which could be up to 30% of the initial sample mass. We present here a new methodology for PIXE normalization and quantitative analysis of trace element within biological samples based on dry mass measurement performed by mean of STIM. The validation of STIM cell mass measurements was obtained in comparison with AFM sample thickness measurements. Results indicated the reliability of STIM mass measurement performed on biological samples and suggested that STIM should be performed for PIXE normalization. Further information deriving from direct confrontation of AFM and STIM analysis could as well be obtained, like in situ measurements of cell specific gravity within cells compartment (nucleolus and cytoplasm).
Acerbi, Irene; Luque, Tomás; Giménez, Alícia; Puig, Marta; Reguart, Noemi; Farré, Ramon; Navajas, Daniel; Alcaraz, Jordi
2012-01-01
Cells from lung and other tissues are subjected to forces of opposing directions that are largely transmitted through integrin-mediated adhesions. How cells respond to force bidirectionality remains ill defined. To address this question, we nanofabricated flat-ended cylindrical Atomic Force Microscopy (AFM) tips with ~1 µm(2) cross-section area. Tips were uncoated or coated with either integrin-specific (RGD) or non-specific (RGE/BSA) molecules, brought into contact with lung epithelial cells or fibroblasts for 30 s to form focal adhesion precursors, and used to probe cell resistance to deformation in compression and extension. We found that cell resistance to compression was globally higher than to extension regardless of the tip coating. In contrast, both tip-cell adhesion strength and resistance to compression and extension were the highest when probed at integrin-specific adhesions. These integrin-specific mechanoresponses required an intact actin cytoskeleton, and were dependent on tyrosine phosphatases and Ca(2+) signaling. Cell asymmetric mechanoresponse to compression and extension remained after 5 minutes of tip-cell adhesion, revealing that asymmetric resistance to force directionality is an intrinsic property of lung cells, as in most soft tissues. Our findings provide new insights on how lung cells probe the mechanochemical properties of the microenvironment, an important process for migration, repair and tissue homeostasis.
Atomic Force Microscopy in Characterizing Cell Mechanics for Biomedical Applications: A Review.
Li, Mi; Dang, Dan; Liu, Lianqing; Xi, Ning; Wang, Yuechao
2017-09-01
Cell mechanics is a novel label-free biomarker for indicating cell states and pathological changes. The advent of atomic force microscopy (AFM) provides a powerful tool for quantifying the mechanical properties of single living cells in aqueous conditions. The wide use of AFM in characterizing cell mechanics in the past two decades has yielded remarkable novel insights in understanding the development and progression of certain diseases, such as cancer, showing the huge potential of cell mechanics for practical applications in the field of biomedicine. In this paper, we reviewed the utilization of AFM to characterize cell mechanics. First, the principle and method of AFM single-cell mechanical analysis was presented, along with the mechanical responses of cells to representative external stimuli measured by AFM. Next, the unique changes of cell mechanics in two types of physiological processes (stem cell differentiation, cancer metastasis) revealed by AFM were summarized. After that, the molecular mechanisms guiding cell mechanics were analyzed. Finally the challenges and future directions were discussed.
AFM Investigation of Liquid-Filled Polymer Microcapsules Elasticity.
Sarrazin, Baptiste; Tsapis, Nicolas; Mousnier, Ludivine; Taulier, Nicolas; Urbach, Wladimir; Guenoun, Patrick
2016-05-10
Elasticity of polymer microcapsules (MCs) filled with a liquid fluorinated core is studied by atomic force microscopy (AFM). Accurately characterized spherical tips are employed to obtain the Young's moduli of MCs having four different shell thicknesses. We show that those moduli are effective ones because the samples are composites. The strong decrease of the effective MC elasticity (from 3.0 to 0.1 GPa) as the shell thickness decreases (from 200 to 10 nm) is analyzed using a novel numerical approach. This model describes the evolution of the elasticity of a coated half-space according to the contact radius, the thickness of the film, and the elastic moduli of bulk materials. This numerical model is consistent with the experimental data and allows simulating the elastic behavior of MCs at high frequencies (5 MHz). While the quasi-static elasticity of the MCs is found to be very dependent on the shell thickness, the high frequency (5 MHz) elastic behavior of the core leads to a stable behavior of the MCs (from 2.5 to 3 GPa according to the shell thickness). Finally, the effect of thermal annealing on the MCs elasticity is investigated. The Young's modulus is found to decrease because of the reduction of the shell thickness due to the loss of the polymer.
Guz, Nataliia V; Dokukin, Maxim E; Woodworth, Craig D; Cardin, Andrew; Sokolov, Igor
2015-10-01
We used AFM HarmoniX modality to analyse the surface of individual human cervical epithelial cells at three stages of progression to cancer, normal, immortal (pre-malignant) and carcinoma cells. Primary cells from 6 normal strains, 6 cancer, and 6 immortalized lines (derived by plasmid DNA-HPV-16 transfection of cells from 6 healthy individuals) were tested. This cell model allowed for good control of the cell phenotype down to the single cell level, which is impractical to attain in clinical screening tests (ex-vivo). AFM maps of physical (nonspecific) adhesion are collected on fixed dried cells. We show that a surface parameter called fractal dimension can be used to segregate normal from both immortal pre-malignant and malignant cells with sensitivity and specificity of more than 99%. The reported method of analysis can be directly applied to cells collected in liquid cytology screening tests and identified as abnormal with regular optical methods to increase sensitivity. Despite cervical smear screening, sometimes it is very difficult to differentiate cancers cells from pre-malignant cells. By using AFM to analyze the surface properties of human cervical epithelial cells, the authors were able to accurately identify normal from abnormal cells. This method could augment existing protocols to increase diagnostic accuracy. Copyright © 2015. Published by Elsevier Inc.
Direct Measurement of the Wettability of Minerals Using Atomic Force Microscopy
NASA Astrophysics Data System (ADS)
Deng, Y.; Xu, L.; Lu, H.; Wang, H.; Shi, Y.
2016-12-01
The wettability of reservoir rock plays an essential role in affecting the states of fluids (water, oil, etc) in pores which are constructed with various minerals. The contact angle method, which is based on the optical microscope photographs of millimeter-sized droplets on a smooth mineral surface, is one of the most widely employed methods to evaluate the wettability of a rock. However, the real reservoir rocks are composed of several kinds of minerals and thus nonhomogeneous, which leads to different wettability at different location of the rock. The mineral grains are usually micrometer-sized so that the traditional optical contact angle method cannot obtain the wettability of different minerals in the rock. Here we used a tapping-mode atomic force microscopy (TM-AFM, MFP-3D-BIO, Asylum Research) to measure the contact angles of micrometer-sized water droplets on different minerals in a tight sand rock which is mainly composed of quartz, albite, potash feldspar and anorthite. The water droplets varied from submicron to several tens micron in diameter. With the optimization of tool and operation parameters, the AFM tip was well controlled so that the nanoscale morphology of the contact configuration between water film and the mineral surface can be obtained at high resolution without disturbing the liquid surface. The AFM results showed that the contact angles of water on quartz and albite were 30-40 ° and 37-45 °, respectively. The AFM method provides a new measure for the wettability evaluation of reservoir rocks, and it is with potential to be applied to oil and gas hydrate studies.
Load-embedded inertial measurement unit reveals lifting performance.
Tammana, Aditya; McKay, Cody; Cain, Stephen M; Davidson, Steven P; Vitali, Rachel V; Ojeda, Lauro; Stirling, Leia; Perkins, Noel C
2018-07-01
Manual lifting of loads arises in many occupations as well as in activities of daily living. Prior studies explore lifting biomechanics and conditions implicated in lifting-induced injuries through laboratory-based experimental methods. This study introduces a new measurement method using load-embedded inertial measurement units (IMUs) to evaluate lifting tasks in varied environments outside of the laboratory. An example vertical load lifting task is considered that is included in an outdoor obstacle course. The IMU data, in the form of the load acceleration and angular velocity, is used to estimate load vertical velocity and three lifting performance metrics: the lifting time (speed), power, and motion smoothness. Large qualitative differences in these parameters distinguish exemplar high and low performance trials. These differences are further supported by subsequent statistical analyses of twenty three trials (including a total of 115 total lift/lower cycles) from fourteen healthy participants. Results reveal that lifting time is strongly correlated with lifting power (as expected) but also correlated with motion smoothness. Thus, participants who lift rapidly do so with significantly greater power using motions that minimize motion jerk. Copyright © 2018 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Świątkowski, Michał; Wojtuś, Arkadiusz; Wielgoszewski, Grzegorz; Rudek, Maciej; Piasecki, Tomasz; Jóźwiak, Grzegorz; Gotszalk, Teodor
2018-04-01
Atomic force microscopy (AFM) is a widely used technology for the investigation and characterization of nanomaterials. Its functionality can be easily expanded by applying dedicated extension modules, which can measure the electrical conductivity or temperature of a sample. In this paper, we introduce a transformer ratio-arm bridge setup dedicated to AFM-based thermal imaging. One of the key features of the thermal module is the use of a low-power driving signal that prevents undesirable tip heating during resistance measurement, while the other is the sensor location in a ratio-arm transformer bridge working in the audio frequency range and ensuring galvanic isolation of the tip, enabling contact-mode scanning of electronic circuits. The proposed expansion module is compact and it can be integrated onto the AFM head close to the cantilever. The calibration process and the resolution of 11 mK of the proposed setup are shown.
NASA Astrophysics Data System (ADS)
Chen, Yuan-Liu; Xu, Yanhao; Shimizu, Yuki; Matsukuma, Hiraku; Gao, Wei
2018-06-01
This paper presents a high quality-factor (Q-factor) quartz tuning fork (QTF) with a glass probe attached, used in frequency modulation tapping mode atomic force microscopy (AFM) for the surface profile metrology of micro and nanostructures. Unlike conventionally used QTFs, which have tungsten or platinum probes for tapping mode AFM, and suffer from a low Q-factor influenced by the relatively large mass of the probe, the glass probe, which has a lower density, increases the Q-factor of the QTF probe unit allowing it to obtain better measurement sensitivity. In addition, the process of attaching the probe to the QTF with epoxy resin, which is necessary for tapping mode AFM, is also optimized to further improve the Q-factor of the QTF glass probe. The Q-factor of the optimized QTF glass probe unit is demonstrated to be very close to that of a bare QTF without a probe attached. To verify the effectiveness and the advantages of the optimized QTF glass probe unit, the probe unit is integrated into a home-built tapping mode AFM for conducting surface profile measurements of micro and nanostructures. A blazed grating with fine tool marks of 100 nm, a microprism sheet with a vertical amplitude of 25 µm and a Fresnel lens with a steep slope of 90 degrees are used as measurement specimens. From the measurement results, it is demonstrated that the optimized QTF glass probe unit can achieve higher sensitivity as well as better stability than conventional probes in the measurement of micro and nanostructures.
In situ AFM investigation of slow crack propagation mechanisms in a glassy polymer
NASA Astrophysics Data System (ADS)
George, M.; Nziakou, Y.; Goerke, S.; Genix, A.-C.; Bresson, B.; Roux, S.; Delacroix, H.; Halary, J.-L.; Ciccotti, M.
2018-03-01
A novel experimental technique based on in situ AFM monitoring of the mechanisms of damage and the strain fields associated to the slow steady-state propagation of a fracture in glassy polymers is presented. This micron-scale investigation is complemented by optical measurements of the sample deformation up to the millimetric macroscopic scale of the sample in order to assess the proper crack driving conditions. These multi-scale observations provide important insights towards the modeling of the fracture toughness of glassy polymers and its relationship with the macromolecular structure and non-linear rheological properties. This novel technique is first tested on a standard PMMA thermoplastic in order to both evaluate its performance and the richness of this new kind of observations. Although the fracture propagation in PMMA is well known to proceed through crazing in the bulk of the samples, our observations provide a clear description and quantitative evaluation of a change of fracture mechanism towards shear yielding fracture accompanied by local necking close to the free surface of the sample, which can be explained by the local change of stress triaxiality. Moreover, this primary surface necking mechanism is shown to be accompanied by a network of secondary grooves that can be related to surface crazes propagating towards the interior of the sample. This overall scenario is validated by post-mortem fractographic investigations by scanning electron microscopy.
NASA Astrophysics Data System (ADS)
Mechehoud, F.; Benaioun, N. E.; Hakiki, N. E.; Khelil, A.; Simon, L.; Bubendorff, J. L.
2018-03-01
Thermally oxidized nickel-based alloys are studied by scanning tunnelling microscopy (STM), scanning tunnelling spectroscopy (STS), atomic force microscopy (AFM), scanning kelvin probe force microscopy (SKPFM) and photoelectro-chemical techniques as a function of oxidation time at a fixed temperature of 623 K. By photoelectrochemistry measurements we identify the formation of three oxides NiO, Fe2O3, Cr2O3 and determine the corresponding gap values. We use these values as parameter for imaging the surface at high bias voltage by STM allowing the spatial localization and identification of both NiO, Fe2O3 oxide phases using STS measurements. Associated to Kelvin probe measurements we show also that STS allow to distinguished NiO from Cr2O3 and confirm that the Cr2O3 is not visible at the surface and localized at the oxide/steel interface.
Nonclassical light revealed by the joint statistics of simultaneous measurements.
Luis, Alfredo
2016-04-15
Nonclassicality cannot be a single-observable property, since the statistics of any quantum observable is compatible with classical physics. We develop a general procedure to reveal nonclassical behavior of light states from the joint statistics arising in the practical measurement of multiple observables. Beside embracing previous approaches, this protocol can disclose nonclassical features for standard examples of classical-like behavior, such as SU(2) and Glauber coherent states. When combined with other criteria, this would imply that every light state is nonclassical.
BOREAS AFM-12 1-km AVHRR Seasonal Land Cover Classification
NASA Technical Reports Server (NTRS)
Steyaert, Lou; Hall, Forrest G.; Newcomer, Jeffrey A. (Editor); Knapp, David E. (Editor); Loveland, Thomas R.; Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-12 team's efforts focused on regional scale Surface Vegetation and Atmosphere (SVAT) modeling to improve parameterization of the heterogeneous BOREAS landscape for use in larger scale Global Circulation Models (GCMs). This regional land cover data set was developed as part of a multitemporal one-kilometer Advanced Very High Resolution Radiometer (AVHRR) land cover analysis approach that was used as the basis for regional land cover mapping, fire disturbance-regeneration, and multiresolution land cover scaling studies in the boreal forest ecosystem of central Canada. This land cover classification was derived by using regional field observations from ground and low-level aircraft transits to analyze spectral-temporal clusters that were derived from an unsupervised cluster analysis of monthly Normalized Difference Vegetation Index (NDVI) image composites (April-September 1992). This regional data set was developed for use by BOREAS investigators, especially those involved in simulation modeling, remote sensing algorithm development, and aircraft flux studies. Based on regional field data verification, this multitemporal one-kilometer AVHRR land cover mapping approach was effective in characterizing the biome-level land cover structure, embedded spatially heterogeneous landscape patterns, and other types of key land cover information of interest to BOREAS modelers.The land cover mosaics in this classification include: (1) wet conifer mosaic (low, medium, and high tree stand density), (2) mixed coniferous-deciduous forest (80% coniferous, codominant, and 80% deciduous), (3) recent visible bum, vegetation regeneration, or rock outcrops-bare ground-sparsely vegetated slow regeneration bum (four classes), (4) open water and grassland marshes, and (5) general agricultural land use/ grasslands (three classes). This land cover mapping approach did not detect small subpixel-scale landscape
Yusoh, Siti Noorhaniah
2016-01-01
Summary The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications. PMID:27826521
López-Guerra, Enrique A
2017-01-01
We explore the contact problem of a flat-end indenter penetrating intermittently a generalized viscoelastic surface, containing multiple characteristic times. This problem is especially relevant for nanoprobing of viscoelastic surfaces with the highly popular tapping-mode AFM imaging technique. By focusing on the material perspective and employing a rigorous rheological approach, we deliver analytical closed-form solutions that provide physical insight into the viscoelastic sources of repulsive forces, tip–sample dissipation and virial of the interaction. We also offer a systematic comparison to the well-established standard harmonic excitation, which is the case relevant for dynamic mechanical analysis (DMA) and for AFM techniques where tip–sample sinusoidal interaction is permanent. This comparison highlights the substantial complexity added by the intermittent-contact nature of the interaction, which precludes the derivation of straightforward equations as is the case for the well-known harmonic excitations. The derivations offered have been thoroughly validated through numerical simulations. Despite the complexities inherent to the intermittent-contact nature of the technique, the analytical findings highlight the potential feasibility of extracting meaningful viscoelastic properties with this imaging method. PMID:29114450
Souiri, Mina; Blel, Nesrine; Sboui, Dejla; Mhamdi, Lotfi; Epalle, Thibaut; Mzoughi, Ridha; Riffard, Serge; Othmane, Ali
2014-01-01
The microscopic surface molecular structures and properties of monoclonal anti-Legionella pneumophila antibodies on an indium-tin oxide (ITO) electrode surface were studied to elaborate an electrochemical immunosensor for Legionella pneumophila detection. A monoclonal anti-Legionella pneumophila antibody (MAb) has been immobilized onto an ITO electrode via covalent chemical bonds between antibodies amino-group and the ring of (3-Glycidoxypropyl) trimethoxysilane (GPTMS). The functionalization of the immunosensor was characterized by atomic force microscopy (AFM), water contact angle measurement, cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) in the presence of [Fe(CN)₆](3-/4-) as a redox probe. Specific binding of Legionella pneumophila sgp 1 cells onto the antibody-modified ITO electrode was shown by confocal laser scanning microscopy (CLSM) imaging and EIS. AFM images evidenced the dense and relatively homogeneous morphology on the ITO surface. The formation of the complex epoxysilane-antibodies acting as barriers for the electron transfer between the electrode surface and the redox species in the solution induced a significant increase in the charge transfer resistance (Rct) compared to all the electric elements. A linear relationship between the change in charge transfer resistance (ΔRct=Rct after immunoreactions - Rct control) and the logarithmic concentration value of L. pneumophila was observed in the range of 5 × 10(1)-5 × 10(4) CFU mL(-1) with a limit of detection 5 × 10(1)CFU mL(-1). The present study has demonstrated the successful deposition of an anti-L. pneumophila antibodies on an indium-tin oxide surface, opening its subsequent use as immuno-captor for the specific detection of L. pneumophila in environmental samples. © 2013 Elsevier B.V. All rights reserved.
Thalhammer, S; Koehler, U; Stark, R W; Heckl, W M
2001-06-01
Surface topography of human metaphase chromosomes following GTG banding was examined using high resolution atomic force microscopy (AFM). Although using a completely different imaging mechanism, which is based on the mechanical interaction of a probe tip with the chromosome, the observed banding pattern is comparable to results from light microscopy and a karyotype of the AFM imaged metaphase spread can be generated. The AFM imaging process was performed on a normal 2n = 46, XX karyotype and on a 2n = 46, XY, t(2;15)(q23;q15) karyotype as an example of a translocation of chromosomal bands.
NASA Astrophysics Data System (ADS)
Liu, Ye; Song, Chonglin; Lv, Gang; Chen, Nan; Zhou, Hua; Jing, Xiaojun
2018-03-01
Atomic force microscopy (AFM) was used to characterize the attractive force, adhesive force and adhesion energy between an AFM probe tip and nanometric soot particle generated by a premixed methane/oxygen flame. Different attractive force distributions were found when increasing the height above burner (HAB), with forces ranging from 1.1-3.5 nN. As the HAB was increased, the average attractive force initially increased, briefly decreased, and then underwent a gradual increase, with a maximum of 2.54 nN observed at HAB = 25 mm. The mean adhesive force was 6.5-7.5 times greater than the mean attractive force at the same HAB, and values were in the range of 13.5-24.5 nN. The adhesion energy was in the range of 2.0-5.6 × 10-17 J. The variations observed in the average adhesion energy with increasing HAB were different from those of the average adhesion force, implying that the stretched length of soot particles is an important factor affecting the average adhesion energy. The Hamaker constants of the soot particles generated at different HABs were determined from AFM force-separation curves. The average Hamaker constant exhibited a clear correlation with the graphitization degree of soot particles as obtained from Raman spectroscopy.
Cui, Jianlei; Yang, Lijun; Wang, Yang; Mei, Xuesong; Wang, Wenjun; Hou, Chaojian
2015-02-04
With the development of nanoscience and nanotechnology for the bottom-up nanofabrication of nanostructures formed from polystyrene nanoparticles, joining technology is an essential step in the manufacturing and assembly of nanodevices and nanostructures in order to provide mechanical integration and connection. To study the nanospot welding of polystyrene nanoparticles, we propose a new nanospot-soldering method using the near-field enhancement effect of a metallic atomic force microscope (AFM) probe tip that is irradiated by an optical fiber probe laser. On the basis of our theoretical analysis of the near-field enhancement effect, we set up an experimental system for nanospot soldering; this approach is carried out by using an optical fiber probe laser to irradiate the AFM probe tip to sinter the nanoparticles, providing a promising technical approach for the application of nanosoldering in nanoscience and nanotechnology.
Investigation of the resistive switching in AgxAsS2 layer by conductive AFM
NASA Astrophysics Data System (ADS)
Zhang, Bo; Kutalek, Petr; Knotek, Petr; Hromadko, Ludek; Macak, Jan M.; Wagner, Tomas
2016-09-01
In this paper, a study of resistive switching in AgxAsS2 layer, based on a utilization of conductive atomic force microscope (AFM), is reported. As the result of biasing, two distinct regions were created on the surface (the conductive region and non-conductive region). Both were analysed from the spread current maps. The volume change, corresponding to the growth of Ag particles, was derived from the topological maps, recorded simultaneously with the current maps. Based on the results, a model explaining the mechanism of the Ag particle and Ag filament formation was proposed from the distribution of charge carriers and Ag ions.
NASA Astrophysics Data System (ADS)
Villeneuve-Faure, C.; Makasheva, K.; Boudou, L.; Teyssedre, G.
2016-06-01
Charge injection and retention in thin dielectric layers remain critical issues for the reliability of many electronic devices because of their association with a large number of failure mechanisms. To overcome this drawback, a deep understanding of the mechanisms leading to charge injection close to the injection area is needed. Even though the charge injection is extensively studied and reported in the literature to characterize the charge storage capability of dielectric materials, questions about charge injection mechanisms when using atomic force microscopy (AFM) remain open. In this paper, a thorough study of charge injection by using AFM in thin plasma-processed amorphous silicon oxynitride layers with properties close to that of thermal silica layers is presented. The study considers the impact of applied voltage polarity, work function of the AFM tip coating and tip curvature radius. A simple theoretical model was developed and used to analyze the obtained experimental results. The electric field distribution is computed as a function of tip geometry. The obtained experimental results highlight that after injection in the dielectric layer the charge lateral spreading is mainly controlled by the radial electric field component independently of the carrier polarity. The injected charge density is influenced by the nature of electrode metal coating (work function) and its geometry (tip curvature radius). The electron injection is mainly ruled by the Schottky injection barrier through the field electron emission mechanism enhanced by thermionic electron emission. The hole injection mechanism seems to differ from the electron one depending on the work function of the metal coating. Based on the performed analysis, it is suggested that for hole injection by AFM, pinning of the metal Fermi level with the metal-induced gap states in the studied silicon oxynitride layers starts playing a role in the injection mechanisms.
Probing Anisotropic Surface Properties of Molybdenite by Direct Force Measurements.
Lu, Zhenzhen; Liu, Qingxia; Xu, Zhenghe; Zeng, Hongbo
2015-10-27
Probing anisotropic surface properties of layer-type mineral is fundamentally important in understanding its surface charge and wettability for a variety of applications. In this study, the surface properties of the face and the edge surfaces of natural molybdenite (MoS2) were investigated by direct surface force measurements using atomic force microscope (AFM). The interaction forces between the AFM tip (Si3N4) and face or edge surface of molybdenite were measured in 10 mM NaCl solutions at various pHs. The force profiles were well-fitted with classical DLVO (Derjaguin-Landau-Verwey-Overbeek) theory to determine the surface potentials of the face and the edge surfaces of molybdenite. The surface potentials of both the face and edge surfaces become more negative with increasing pH. At neutral and alkaline conditions, the edge surface exhibits more negative surface potential than the face surface, which is possibly due to molybdate and hydromolybdate ions on the edge surface. The point of zero charge (PZC) of the edge surface was determined around pH 3 while PZC of the face surface was not observed in the range of pH 3-11. The interaction forces between octadecyltrichlorosilane-treated AFM tip (OTS-tip) and face or edge surface of molybdenite were also measured at various pHs to study the wettability of molybdenite surfaces. An attractive force between the OTS-tip and the face surface was detected. The force profiles were well-fitted by considering DLVO forces and additional hydrophobic force. Our results suggest the hydrophobic feature of the face surface of molybdenite. In contrast, no attractive force between the OTS-tip and the edge surface was detected. This is the first study in directly measuring surface charge and wettability of the pristine face and edge surfaces of molybdenite through surface force measurements.
Saftics, Andras; Kurunczi, Sándor; Szekrényes, Zsolt; Kamarás, Katalin; Khánh, Nguyen Quoc; Sulyok, Attila; Bősze, Szilvia; Horvath, Robert
2016-10-01
Surface coatings of the polysaccharide dextran and its derivatives are key ingredients especially in label-free biosensors for the suppression of non-specific binding and for receptor immobilization. Nevertheless, the nanostructure of these ultrathin coatings and its tailoring by the variation of the preparation conditions have not been profoundly characterized and understood. In this work carboxymethylated dextran (CMD) was prepared and used for fabricating ultrathin surface coatings. A grafting method based on covalent coupling to aminosilane- and epoxysilane-functionalized surfaces was applied to obtain thin CMD layers. The carboxyl moiety of the CMD was coupled to the aminated surface by EDC-NHS reagents, while CMD coupling through epoxysilane molecules was performed without any additional reagents. The surface analysis following the grafting procedures consisted of X-ray photoelectron spectroscopy (XPS), attenuated total reflection infrared spectroscopy (ATR-IR), spectroscopic ellipsometry, atomic force microscopy (AFM) and optical waveguide lightmode spectroscopy (OWLS). The XPS and AFM measurements showed that the grafting resulted in a very thin dextran layer of a few nanometers. The OWLS method allowed devising the structure of the interfacial dextran layers by the evaluation of the optogeometrical parameters. The alteration in the nanostructure of the CMD layer with the chemical composition of the silane coverage and the pH of the grafting solution was revealed by in situ OWLS, specifically, lain down chains were found to be prevalent on the surface under neutral and basic conditions on epoxysilylated surfaces. The developed methodologies allowed to design and fabricate nanometer scale CMD layers with well-controlled surface structure, which are very difficult to characterize in aqueous environments using present instrumentations and highly hydrated surface layers. Copyright © 2016 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
McElderry, John-David P.; Zhu, Peizhi; Mroue, Kamal H.; Xu, Jiadi; Pavan, Barbara; Fang, Ming; Zhao, Guisheng; McNerny, Erin; Kohn, David H.; Franceschi, Renny T.; Holl, Mark M. Banaszak; Tecklenburg, Mary M. J.; Ramamoorthy, Ayyalusamy; Morris, Michael D.
2013-10-01
Solid-state (magic-angle spinning) NMR spectroscopy is a useful tool for obtaining structural information on bone organic and mineral components and synthetic model minerals at the atomic-level. Raman and 31P NMR spectral parameters were investigated in a series of synthetic B-type carbonated apatites (CAps). Inverse 31P NMR linewidth and inverse Raman PO43-ν1 bandwidth were both correlated with powder XRD c-axis crystallinity over the 0.3-10.3 wt% CO32- range investigated. Comparison with bone powder crystallinities showed agreement with values predicted by NMR and Raman calibration curves. Carbonate content was divided into two domains by the 31P NMR chemical shift frequency and the Raman phosphate ν1 band position. These parameters remain stable except for an abrupt transition at 6.5 wt% carbonate, a composition which corresponds to an average of one carbonate per unit cell. This near-binary distribution of spectroscopic properties was also found in AFM-measured particle sizes and Ca/P molar ratios by elemental analysis. We propose that this transition differentiates between two charge-balancing ion-loss mechanisms as measured by Ca/P ratios. These results define a criterion for spectroscopic characterization of B-type carbonate substitution in apatitic minerals.
NASA Astrophysics Data System (ADS)
Natali, Marco; Reggente, Melania; Passeri, Daniele; Rossi, Marco
2016-06-01
The development of polymer-based nanocomposites to be used in critical thermal environments requires the characterization of their mechanical properties, which are related to their chemical composition, size, morphology and operating temperature. Atomic force microscopy (AFM) has been proven to be a useful tool to develop techniques for the mechanical characterization of these materials, thanks to its nanometer lateral resolution and to the capability of exerting ultra-low loads, down to the piconewton range. In this work, we demonstrate two techniques, one quasi-static, i.e., AFM-based indentation (I-AFM), and one dynamic, i.e., contact resonance AFM (CR-AFM), for the mechanical characterization of compliant materials at variable temperature. A cross-validation of I-AFM and CR-AFM has been performed by comparing the results obtained on two reference materials, i.e., low-density polyethylene (LDPE) and polycarbonate (PC), which demonstrated the accuracy of the techniques.
Direct measurement of electrostatic fields using single Teflon nanoparticle attached to AFM tip
2013-01-01
Abstract A single 210-nm Teflon nanoparticle (sTNP) was attached to the vertex of a silicon nitride (Si3N4) atomic force microscope tip and charged via contact electrification. The charged sTNP can then be considered a point charge and used to measure the electrostatic field adjacent to a parallel plate condenser using 30-nm gold/20-nm titanium as electrodes. This technique can provide a measurement resolution of 250/100 nm along the X- and Z-axes, and the minimum electrostatic force can be measured within 50 pN. PACS 07.79.Lh, 81.16.-c, 84.37. + q PMID:24314111
2016-04-14
study dynamic events such as melting, evaporation, crystallization, dissolution, self-assembly, membrane disruption, sample movement tracking. To... polymeric hairy nanopraticle, suprastructures REPORT DOCUMENTATION PAGE 11. SPONSOR/MONITOR’S REPORT NUMBER(S) 10. SPONSOR/MONITOR’S ACRONYM(S...the AFM will permit us to study dynamic events such as melting, evaporation, crystallization, dissolution, self-assembly, membrane disruption, sample
NASA Astrophysics Data System (ADS)
Nzioka, A. M.; Kim, Y. J.
2018-01-01
In this study, we present the results of an experimental study of the use of the X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) to characterise the coatings of the recovered E - glass fibres. The recovered E - glass fibres were obtained using chemical recycling process coupled with ultrasound cavitation. The objective of this study was to analyse the impact of chemical recycling and the ultrasound cavitation process on the sizing properties of the recovered fibres. We obtained the recovered fibres and sized using 1 wt% 3 - aminopropyltriethoxysilane (APS). Part of the sized fibres was washed with acetone and analysed all the sample fibres using AFM and XPS. Results showed the different composition of sizing after extraction using acetone. We compared the results of this study with that of virgin clean glass fibres.
Predictive Modeling and Optimization of Vibration-assisted AFM Tip-based Nanomachining
NASA Astrophysics Data System (ADS)
Kong, Xiangcheng
The tip-based vibration-assisted nanomachining process offers a low-cost, low-effort technique in fabricating nanometer scale 2D/3D structures in sub-100 nm regime. To understand its mechanism, as well as provide the guidelines for process planning and optimization, we have systematically studied this nanomachining technique in this work. To understand the mechanism of this nanomachining technique, we firstly analyzed the interaction between the AFM tip and the workpiece surface during the machining process. A 3D voxel-based numerical algorithm has been developed to calculate the material removal rate as well as the contact area between the AFM tip and the workpiece surface. As a critical factor to understand the mechanism of this nanomachining process, the cutting force has been analyzed and modeled. A semi-empirical model has been proposed by correlating the cutting force with the material removal rate, which was validated using experimental data from different machining conditions. With the understanding of its mechanism, we have developed guidelines for process planning of this nanomachining technique. To provide the guideline for parameter selection, the effect of machining parameters on the feature dimensions (depth and width) has been analyzed. Based on ANOVA test results, the feature width is only controlled by the XY vibration amplitude, while the feature depth is affected by several machining parameters such as setpoint force and feed rate. A semi-empirical model was first proposed to predict the machined feature depth under given machining condition. Then, to reduce the computation intensity, linear and nonlinear regression models were also proposed and validated using experimental data. Given the desired feature dimensions, feasible machining parameters could be provided using these predictive feature dimension models. As the tip wear is unavoidable during the machining process, the machining precision will gradually decrease. To maintain the machining
Measuring the local mobility of graphene on semiconductors
NASA Astrophysics Data System (ADS)
Zhong, Haijian; Liu, Zhenghui; Wang, Jianfeng; Pan, Anlian; Xu, Gengzhao; Xu, Ke
2018-04-01
Mobility is an important parameter to gauge the performance of graphene devices, which is usually measured by FET or Hall methods relying on the use of insulating substrates. However, these methods are not applicable for the case of graphene on semiconductors, because some current will inevitably cross their junctions and flow through the semiconductors except directly traversing the graphene surface. Here we demonstrate a method for measuring the local mobility of graphene on gallium nitrides combining Kelvin probe force microscopy (KPFM) and conductive atomic force microscopy (C-AFM). The carrier density related to Fermi level shifts in graphene can be acquired from KPFM. The local mobility of graphene is calculated from the carrier mean free path available from the effective contact area, which can be fitted from the local I-V curves in graphene/GaN junctions by C-AFM. Our method can be used to investigate an arbitrary region in graphene and also be applied to other semiconductor substrates and do not introduce damages. These results will benefit recent topical application researches for graphene integration in various semiconductor devices.
Atomic force microscopy studies on cellular elastic and viscoelastic properties.
Li, Mi; Liu, Lianqing; Xi, Ning; Wang, Yuechao
2018-01-01
In this work, a method based on atomic force microscopy (AFM) approach-reside-retract experiments was established to simultaneously quantify the elastic and viscoelastic properties of single cells. First, the elastic and viscoelastic properties of normal breast cells and cancerous breast cells were measured, showing significant differences in Young's modulus and relaxation times between normal and cancerous breast cells. Remarkable differences in cellular topography between normal and cancerous breast cells were also revealed by AFM imaging. Next, the elastic and viscoelasitc properties of three other types of cell lines and primary normal B lymphocytes were measured; results demonstrated the potential of cellular viscoelastic properties in complementing cellular Young's modulus for discerning different states of cells. This research provides a novel way to quantify the mechanical properties of cells by AFM, which allows investigation of the biomechanical behaviors of single cells from multiple aspects.
NASA Astrophysics Data System (ADS)
Page, Alister J.; Elbourne, Aaron; Stefanovic, Ryan; Addicoat, Matthew A.; Warr, Gregory G.; Voïtchovsky, Kislon; Atkin, Rob
2014-06-01
In situ amplitude modulated atomic force microscopy (AM-AFM) and quantum chemical simulations are used to resolve the structure of the highly ordered pyrolytic graphite (HOPG)-bulk propylammonium nitrate (PAN) interface with resolution comparable with that achieved for frozen ionic liquid (IL) monolayers using STM. This is the first time that (a) molecular resolution images of bulk IL-solid interfaces have been achieved, (b) the lateral structure of the IL graphite interface has been imaged for any IL, (c) AM-AFM has elucidated molecular level structure immersed in a viscous liquid and (d) it has been demonstrated that the IL structure at solid surfaces is a consequence of both thermodynamic and kinetic effects. The lateral structure of the PAN-graphite interface is highly ordered and consists of remarkably well-defined domains of a rhomboidal superstructure composed of propylammonium cations preferentially aligned along two of the three directions in the underlying graphite lattice. The nanostructure is primarily determined by the cation. Van der Waals interactions between the propylammonium chains and the surface mean that the cation is enriched in the surface layer, and is much less mobile than the anion. The presence of a heterogeneous lateral structure at an ionic liquid-solid interface has wide ranging ramifications for ionic liquid applications, including lubrication, capacitive charge storage and electrodeposition.In situ amplitude modulated atomic force microscopy (AM-AFM) and quantum chemical simulations are used to resolve the structure of the highly ordered pyrolytic graphite (HOPG)-bulk propylammonium nitrate (PAN) interface with resolution comparable with that achieved for frozen ionic liquid (IL) monolayers using STM. This is the first time that (a) molecular resolution images of bulk IL-solid interfaces have been achieved, (b) the lateral structure of the IL graphite interface has been imaged for any IL, (c) AM-AFM has elucidated molecular level
AFM study of the morphologic change of HDPE surface photografted with glycidyl methacrylate.
Wang, Huiliang; Han, Jianmei
2009-05-01
The UV-induced grafting of glycidyl methacrylate (GMA) onto high-density polyethylene (HDPE) and the atomic force microscopy (AFM) study of the morphologic change of the grafted surface are reported. The grafting was carried out in GMA acetone solutions with different monomer concentrations. Grafting was much faster in a solution with a higher monomer concentration. FTIR analyses proved that GMA had been successfully grafted onto HDPE. The morphologies of grafted HDPE surfaces changed with UV irradiation time. The monomer concentration had a significant effect on the morphologies of the grafted HDPE surfaces. The HDPE surface grafted in a solution with a higher monomer concentration was much rougher than that grafted in a solution with a lower monomer concentration. The growth models of the grafted granules or clusters are also proposed.
Simultaneous AFM topography and recognition imaging at the plasma membrane of mammalian cells.
Chtcheglova, Lilia A; Hinterdorfer, Peter
2018-01-01
Elucidation the nano-organization of membrane proteins at/within the plasma membrane is probably the most demanding and still challenging task in cell biology since requires experimental approaches with nanoscale resolution. During last decade, atomic force microscopy (AFM)-based simultaneous topography and recognition imaging (TREC) has become a powerful tool to quickly obtain local receptor nano-maps on complex heterogeneous biosurfaces such as cells and membranes. Here we emphasize the TREC technique and explain how to unravel the nano-landscape of mammalian cells. We describe the procedures for all steps of the experiment including tip functionalization with ligand molecules, sample preparation, and localization of key molecules on the cell surface. We also discuss the current limitations and future perspectives of this technique. Copyright © 2017 The Authors. Published by Elsevier Ltd.. All rights reserved.
Inverting dynamic force microscopy: From signals to time-resolved interaction forces
Stark, Martin; Stark, Robert W.; Heckl, Wolfgang M.; Guckenberger, Reinhard
2002-01-01
Transient forces between nanoscale objects on surfaces govern friction, viscous flow, and plastic deformation, occur during manipulation of matter, or mediate the local wetting behavior of thin films. To resolve transient forces on the (sub) microsecond time and nanometer length scale, dynamic atomic force microscopy (AFM) offers largely unexploited potential. Full spectral analysis of the AFM signal completes dynamic AFM. Inverting the signal formation process, we measure the time course of the force effective at the sensing tip. This approach yields rich insight into processes at the tip and dispenses with a priori assumptions about the interaction, as it relies solely on measured data. Force measurements on silicon under ambient conditions demonstrate the distinct signature of the interaction and reveal that peak forces exceeding 200 nN are applied to the sample in a typical imaging situation. These forces are 2 orders of magnitude higher than those in covalent bonds. PMID:12070341
NASA Astrophysics Data System (ADS)
Kutes, Yasemin; Luria, Justin; Sun, Yu; Moore, Andrew; Aguirre, Brandon A.; Cruz-Campa, Jose L.; Aindow, Mark; Zubia, David; Huey, Bryan D.
2017-05-01
Ion beam milling is the most common modern method for preparing specific features for microscopic analysis, even though concomitant ion implantation and amorphization remain persistent challenges, particularly as they often modify materials properties of interest. Atomic force microscopy (AFM), on the other hand, can mechanically mill specific nanoscale regions in plan-view without chemical or high energy ion damage, due to its resolution, directionality, and fine load control. As an example, AFM-nanomilling (AFM-NM) is implemented for top-down planarization of polycrystalline CdTe thin film solar cells, with a resulting decrease in the root mean square (RMS) roughness by an order of magnitude, even better than for a low incidence FIB polished surface. Subsequent AFM-based property maps reveal a substantially stronger contrast, in this case of the short-circuit current or open circuit voltage during light exposure. Electron back scattering diffraction (EBSD) imaging also becomes possible upon AFM-NM, enabling direct correlations between the local materials properties and the polycrystalline microstructure. Smooth shallow-angle cross-sections are demonstrated as well, based on targeted oblique milling. As expected, this reveals a gradual decrease in the average short-circuit current and maximum power as the underlying CdS and electrode layers are approached, but a relatively consistent open-circuit voltage through the diminishing thickness of the CdTe absorber. AFM-based nanomilling is therefore a powerful tool for material characterization, uniquely providing ion-damage free, selective area, planar smoothing or low-angle sectioning of specimens while preserving their functionality. This enables novel, co-located advanced AFM measurements, EBSD analysis, and investigations by related techniques that are otherwise hindered by surface morphology or surface damage.
Wojcieszak, Robert; Raj, Gijo
2014-01-01
Summary CdS quantum dots were grown on mesoporous TiO2 films by successive ionic layer adsorption and reaction processes in order to obtain CdS particles of various sizes. AFM analysis shows that the growth of the CdS particles is a two-step process. The first step is the formation of new crystallites at each deposition cycle. In the next step the pre-deposited crystallites grow to form larger aggregates. Special attention is paid to the estimation of the CdS particle size by X-ray photoelectron spectroscopy (XPS). Among the classical methods of characterization the XPS model is described in detail. In order to make an attempt to validate the XPS model, the results are compared to those obtained from AFM analysis and to the evolution of the band gap energy of the CdS nanoparticles as obtained by UV–vis spectroscopy. The results showed that XPS technique is a powerful tool in the estimation of the CdS particle size. In conjunction with these results, a very good correlation has been found between the number of deposition cycles and the particle size. PMID:24605274
NASA Astrophysics Data System (ADS)
Lai, Tianmao; Meng, Yonggang
2017-10-01
The influences of contact time, normal load, piezo velocity, and measurement number of times on the adhesion force between two silicon surfaces were studied with an atomic force microscope (AFM) at low humidity (17-15%). Results show that the adhesion force is time-dependent and increases logarithmically with contact time until saturation is reached, which is related with the growing size of a water bridge between them. The contact time plays a dominant role among these parameters. The adhesion forces with different normal loads and piezo velocities can be quantitatively obtained just by figuring out the length of contact time, provided that the contact time dependence is known. The time-dependent adhesion force with repeated contacts at one location usually increases first sharply and then slowly with measurement number of times until saturation is reached, which is in accordance with the contact time dependence. The behavior of the adhesion force with repeated contacts can be adjusted by the lengths of contact time and non-contact time. These results may help facilitate the anti-adhesion design of silicon-based microscale systems working under low humidity.
Localization and force analysis at the single virus particle level using atomic force microscopy
DOE Office of Scientific and Technical Information (OSTI.GOV)
Liu, Chih-Hao; Horng, Jim-Tong; Chang, Jeng-Shian
2012-01-06
Highlights: Black-Right-Pointing-Pointer Localization of single virus particle. Black-Right-Pointing-Pointer Force measurements. Black-Right-Pointing-Pointer Force mapping. -- Abstract: Atomic force microscopy (AFM) is a vital instrument in nanobiotechnology. In this study, we developed a method that enables AFM to simultaneously measure specific unbinding force and map the viral glycoprotein at the single virus particle level. The average diameter of virus particles from AFM images and the specificity between the viral surface antigen and antibody probe were integrated to design a three-stage method that sets the measuring area to a single virus particle before obtaining the force measurements, where the influenza virus was usedmore » as the object of measurements. Based on the purposed method and performed analysis, several findings can be derived from the results. The mean unbinding force of a single virus particle can be quantified, and no significant difference exists in this value among virus particles. Furthermore, the repeatability of the proposed method is demonstrated. The force mapping images reveal that the distributions of surface viral antigens recognized by antibody probe were dispersed on the whole surface of individual virus particles under the proposed method and experimental criteria; meanwhile, the binding probabilities are similar among particles. This approach can be easily applied to most AFM systems without specific components or configurations. These results help understand the force-based analysis at the single virus particle level, and therefore, can reinforce the capability of AFM to investigate a specific type of viral surface protein and its distributions.« less
Walke, Peter; Fujita, Yasuhiko; Peeters, Wannes; Toyouchi, Shuichi; Frederickx, Wout; De Feyter, Steven; Uji-I, Hiroshi
2018-04-26
Tip-enhanced Raman scattering (TERS) microscopy is a unique analytical tool to provide complementary chemical and topographic information of surfaces with nanometric resolution. However, difficulties in reliably producing the necessary metallized scanning probe tips has limited its widespread utilisation, particularly in the case of cantilever-based atomic force microscopy. Attempts to alleviate tip related issues using colloidal or bottom-up engineered tips have so far not reported consistent probes for both Raman and topographic imaging. Here we demonstrate the reproducible fabrication of cantilever-based high-performance TERS probes for both topographic and Raman measurements, based on an approach that utilises noble metal nanowires as the active TERS probe. The tips show 10 times higher TERS contrasts than the most typically used electrochemically-etched tips, and show a reproducibility for TERS greater than 90%, far greater than found with standard methods. We show that TERS can be performed in tapping as well as contact AFM mode, with optical resolutions around or below 15 nm, and with a maximum resolution achieved in tapping-mode of 6 nm. Our work illustrates that superior TERS probes can be produced in a fast and cost-effective manner using simple wet-chemistry methods, leading to reliable and reproducible high-resolution and high-sensitivity TERS, and thus renders the technique applicable for a broad community.
Hot-Fire Testing of a 1N AF-M315E Thruster
NASA Technical Reports Server (NTRS)
Burnside, Christopher G.; Pedersen, Kevin; Pierce, Charles W.
2015-01-01
This hot-fire test continues NASA investigation of green propellant technologies for future missions. To show the potential for green propellants to replace some hydrazine systems in future spacecraft, NASA Marshall Space Flight Center (MSFC) is continuing to embark on hot-fire test campaigns with various green propellant blends. NASA completed a hot-fire test of a 1N AF-M315E monopropellant thruster at the Marshall Space Flight Center in the small altitude test stand located in building 4205. The thruster is a ground test article used for basic performance determination and catalyst studies. The purpose of the hot-fire testing was for performance determination of a 1N size thruster and form a baseline from which to study catalyst performance and life with follow-on testing to be conducted at a later date. The thruster performed as expected. The result of the hot-fire testing are presented in this paper and presentation.
Simultaneous topographic and amperometric membrane mapping using an AFM probe integrated biosensor.
Stanca, Sarmiza Elena; Csaki, Andrea; Urban, Matthias; Nietzsche, Sandor; Biskup, Christoph; Fritzsche, Wolfgang
2011-02-15
The investigation of the plasma membrane with intercorrelated multiparameter techniques is a prerequisite for understanding its function. Presented here, is a simultaneous electrochemical and topographic study of the cell membrane using a miniaturized amperometric enzymatic biosensor. The fabrication of this biosensor is also reported. The biosensor combines a scanning force microscopy (AFM) gold-coated cantilever and an enzymatic transducer layer of peroxidases (PODs). When these enzymes are brought in contact with the substrate, the specific redox reaction produces an electric current. The intensity of this current is detected simultaneously with the surface imaging. For sensor characterization, hydroquinone-2-carboxylic acid (HQ) is selected as an intrinsic source of H(2)O(2). HQ has been electrochemically regenerated by the reduction of antraquinone-2-carboxylic acid (AQ). The biosensor reaches the steady state value of the current intensity in 1 ± 0.2s. Copyright © 2010 Elsevier B.V. All rights reserved.
ToF-SIMS measurements with topographic information in combined images.
Koch, Sabrina; Ziegler, Georg; Hutter, Herbert
2013-09-01
In 2D and 3D time-of-flight secondary ion mass spectrometric (ToF-SIMS) analysis, accentuated structures on the sample surface induce distorted element distributions in the measurement. The origin of this effect is the 45° incidence angle of the analysis beam, recording planar images with distortion of the sample surface. For the generation of correct element distributions, these artifacts associated with the sample surface need to be eliminated by measuring the sample surface topography and applying suitable algorithms. For this purpose, the next generation of ToF-SIMS instruments will feature a scanning probe microscope directly implemented in the sample chamber which allows the performance of topography measurements in situ. This work presents the combination of 2D and 3D ToF-SIMS analysis with topographic measurements by ex situ techniques such as atomic force microscopy (AFM), confocal microscopy (CM), and digital holographic microscopy (DHM). The concept of the combination of topographic and ToF-SIMS measurements in a single representation was applied to organic and inorganic samples featuring surface structures in the nanometer and micrometer ranges. The correct representation of planar and distorted ToF-SIMS images was achieved by the combination of topographic data with images of 2D as well as 3D ToF-SIMS measurements, using either AFM, CM, or DHM for the recording of topographic data.
NASA Astrophysics Data System (ADS)
Coceano, G.; Yousafzai, M. S.; Ma, W.; Ndoye, F.; Venturelli, L.; Hussain, I.; Bonin, S.; Niemela, J.; Scoles, G.; Cojoc, D.; Ferrari, E.
2016-02-01
Investigating the mechanical properties of cells could reveal a potential source of label-free markers of cancer progression, based on measurable viscoelastic parameters. The Young’s modulus has proved to be the most thoroughly studied so far, however, even for the same cell type, the elastic modulus reported in different studies spans a wide range of values, mainly due to the application of different experimental conditions. This complicates the reliable use of elasticity for the mechanical phenotyping of cells. Here we combine two complementary techniques, atomic force microscopy (AFM) and optical tweezer microscopy (OTM), providing a comprehensive mechanical comparison of three human breast cell lines: normal myoepithelial (HBL-100), luminal breast cancer (MCF-7) and basal breast cancer (MDA-MB-231) cells. The elastic modulus was measured locally by AFM and OTM on single cells, using similar indentation approaches but different measurement parameters. Peak force tapping AFM was employed at nanonewton forces and high loading rates to draw a viscoelastic map of each cell and the results indicated that the region on top of the nucleus provided the most meaningful results. OTM was employed at those locations at piconewton forces and low loading rates, to measure the elastic modulus in a real elastic regime and rule out the contribution of viscous forces typical of AFM. When measured by either AFM or OTM, the cell lines’ elasticity trend was similar for the aggressive MDA-MB-231 cells, which were found to be significantly softer than the other two cell types in both measurements. However, when comparing HBL-100 and MCF-7 cells, we found significant differences only when using OTM.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Mi; University of Chinese Academy of Sciences, Beijing 100049; Liu, Lianqing, E-mail: lqliu@sia.cn
Highlights: •Nanoscale cellular ultra-structures of macrophages were observed. •The binding affinities of FcγRs were measured directly on macrophages. •The nanoscale distributions of FcγRs were mapped on macrophages. -- Abstract: Fc gamma receptors (FcγR), widely expressed on effector cells (e.g., NK cells, macrophages), play an important role in clinical cancer immunotherapy. The binding of FcγRs to the Fc portions of antibodies that are attached to the target cells can activate the antibody-dependent cell-mediated cytotoxicity (ADCC) killing mechanism which leads to the lysis of target cells. In this work, we used atomic force microscopy (AFM) to observe the cellular ultra-structures and measuremore » the biophysical properties (affinity and distribution) of FcγRs on single macrophages in aqueous environments. AFM imaging was used to obtain the topographies of macrophages, revealing the nanoscale cellular fine structures. For molecular interaction recognition, antibody molecules were attached onto AFM tips via a heterobifunctional polyethylene glycol (PEG) crosslinker. With AFM single-molecule force spectroscopy, the binding affinities of FcγRs were quantitatively measured on single macrophages. Adhesion force mapping method was used to localize the FcγRs, revealing the nanoscale distribution of FcγRs on local areas of macrophages. The experimental results can improve our understanding of FcγRs on macrophages; the established approach will facilitate further research on physiological activities involved in antibody-based immunotherapy.« less
In situ probing the interior of single bacterial cells at nanometer scale
NASA Astrophysics Data System (ADS)
Liu, Boyin; Hemayet Uddin, Md; Ng, Tuck Wah; Paterson, David L.; Velkov, Tony; Li, Jian; Fu, Jing
2014-10-01
We report a novel approach to probe the interior of single bacterial cells at nanometre resolution by combining focused ion beam (FIB) and atomic force microscopy (AFM). After removing layers of pre-defined thickness in the order of 100 nm on the target bacterial cells with FIB milling, AFM of different modes can be employed to probe the cellular interior under both ambient and aqueous environments. Our initial investigations focused on the surface topology induced by FIB milling and the hydration effects on AFM measurements, followed by assessment of the sample protocols. With fine-tuning of the process parameters, in situ AFM probing beneath the bacterial cell wall was achieved for the first time. We further demonstrate the proposed method by performing a spatial mapping of intracellular elasticity and chemistry of the multi-drug resistant strain Klebsiella pneumoniae cells prior to and after it was exposed to the ‘last-line’ antibiotic polymyxin B. Our results revealed increased stiffness occurring in both surface and interior regions of the treated cells, suggesting loss of integrity of the outer membrane from polymyxin treatments. In addition, the hydrophobicity measurement using a functionalized AFM tip was able to highlight the evident hydrophobic portion of the cell such as the regions containing cell membrane. We expect that the proposed FIB-AFM platform will help in gaining deeper insights of bacteria-drug interactions to develop potential strategies for combating multi-drug resistance.
Sturgis, James N; Niederman, Robert A
2008-01-01
Recent topographs of the intracytoplasmic membrane (ICM) of purple bacteria obtained by atomic force microscopy (AFM) have provided the first surface views of the native architecture of a multicomponent biological membrane at submolecular resolution, representing an important landmark in structural biology. A variety of species-dependent, closely packed arrangements of light-harvesting (LH) complexes was revealed: the most highly organized was found in Rhodobacter sphaeroides in which the peripheral LH2 antenna was seen either in large clusters or in fixed rows interspersed among ordered arrays of dimeric LH1-reaction center (RC) core complexes. A more random organization was observed in other species containing both the LH1 and LH2 complexes, as typified by Rhododspirillum photometricum with randomly packed monomeric LH1-RC core complexes intermingled with large, paracrystalline domains of LH2 antenna. Surprisingly, no structures that could be identified as the ATP synthase or cytochrome bc (1) complexes were observed, which may reflect their localization at ICM vesicle poles or in curved membrane areas, out of view from the flat regions imaged by AFM. This possible arrangement of energy transducing complexes has required a reassessment of energy tranduction mechanisms which place the cytochrome bc (1) complex in close association with the RC. Instead, more plausible proposals must account for the movement of quinone redox species over considerable membrane distances on appropriate time scales. AFM, together with atomic resolution structures are also providing the basis for molecular modeling of the ICM that is leading to an improved picture of the supramolecular organization of photosynthetic complexes, as well as the forces that drive their segregation into distinct domains.
Picas, Laura; Rico, Félix; Deforet, Maxime; Scheuring, Simon
2013-02-26
The erythrocyte membrane, a metabolically regulated active structure that comprises lipid molecules, junctional complexes, and the spectrin network, enables the cell to undergo large passive deformations when passing through the microvascular system. Here we use atomic force microscopy (AFM) imaging and quantitative mechanical mapping at nanometer resolution to correlate structure and mechanics of key components of the erythrocyte membrane, crucial for cell integrity and function. Our data reveal structural and mechanical heterogeneity modulated by the metabolic state at unprecedented nanometer resolution. ATP-depletion, reducing skeletal junction phosphorylation in RBC cells, leads to membrane stiffening. Analysis of ghosts and shear-force opened erythrocytes show that, in the absence of cytosolic kinases, spectrin phosphorylation results in membrane stiffening at the extracellular face and a reduced junction remodeling in response to loading forces. Topography and mechanical mapping of single components at the cytoplasmic face reveal that, surprisingly, spectrin phosphorylation by ATP softens individual filaments. Our findings suggest that, besides the mechanical signature of each component, the RBC membrane mechanics is regulated by the metabolic state and the assembly of its structural elements.
Measurable characteristics of lysozyme crystal growth
NASA Technical Reports Server (NTRS)
Gorti, Sridhar; Forsythe, Elizabeth L.; Pusey, Marc L.
2005-01-01
The behavior of protein crystal growth is estimated from measurements performed at both the microscopic and molecular levels. In the absence of solutal flow, it was determined that a model that balances the macromolecular flux toward the crystal surface with the flux of the crystal surface well characterizes crystal growth observed using microscopic methods. Namely, it was determined that the model provides accurate estimates for the crystal-growth velocities upon evaluation of crystal-growth measurements obtained in time. Growth velocities thus determined as a function of solution supersaturation were further interpreted using established deterministic models. From analyses of crystal-growth velocities, it was found that the mode of crystal growth varies with respect to increasing solution supersaturation, possibly owing to kinetic roughening. To verify further the hypothesis of kinetic roughening, crystal growth at the molecular level was examined using atomic force microscopy (AFM). From the AFM measurements, it was found that the magnitude of surface-height fluctuations, h(x), increases with increasing solution supersaturation. In contrast, the estimated characteristic length, xi, decreases rapidly upon increasing solution supersaturation. It was conjectured that the magnitude of both h(x) and xi could possibly determine the mode of crystal growth. Although the data precede any exact theory, the non-critical divergence of h(x) and xi with respect to increasing solution supersaturation was nevertheless preliminarily established. Moreover, approximate models to account for behavior of both h(x) and xi are also presented.
Kutes, Yasemin; Luria, Justin; Sun, Yu; ...
2017-04-11
Ion beam milling is the most common modern method for preparing specific features for microscopic analysis, even though concomitant ion implantation and amorphization remain persistent challenges, particularly as they often modify materials properties of interest. Atomic force microscopy (AFM), on the other hand, can mechanically mill specific nanoscale regions in plan-view without chemical or high energy ion damage, due to its resolution, directionality, and fine load control. As an example, AFM-nanomilling (AFM-NM) is implemented for top-down planarization of polycrystalline CdTe thin film solar cells, with a resulting decrease in the root mean square (RMS) roughness by an order of magnitude,more » even better than for a low incidence FIB polished surface. Subsequently AFM-based property maps reveal a substantially stronger contrast, in this case of the short-circuit current or open circuit voltage during light exposure. Furthermore, electron back scattering diffraction (EBSD) imaging also becomes possible upon AFM-NM, enabling direct correlations between the local materials properties and the polycrystalline microstructure. Smooth shallow-angle cross-sections are demonstrated as well, based on targeted oblique milling. As expected, this reveals a gradual decrease in the average short-circuit current and maximum power as the underlying CdS and electrode layers are approached, but a relatively consistent open-circuit voltage through the diminishing thickness of the CdTe absorber. AFM-based nanomilling is therefore a powerful tool for material characterization, uniquely providing ion-damage free, selective area, planar smoothing or low-angle sectioning of specimens while preserving their functionality. This then enables novel, co-located advanced AFM measurements, EBSD analysis, and investigations by related techniques that are otherwise hindered by surface morphology or surface damage.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kutes, Yasemin; Luria, Justin; Sun, Yu
Ion beam milling is the most common modern method for preparing specific features for microscopic analysis, even though concomitant ion implantation and amorphization remain persistent challenges, particularly as they often modify materials properties of interest. Atomic force microscopy (AFM), on the other hand, can mechanically mill specific nanoscale regions in plan-view without chemical or high energy ion damage, due to its resolution, directionality, and fine load control. As an example, AFM-nanomilling (AFM-NM) is implemented for top-down planarization of polycrystalline CdTe thin film solar cells, with a resulting decrease in the root mean square (RMS) roughness by an order of magnitude,more » even better than for a low incidence FIB polished surface. Subsequently AFM-based property maps reveal a substantially stronger contrast, in this case of the short-circuit current or open circuit voltage during light exposure. Furthermore, electron back scattering diffraction (EBSD) imaging also becomes possible upon AFM-NM, enabling direct correlations between the local materials properties and the polycrystalline microstructure. Smooth shallow-angle cross-sections are demonstrated as well, based on targeted oblique milling. As expected, this reveals a gradual decrease in the average short-circuit current and maximum power as the underlying CdS and electrode layers are approached, but a relatively consistent open-circuit voltage through the diminishing thickness of the CdTe absorber. AFM-based nanomilling is therefore a powerful tool for material characterization, uniquely providing ion-damage free, selective area, planar smoothing or low-angle sectioning of specimens while preserving their functionality. This then enables novel, co-located advanced AFM measurements, EBSD analysis, and investigations by related techniques that are otherwise hindered by surface morphology or surface damage.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gates, Sean Damien
2013-05-01
The work presented herein is concerned with the development of biophysical methodology designed to address pertinent questions regarding the behavior and structure of select pathogenic agents. Two distinct studies are documented: a shock tube analysis of endospore-laden bio-aerosols and a correlated AFM/NanoSIMS study of the structure of vaccinia virus.
Biggs, Kevin B; Balss, Karin M; Maryanoff, Cynthia A
2012-05-29
Drug release from and coating morphology on a CYPHER sirolimus-eluting coronary stent (SES) during in vitro elution were studied by correlated confocal Raman and atomic force microscopy (CRM and AFM, respectively). Chemical surface and subsurface maps of the SES were generated in the same region of interest by CRM and were correlated with surface topography measured by AFM at different elution times. For the first time, a direct correlation between drug-rich regions and the coating morphology was made on a drug-eluting medical device, linking drug release with pore formation, pore throats, and pore networks. Drug release was studied on a drug-eluting stent (DES) system with a multicomponent carrier matrix (poly(n-butyl methacrylate) [PBMA] and poly(ethylene-co-vinyl acetate) [PEVA]). The polymer was found to rearrange postelution because confluence of the carrier polymer matrix reconstituted the voids created by drug release.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wu, Zhigang; Chun, Jaehun; Chatterjee, Sayandev
Detailed knowledge of the forces between nanocrystals is very crucial for understanding many generic (e.g., random aggregation/assembly and rheology) and specific (e.g., oriented attachment) phenomena at macroscopic length scales, especially considering the additional complexities involved in nanocrystals such as crystal orientation and corresponding orientation-dependent physicochemical properties. Because there are a limited number of methods to directly measure the forces, little is known about the forces that drive the various emergent phenomena. Here we report on two methods of preparing crystals as force measurement tips used in an atomic force microscope (AFM): the focused ion beam method and microlithography method. Themore » desired crystals are fabricated using these two methods and are fixed to the AFM probe using platinum deposition, ultraviolet epoxy, or resin, which allows for the orientation-dependent force measurements. These two methods can be used to attach virtually any solid particles (from the size of a few hundreds of nanometers to millimeters). We demonstrate the force measurements between aqueous media under different conditions such as pH.« less
Controlled Atmosphere High Temperature SPM for electrochemical measurements
NASA Astrophysics Data System (ADS)
Vels Hansen, K.; Sander, C.; Koch, S.; Mogensen, M.
2007-03-01
A new controlled atmosphere high temperature SPM has been designed and build for the purpose of performing electrochemical measurements on solid oxide fuel cell materials. The first tests show that images can be obtained at a surface temperature of 465°C in air with a standard AFM AC probe. The aim is to produce images at a surface temperature of 800°C with electrically conducting ceramic probes as working electrodes that can be positioned at desired locations at the surface for electrochemical measurements.
NASA Astrophysics Data System (ADS)
Kulikovska, Olga; Gharagozloo-Hubmann, Kati; Stumpe, Joachim; Huey, Bryan D.; Bliznyuk, Valery N.
2012-12-01
We studied peculiarities of the structural reconstruction within holographically recorded gratings on the surface of several different amorphous azobenzene-containing polymers. Under illumination with a light interference pattern, two processes take place in this type of polymer. The first process is the light-induced orientation of azobenzene units perpendicular to the polarization plane of the incident light. The second one is a transfer of macromolecules along the grating vector (i.e. perpendicular to the grating lines). These two processes result in the creation of a volume orientation grating (alternating regions of different direction or degree of molecular orientation) and a surface relief grating (SRG)—i.e. modulation of film thickness. One can assume that both orientation of molecules and their movement might change the local mechanical properties of the material. Therefore, formation of the SRG is expected to result also in modulation of the local stiffness of the polymer film. To reveal and investigate these stiffness changes within the grating, spin-coated polymer films were prepared and the gratings were recorded on them in two different ways: with an orthogonal circular or orthogonal linear polarization of two recording light beams. A combination of atomic force microscopy (AFM) and ultrasonic force microscopy (UFM) techniques was applied for SRG development monitoring. We demonstrate that formation of the phase gratings depends on the chemical structure of polymers being used, polymer film thickness, and recording parameters, with the height of grating structures (depth of modulation) increasing with both the exposure time and the film thickness. UFM images suggest that the slopes of the topographic peaks in the phase gratings exhibit an increased stiffness with respect to the grating depressions.
USDA-ARS?s Scientific Manuscript database
Atomic force microscopy (AFM), Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) are used to investigate vitreous (hard) and non-vitreous (soft) wheat kernels and their corresponding wheat flours. AFM data reveal two different microstructures. The vitreous kernel reveals a granular text...
Direct quantitative measurement of the C═O⋅⋅⋅H–C bond by atomic force microscopy
Kawai, Shigeki; Nishiuchi, Tomohiko; Kodama, Takuya; Spijker, Peter; Pawlak, Rémy; Meier, Tobias; Tracey, John; Kubo, Takashi; Meyer, Ernst; Foster, Adam S.
2017-01-01
The hydrogen atom—the smallest and most abundant atom—is of utmost importance in physics and chemistry. Although many analysis methods have been applied to its study, direct observation of hydrogen atoms in a single molecule remains largely unexplored. We use atomic force microscopy (AFM) to resolve the outermost hydrogen atoms of propellane molecules via very weak C═O⋅⋅⋅H–C hydrogen bonding just before the onset of Pauli repulsion. The direct measurement of the interaction with a hydrogen atom paves the way for the identification of three-dimensional molecules such as DNAs and polymers, building the capabilities of AFM toward quantitative probing of local chemical reactivity. PMID:28508080
NASA Astrophysics Data System (ADS)
Kobayashi, Yoshiaki; Kototani, Shouhei; Itoh, Masayuki; Sato, Masatoshi
2014-12-01
Samples of RbxFe2-ySe2 exhibiting superconductivity [superconducting (SC) samples] undergo a phase-separation into two phases, a Fe-vacancy ordered phase with antiferromagnetic (AFM) transition at TN1~500 K (AFM1 phase) and a phase with little Fe- vacancy and SC transition at Tc~30 K (SC phase). The samples of RbxFe2-ySe2 exhibiting no SC behaviour (non-SC samples) are phase-separated into three phases, the AFM1 phase, another AFM phase with TN2 ~150 K (AFM2 phase), and a paramagnetic phase with no SC transitions (paramagnetic non-SC phase). In this paper, we present the experimental results of magnetic susceptibility, electrical resistivity, and NMR measurements on single crystals of RbxFe2-ySe2 to reveal physical properties of these co-existing phases in the SC and non-SC samples. The 87Rb and 77Se NMR spectra show that the Fe vacancy concentration is very small in the Fe planes of the SC phase, whereas the AFM2 and paramagnetic non-SC phases in non-SC samples have larger amount of Fe vacancies. The randomness induced by the Fe vacancy in the non-SC samples makes the AFM2 and paramagnetic non-SC phases insulating/semiconducting and magnetically active, resulting in the absence of the superconductivity in RbxFe2-ySe2.
BOREAS AFM-2 King Air 1994 Aircraft Flux and Moving Window Data
NASA Technical Reports Server (NTRS)
Kelly, Robert D.; Hall, Forrest G. (Editor); Newcomer, Jeffrey A. (Editor); Smith, David E. (Technical Monitor)
2000-01-01
The BOREAS AFM-2 team collected pass-by-pass fluxes (and many other statistics) for a large number of level (constant altitude), straight-line passes used in a variety of flight patterns. The data were collected by the University of Wyoming King Air in 1994 BOREAS IFCs 1-3. Most of these data were collected at 60-70 m above ground level, but a significant number of passes were also flown at various levels in the planetary boundary layer, up to about the inversion height. This documentation concerns only the data from the straight and level passes that are presented as original (over the NSA and SSA) and moving window values (over the Transect). Another archive of King Air data is also available, containing data from all the soundings flown by the King Air 1994 IFCs 1-3. The data are stored in tabular ASCII files. The data files are available on a CD-ROM (see document number 20010000884) or from the Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC).
Investigating the Photocatalytic Degradation of Oil Paint using ATR-IR and AFM-IR.
Morsch, Suzanne; van Driel, Birgit A; van den Berg, Klaas Jan; Dik, Joris
2017-03-22
As linseed oil has a longstanding and continuing history of use as a binder in artistic paints, developing an understanding of its degradation mechanism is critical to conservation efforts. At present, little can be done to detect the early stages of oil paint deterioration due to the complex chemical composition of degrading paints. In this work, we use advanced infrared analysis techniques to investigate the UV-induced deterioration of model linseed oil paints in detail. Subdiffraction limit infrared analysis (AFM-IR) is applied to identify and map accelerated degradation in the presence of two different grades of titanium white pigment particles (rutile or anatase TiO 2 ). Differentiation between the degradation of these two formulations demonstrates the sensitivity of this approach. The identification of characteristic peaks and transient species residing at the paint surface allows infrared absorbance peaks related to degradation deeper in the film to be extricated from conventional ATR-FTIR spectra, potentially opening up a new approach to degradation monitoring.
Atomic force microscopy of atomic-scale ledges and etch pits formed during dissolution of quartz
NASA Technical Reports Server (NTRS)
Gratz, A. J.; Manne, S.; Hansma, P. K.
1991-01-01
The processes involved in the dissolution and growth of crystals are closely related. Atomic force microscopy (AFM) of faceted pits (called negative crystals) formed during quartz dissolution reveals subtle details of these underlying physical mechanisms for silicates. In imaging these surfaces, the AFM detected ledges less than 1 nm high that were spaced 10 to 90 nm apart. A dislocation pit, invisible to optical and scanning electron microscopy measurements and serving as a ledge source, was also imaged. These observations confirm the applicability of ledge-motion models to dissolution and growth of silicates; coupled with measurements of dissolution rate on facets, these methods provide a powerful tool for probing mineral surface kinetics.
Direct measurements of intermolecular forces by chemical force microscopy
NASA Astrophysics Data System (ADS)
Vezenov, Dmitri Vitalievich
1999-12-01
Detailed description of intermolecular forces is key to understanding a wide range of phenomena from molecular recognition to materials failure. The unique features of atomic force microscopy (AFM) to make point contact force measurements with ultra high sensitivity and to generate spatial maps of surface topography and forces have been extended to include measurements between well-defined organic molecular groups. Chemical modification of AFM probes with self-assembled monolayers (SAMs) was used to make them sensitive to specific molecular interactions. This novel chemical force microscopy (CFM) technique was used to probe forces between different molecular groups in a range of environments (vacuum, organic liquids and aqueous solutions); measure surface energetics on a nanometer scale; determine pK values of the surface acid and base groups; measure forces to stretch and unbind a short synthetic DNA duplex and map the spatial distribution of specific functional groups and their ionization state. Studies of adhesion forces demonstrated the important contribution of hydrogen bonding to interactions between simple organic functionalities. The chemical identity of the tip and substrate surfaces as well as the medium had a dramatic effect on adhesion between model monolayers. A direct correlation between surface free energy and adhesion forces was established. The adhesion between epoxy polymer and model mixed SAMs varied with the amount of hydrogen bonding component in the monolayers. A consistent interpretation of CFM measurements in polar solvents was provided by contact mechanics models and intermolecular force components theory. Forces between tips and surfaces functionalized with SAMs terminating in acid or base groups depended on their ionization state. A novel method of force titration was introduced for highly local characterization of the pK's of surface functional groups. The pH-dependent changes in friction forces were exploited to map spatially the
Radotić, Ksenija; Roduit, Charles; Simonović, Jasna; Hornitschek, Patricia; Fankhauser, Christian; Mutavdžić, Dragosav; Steinbach, Gabor; Dietler, Giovanni; Kasas, Sandor
2012-08-08
Cell-wall mechanical properties play a key role in the growth and the protection of plants. However, little is known about genuine wall mechanical properties and their growth-related dynamics at subcellular resolution and in living cells. Here, we used atomic force microscopy (AFM) stiffness tomography to explore stiffness distribution in the cell wall of suspension-cultured Arabidopsis thaliana as a model of primary, growing cell wall. For the first time that we know of, this new imaging technique was performed on living single cells of a higher plant, permitting monitoring of the stiffness distribution in cell-wall layers as a function of the depth and its evolution during the different growth phases. The mechanical measurements were correlated with changes in the composition of the cell wall, which were revealed by Fourier-transform infrared (FTIR) spectroscopy. In the beginning and end of cell growth, the average stiffness of the cell wall was low and the wall was mechanically homogenous, whereas in the exponential growth phase, the average wall stiffness increased, with increasing heterogeneity. In this phase, the difference between the superficial and deep wall stiffness was highest. FTIR spectra revealed a relative increase in the polysaccharide/lignin content. Copyright © 2012 Biophysical Society. Published by Elsevier Inc. All rights reserved.
AF-M315E Propulsion System Advances and Improvements
NASA Technical Reports Server (NTRS)
Masse, Robert K.; Allen, May; Driscoll, Elizabeth; Spores, Ronald A.; Arrington, Lynn A.; Schneider, Steven J.; Vasek, Thomas E.
2016-01-01
Even as for the GR-1 awaits its first on-orbit demonstration on the planned 2017 launch of NASA's Green Propulsion Infusion Mission (GPIM) program, ongoing efforts continue to advance the technical state-of-the-art through improvements in the performance, life capability, and affordability of both Aerojet Rocketdyne's 1-N-class GR-1 and 20-N-class GR-22 green monopropellant thrusters. Hot-fire testing of a design upgrade of the GR-22 thruster successfully demonstrated resolution of a life-limiting thermo-structural issue encountered during prototype testing on the GPIM program, yielding both an approximately 2x increase in demonstrating life capability, as well as fundamental insights relating to how ionic liquid thrusters operate, thruster scaling, and operational factors affecting catalyst bed life. Further, a number of producibility improvements, related to both materials and processes and promising up to 50% unit cost reduction, have been identified through a comprehensive Design for Manufacturing and Assembly (DFMA) assessment activity recently completed at Aerojet Rocketdyne. Focused specifically on the GR-1 but applicable to the common-core architecture of both thrusters, ongoing laboratory (heavyweight) thruster testing being conducted under a Space Act Agreement at NASA Glenn Research Center has already validated a number of these proposed manufacturability upgrades, additionally achieving a greater than 40% increase in thruster life. In parallel with technical advancements relevant to conventional large spacecraft, a joint effort between NASA and Aerojet Rocketdyne is underway to prepare 1-U CubeSat AF-M315E propulsion module for first flight demonstration in 2018.
Zhang, Xiao; Ren, Juan; Wang, Jingren; Li, Shixie; Zou, Qingze; Gao, Nan
2018-08-01
Whether environmental (thermal, chemical, and nutrient) signals generate quantifiable, nanoscale, mechanophysical changes in the cellular plasma membrane has not been well elucidated. Assessment of such mechanophysical properties of plasma membrane may shed lights on fundamental cellular process. Atomic force microscopic (AFM) measurement of the mechanical properties of live cells was hampered by the difficulty in accounting for the effects of the cantilever motion and the associated hydrodynamic force on the mechanical measurement. These challenges have been addressed in our recently developed control-based AFM nanomechanical measurement protocol, which enables a fast, noninvasive, broadband measurement of the real-time changes in plasma membrane elasticity in live cells. Here we show using this newly developed AFM platform that the plasma membrane of live mammalian cells exhibits a constant and quantifiable nanomechanical property, the membrane elasticity. This mechanical property sensitively changes in response to environmental factors, such as the thermal, chemical, and growth factor stimuli. We demonstrate that different chemical inhibitors of endocytosis elicit distinct changes in plasma membrane elastic modulus reflecting their specific molecular actions on the lipid configuration or the endocytic machinery. Interestingly, two different growth factors, EGF and Wnt3a, elicited distinct elastic force profiles revealed by AFM at the plasma membrane during receptor-mediated endocytosis. By applying this platform to genetically modified cells, we uncovered a previously unknown contribution of Cdc42, a key component of the cellular trafficking network, to EGF-stimulated endocytosis at plasma membrane. Together, this nanomechanical AFM study establishes an important foundation that is expandable and adaptable for investigation of cellular membrane evolution in response to various key extracellular signals. © 2017 Wiley Periodicals, Inc.
Emmrich, Matthias; Huber, Ferdinand; Pielmeier, Florian; Welker, Joachim; Hofmann, Thomas; Schneiderbauer, Maximilian; Meuer, Daniel; Polesya, Svitlana; Mankovsky, Sergiy; Ködderitzsch, Diemo; Ebert, Hubert; Giessibl, Franz J
2015-04-17
Clusters built from individual iron atoms adsorbed on surfaces (adatoms) were investigated by atomic force microscopy (AFM) with subatomic resolution. Single copper and iron adatoms appeared as toroidal structures and multiatom clusters as connected structures, showing each individual atom as a torus. For single adatoms, the toroidal shape of the AFM image depends on the bonding symmetry of the adatom to the underlying structure [twofold for copper on copper(110) and threefold for iron on copper(111)]. Density functional theory calculations support the experimental data. The findings correct our previous work, in which multiple minima in the AFM signal were interpreted as a reflection of the orientation of a single front atom, and suggest that dual and triple minima in the force signal are caused by dimer and trimer tips, respectively. Copyright © 2015, American Association for the Advancement of Science.
Measurements of electrostatic double layer potentials with atomic force microscopy
NASA Astrophysics Data System (ADS)
Giamberardino, Jason
The aim of this thesis is to provide a thorough description of the development of theory and experiment pertaining to the electrostatic double layer (EDL) in aqueous electrolytic systems. The EDL is an important physical element of many systems and its behavior has been of interest to scientists for many decades. Because many areas of science and engineering move to test, build, and understand systems at smaller and smaller scales, this work focuses on nanoscopic experimental investigations of the EDL. In that vein, atomic force microscopy (AFM) will be introduced and discussed as a tool for making high spatial resolution measurements of the solid-liquid interface, culminating in a description of the development of a method for completely characterizing the EDL. This thesis first explores, in a semi-historical fashion, the development of the various models and theories that are used to describe the electrostatic double layer. Later, various experimental techniques and ideas are addressed as ways to make measurements of interesting characteristics of the EDL. Finally, a newly developed approach to measuring the EDL system with AFM is introduced. This approach relies on both implementation of existing theoretical models with slight modifications as well as a unique experimental measurement scheme. The model proposed clears up previous ambiguities in definitions of various parameters pertaining to measurements of the EDL and also can be used to fully characterize the system in a way not yet demonstrated.
Crystal Growth of Undoped and Doped ZnSe
NASA Technical Reports Server (NTRS)
Davis, Swanson L.; Chen, K.-T.; George, M. A.; Shi, D. T.; Collins, W. E.; Burger, Arnold
1997-01-01
The surface morphology of freshly cleaved ZnSe single crystal grown by the physical vapor transport (PVT) method was investigated by Atomic Force Microscopy (AFM) and the results were correlated with Differential Scanning Calorimetry (DSC) data. Selenium precipitates have been revealed in undoped doped ZnSe crystals having a size of about 50 nm. A transition temperature around 221 C in the DSC measurements is interpreted as the eutectic temperature of Se-saturated ZnSe. The AFM images of doped ZnSe also show that possible Cr clusters are uniformly distributed and they have an estimated size of about 6 nm.
Lou, Zhichao; Wang, Bin; Guo, Cunlan; Wang, Kun; Zhang, Haiqian; Xu, Bingqian
2015-11-01
By in situ time-lapse AFM, we investigated early-stage aggregates of PrP formed at low concentration (100 ng/mL) on mica and Au(111) surfaces in acetate buffer (pH 4.5). Remarkably different PrP assemblies were observed. Oligomeric structures of PrP aggregates were observed on mica surface, which was in sharp contrast to the multi-layer PrP aggregates yielding parallel linear patterns observed Au(111) surface. Combining molecular dynamics and docking simulations, PrP monomers, dimers and trimers were revealed as the basic units of the observed aggregates. Besides, the mechanisms of the observed PrP aggregations and the corresponding molecular-substrate and intermolecular interactions were suggested. These interactions involved gold-sulfur interaction, electrostatic interaction, hydrophobic interaction, and hydrogen binding interaction. In contrast, the PrP aggregates observed in pH 7.2 PBS buffer demonstrated similar large ball-like structures on both mica and Au(111) surfaces. The results indicate that the pH of a solution and the surface of the system can have strong effects on supramolecular assemblies of prion proteins. This study provides in-depth understanding on the structural and mechanistic nature of PrP aggregation, and can be used to study the aggregation mechanisms of other proteins with similar misfolding properties. Copyright © 2015 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Gryzia, Aaron; Volkmann, Timm; Brechling, Armin; Hoeke, Veronika; Schneider, Lilli; Kuepper, Karsten; Glaser, Thorsten; Heinzmann, Ulrich
2014-02-01
Monolayers and submonolayers of [Mn III 6 Cr III ] 3+ single-molecule magnets (SMMs) adsorbed on highly oriented pyrolytic graphite (HOPG) using the droplet technique characterized by non-contact atomic force microscopy (nc-AFM) as well as by Kelvin probe force microscopy (KPFM) show island-like structures with heights resembling the height of the molecule. Furthermore, islands were found which revealed ordered 1D as well as 2D structures with periods close to the width of the SMMs. Along this, islands which show half the heights of intact SMMs were observed which are evidences for a decomposing process of the molecules during the preparation. Finally, models for the structure of the ordered SMM adsorbates are proposed to explain the observations.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wu, You-Lin, E-mail: ylwu@ncnu.edu.tw; Liao, Chun-Wei; Ling, Jing-Jenn
2014-06-16
The electrical characterization of HfO{sub 2}/ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO{sub 2} surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO{sub 2}/ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates.more » It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.« less
Walder, Robert; Van Patten, William J; Adhikari, Ayush; Perkins, Thomas T
2018-01-23
Single-molecule force spectroscopy (SMFS) is a powerful technique to characterize the energy landscape of individual proteins, the mechanical properties of nucleic acids, and the strength of receptor-ligand interactions. Atomic force microscopy (AFM)-based SMFS benefits from ongoing progress in improving the precision and stability of cantilevers and the AFM itself. Underappreciated is that the accuracy of such AFM studies remains hindered by inadvertently stretching molecules at an angle while measuring only the vertical component of the force and extension, degrading both measurements. This inaccuracy is particularly problematic in AFM studies using double-stranded DNA and RNA due to their large persistence length (p ≈ 50 nm), often limiting such studies to other SMFS platforms (e.g., custom-built optical and magnetic tweezers). Here, we developed an automated algorithm that aligns the AFM tip above the DNA's attachment point to a coverslip. Importantly, this algorithm was performed at low force (10-20 pN) and relatively fast (15-25 s), preserving the connection between the tip and the target molecule. Our data revealed large uncorrected lateral offsets for 100 and 650 nm DNA molecules [24 ± 18 nm (mean ± standard deviation) and 180 ± 110 nm, respectively]. Correcting this offset yielded a 3-fold improvement in accuracy and precision when characterizing DNA's overstretching transition. We also demonstrated high throughput by acquiring 88 geometrically corrected force-extension curves of a single individual 100 nm DNA molecule in ∼40 min and versatility by aligning polyprotein- and PEG-based protein-ligand assays. Importantly, our software-based algorithm was implemented on a commercial AFM, so it can be broadly adopted. More generally, this work illustrates how to enhance AFM-based SMFS by developing more sophisticated data-acquisition protocols.
STM, SECPM, AFM and Electrochemistry on Single Crystalline Surfaces
Wolfschmidt, Holger; Baier, Claudia; Gsell, Stefan; Fischer, Martin; Schreck, Matthias; Stimming, Ulrich
2010-01-01
Scanning probe microscopy (SPM) techniques have had a great impact on research fields of surface science and nanotechnology during the last decades. They are used to investigate surfaces with scanning ranges between several 100 μm down to atomic resolution. Depending on experimental conditions, and the interaction forces between probe and sample, different SPM techniques allow mapping of different surface properties. In this work, scanning tunneling microscopy (STM) in air and under electrochemical conditions (EC-STM), atomic force microscopy (AFM) in air and scanning electrochemical potential microscopy (SECPM) under electrochemical conditions, were used to study different single crystalline surfaces in electrochemistry. Especially SECPM offers potentially new insights into the solid-liquid interface by providing the possibility to image the potential distribution of the surface, with a resolution that is comparable to STM. In electrocatalysis, nanostructured catalysts supported on different electrode materials often show behavior different from their bulk electrodes. This was experimentally and theoretically shown for several combinations and recently on Pt on Au(111) towards fuel cell relevant reactions. For these investigations single crystals often provide accurate and well defined reference and support systems. We will show heteroepitaxially grown Ru, Ir and Rh single crystalline surface films and bulk Au single crystals with different orientations under electrochemical conditions. Image studies from all three different SPM methods will be presented and compared to electrochemical data obtained by cyclic voltammetry in acidic media. The quality of the single crystalline supports will be verified by the SPM images and the cyclic voltammograms. Furthermore, an outlook will be presented on how such supports can be used in electrocatalytic studies. PMID:28883327
Liu, Dongli; Cheng, Fang
2011-03-30
Atomic force microscopy (AFM) has many unique features compared with other conventional microscopies, such as high magnification with high resolution, minimal sample preparation, acquiring 2D and 3D images at the same time, observing ongoing processes directly, the possibility of manipulating macromolecules, etc. As a nanotechnology tool, AFM has been used to investigate the nanostructure of materials in many fields. This mini-review focuses mainly on its latest application to characterise the macromolecular nanostructure and surface topography of agricultural products. First the fundamentals of AFM are briefly explained. Then the macromolecular nanostructure information on agricultural products from AFM images is introduced by exploring the structure-function relationship in three aspects: agricultural product processing, agricultural product ripening and storage, and genetic and environmental factors. The surface topography characterisation of agricultural products using AFM is also discussed. The results reveal that AFM could be a powerful nanotechnology tool to acquire a deeper understanding of the mechanisms of structure and quality variations of agricultural products, which could be instructive in improving processing and storage technologies, and AFM is also helpful to reveal the essential nature of a product at nanoscale. Copyright © 2011 Society of Chemical Industry.
Optimizing atomic force microscopy for characterization of diamond-protein interfaces
NASA Astrophysics Data System (ADS)
Rezek, Bohuslav; Ukraintsev, Egor; Kromka, Alexander
2011-12-01
Atomic force microscopy (AFM) in contact mode and tapping mode is employed for high resolution studies of soft organic molecules (fetal bovine serum proteins) on hard inorganic diamond substrates in solution and air. Various effects in morphology and phase measurements related to the cantilever spring constant, amplitude of tip oscillations, surface approach, tip shape and condition are demonstrated and discussed based on the proposed schematic models. We show that both diamond and proteins can be mechanically modified by Si AFM cantilever. We propose how to choose suitable cantilever type, optimize scanning parameters, recognize and minimize various artifacts, and obtain reliable AFM data both in solution and in air to reveal microscopic characteristics of protein-diamond interfaces. We also suggest that monocrystalline diamond is well defined substrate that can be applicable for fundamental studies of molecules on surfaces in general.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Takahashi, Ryosuke; Okajima, Takaharu, E-mail: okajima@ist.hokudai.ac.jp
We present multi-frequency force modulation atomic force microscopy (AFM) for mapping the complex shear modulus G* of living cells as a function of frequency over the range of 50–500 Hz in the same measurement time as the single-frequency force modulation measurement. The AFM technique enables us to reconstruct image maps of rheological parameters, which exhibit a frequency-dependent power-law behavior with respect to G{sup *}. These quantitative rheological measurements reveal a large spatial variation in G* in this frequency range for single cells. Moreover, we find that the reconstructed images of the power-law rheological parameters are much different from those obtained inmore » force-curve or single-frequency force modulation measurements. This indicates that the former provide information about intracellular mechanical structures of the cells that are usually not resolved with the conventional force measurement methods.« less
AFM-based micro/nanoscale lithography of poly(dimethylsiloxane): stick-slip on a softpolymer
NASA Astrophysics Data System (ADS)
Watson, Jolanta A.; Myhra, Sverre; Brown, Christopher L.; Watson, Gregory S.
2005-02-01
Silicone rubbers have steadily gained importance in industry since their introduction in the 1960"s. Poly(dimethylsiloxane) (PDMS) is a relatively soft and optically clear, two-part elastomer with interesting and, more importantly, useful physical and electrical properties. Some of its common applications include protective coatings (e.g., against moisture, environmental attack, mechanical and thermal shock and vibrations), and encapsulation (e.g., amplifiers, inductive coils, connectors and circuit boards). The polymer has attracted recent interest for applications in soft lithography. The polymer is now routinely used as a patterned micro-stamp for chemical modification of surfaces, in particular Au substrates. Prominent stick-slip effects, surface relaxation and elastic recovery were found to be associated with micro/nano manipulation of the polymer by an AFM-based contact mode methodology. Those effects provide the means to explore in detail the meso-scale tip-to-surface interactions between a tip and a soft surface. The dependence of scan speed, loading force, attack angle and number of scan lines have been investigated.
Photomask applications of traceable atomic force microscope dimensional metrology at NIST
NASA Astrophysics Data System (ADS)
Dixson, Ronald; Orji, Ndubuisi G.; Potzick, James; Fu, Joseph; Allen, Richard A.; Cresswell, Michael; Smith, Stewart; Walton, Anthony J.; Tsiamis, Andreas
2007-10-01
The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. Three major instruments are being used for traceable measurements. The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), the second is the first generation of commercially available critical dimension AFM (CD-AFM), and the third is a current generation CD-AFM at SEMATECH - for which NIST has established the calibration and uncertainties. All of these instruments have useful applications in photomask metrology. Linewidth reference metrology is an important application of CD-AFM. We have performed a preliminary comparison of linewidths measured by CD-AFM and by electrical resistance metrology on a binary mask. For the ten selected test structures with on-mask linewidths between 350 nm and 600 nm, most of the observed differences were less than 5 nm, and all of them were less than 10 nm. The offsets were often within the estimated uncertainties of the AFM measurements, without accounting for the effect of linewidth roughness or the uncertainties of electrical measurements. The most recent release of the NIST photomask standard - which is Standard Reference Material (SRM) 2059 - was also supported by CD-AFM reference measurements. We review the recent advances in AFM linewidth metrology that will reduce the uncertainty of AFM measurements on this and future generations of the NIST photomask standard. The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized He-Ne laser. One of the important applications of the C-AFM is step height metrology, which has some relevance to phase shift calibration. In the current generation of the system, the approximate level of relative standard uncertainty for step height measurements at the 100 nm scale is 0.1 %. We discuss the monitor history of a 290 nm step height, originally measured on the C-AFM with a 1
Helmig, Sarah; Gothelf, Kurt Vesterager
2017-10-23
Signal transfer is central to the controlled exchange of information in biology and advanced technologies. Therefore, the development of reliable, long-range signal transfer systems for artificial nanoscale assemblies is of great scientific interest. We have designed such a system for the signal transfer between two connected DNA nanostructures, using the hybridization chain reaction (HCR). Two sets of metastable DNA hairpins, one of which is immobilized at specific points along tracks on DNA origami structures, are polymerized to form a continuous DNA duplex, which is visible using atomic force microscopy (AFM). Upon addition of a designed initiator, the initiation signal is efficiently transferred more than 200 nm from a specific location on one origami structure to an end point on another origami structure. The system shows no significant loss of signal when crossing from one nanostructure to another and, therefore, has the potential to be applied to larger multi-component DNA assemblies. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
A Unique Self-Sensing, Self-Actuating AFM Probe at Higher Eigenmodes
Wu, Zhichao; Guo, Tong; Tao, Ran; Liu, Leihua; Chen, Jinping; Fu, Xing; Hu, Xiaotang
2015-01-01
With its unique structure, the Akiyama probe is a type of tuning fork atomic force microscope probe. The long, soft cantilever makes it possible to measure soft samples in tapping mode. In this article, some characteristics of the probe at its second eigenmode are revealed by use of finite element analysis (FEA) and experiments in a standard atmosphere. Although the signal-to-noise ratio in this environment is not good enough, the 2 nm resolution and 0.09 Hz/nm sensitivity prove that the Akiyama probe can be used at its second eigenmode under FM non-contact mode or low amplitude FM tapping mode, which means that it is easy to change the measuring method from normal tapping to small amplitude tapping or non-contact mode with the same probe and equipment. PMID:26580619
NASA Astrophysics Data System (ADS)
Sharma, Shivani; Shahee, Aga; Yadav, Poonam; da Silva, Ivan; Lalla, N. P.
2017-11-01
Low-temperature high-magnetic field (2 K, 8 T) (LTHM) powder X-ray diffraction (XRD) and time of flight powder neutron diffraction (NPD), low-temperature transmission electron microscopic (TEM), and resistivity and magnetization measurements have been carried out to investigate the re-entrant charge ordering (CO), field induced structural phase transitions, and metastability in phase-separated La0.175Pr0.45Ca0.375MnO3-δ (LPCMO). Low-temperature TEM and XRD studies reveal that on cooling under zero-field, paramagnetic Pnma phase transforms to P21/m CO antiferromagnetic (AFM) insulating phase below ˜233 K. Unlike reported literature, no structural signature of CO AFM P21/m to ferromagnetic (FM) Pnma phase-transition during cooling down to 2 K under zero-field was observed. However, the CO phase was found to undergo a re-entrant transition at ˜40 K. Neutron diffraction studies revealed a pseudo CE type spin arrangement of the observed CO phase. The low-temperature resistance, while cooled under zero-field, shows insulator to metal like transition below ˜105 K with minima at ˜25 K. On application of field, the CO P21/m phase was found to undergo field-induced transition to FM Pnma phase, which shows irreversibility on field removal below ˜40 K. Zero-field warming XRD and NPD studies reveal that field-induced FM Pnma phase is a metastable phase, which arise due to the arrest of kinetics of the first-order phase transition of FM Pnma to CO-AFM P21/m phase, below 40 K. Thus, a strong magneto-structural coupling is observed for this system. A field-temperature (H-T) phase-diagram has been constructed based on the LTHM-XRD, which matches very nicely with the reported H-T phase-diagram constructed based on magnetic measurements. Due to the occurrence of gradual growth of the re-entrant CO phase and the absence of a clear structural signature of phase-separation of CO-AFM P21/m and FM Pnma phases, the H-T minima in the phase-diagram of the present LPCMO sample has been
Optical and AFM study of electrostatically assembled films of CdS and ZnS colloid nanoparticles
NASA Astrophysics Data System (ADS)
Suryajaya; Nabok, A.; Davis, F.; Hassan, A.; Higson, S. P. J.; Evans-Freeman, J.
2008-05-01
CdS and ZnS semiconducting colloid nanoparticles coated with the organic shell, containing either SO 3- or NH 2+ groups, were prepared using the aqueous phase synthesis. The multilayer films of CdS (or ZnS) were deposited onto glass, quartz and silicon substrates using the technique of electrostatic self-assembly. The films produced were characterized with UV-vis spectroscopy, spectroscopic ellipsometry and atomic force microscopy. A substantial blue shift of the main absorption band with respect to the bulk materials was found for both CdS and ZnS films. The Efros equation in the effective mass approximation (EMA) theoretical model allowed the evaluation of the nanoparticle radius of 1.8 nm, which corresponds well to the ellipsometry results. AFM shows the formation of larger aggregates of nanoparticles on solid surfaces.
Biomechanical Properties of Murine Meniscus Surface via AFM-based Nanoindentation
Li, Qing; Doyran, Basak; Gamer, Laura W.; Lu, X. Lucas; Qin, Ling; Ortiz, Christine; Grodzinsky, Alan J.; Rosen, Vicki; Han, Lin
2015-01-01
This study aimed to quantify the biomechanical properties of murine meniscus surface. Atomic force microscopy (AFM)-based nanoindentation was performed on the central region, proximal side of menisci from 6- to 24-week old male C57BL/6 mice using microspherical tips (Rtip ≈ 5 μm) in PBS. A unique, linear correlation between indentation depth, D, and response force, F, was found on menisci from all age groups. This non-Hertzian behavior is likely due to the dominance of tensile resistance by the collagen fibril bundles on meniscus surface that are mostly aligned along the circumferential direction observed on 12-week old menisci. The indentation resistance was calculated as both the effective stiffness, Sind = dF/dD, and the effective modulus, Eind, via the isotropic Hertz model. Values of Sind and Eind were found to depend on indentation rate, suggesting the existence of poro-viscoelasticity. These values do not significantly vary with anatomical sites, lateral versus medial compartments, or mouse age. In addition, Eind of meniscus surface (e.g., 6.1 ± 0.8 MPa for 12 weeks of age, mean ± SEM, n = 13) was found to be significantly higher than those of meniscus surfaces in other species, and of murine articular cartilage surface (1.4 ± 0.1 MPa, n = 6). In summary, these results provided the first direct mechanical knowledge of murine knee meniscus tissues. We expect this understanding to serve as a mechanics-based benchmark for further probing the developmental biology and osteoarthritis symptoms of meniscus in various murine models. PMID:25817332
Lartey, Frederick M; Rafat, Marjan; Negahdar, Mohammadreza; Malkovskiy, Andrey V; Dong, Xinzhe; Sun, Xiaoli; Li, Mei; Doyle, Timothy; Rajadas, Jayakumar; Graves, Edward E; Loo, Billy W; Maxim, Peter G
2017-02-01
A major challenge in CT screening for lung cancer is limited specificity when distinguishing between malignant and non-malignant pulmonary nodules (PN). Malignant nodules have different mechanical properties and tissue characteristics ('stiffness') from non-malignant nodules. This study seeks to improve CT specificity by demonstrating in rats that measurements of volumetric ratios in PNs with varying composition can be determined by respiratory-gated dynamic CT imaging and that these ratios correlate with direct physical measurements of PN stiffness. Respiratory-gated MicroCT images acquired at extreme tidal volumes of 9 rats with PNs from talc, matrigel and A549 human lung carcinoma were analyzed and their volumetric ratios (δ) derived. PN stiffness was determined by measuring the Young's modulus using atomic force microscopy (AFM) for each nodule excised immediately after MicroCT imaging. There was significant correlation (p=0.0002) between PN volumetric ratios determined by respiratory-gated CT imaging and the physical stiffness of the PNs determined from AFM measurements. We demonstrated proof of concept that PN volume changes measured non-invasively correlate with direct physical measurements of stiffness. These results may translate clinically into a means of improving the specificity of CT screening for lung cancer and/or improving individual prognostic assessments based on lung tumor stiffness. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.
Rodriguez, Raul D; Lacaze, Emmanuelle; Jupille, Jacques
2012-10-01
A method to determine the van der Waals forces from phase-distance curves recorded by atomic force microscopy (AFM) in tapping mode is presented. The relationship between the phase shift and the tip-sample distance is expressed as a function of the product of the Hamaker constant by tip radius. Silica-covered silicon tips are used to probe silica-covered silicon substrate in dry conditions to avoid capillary effects. Tips being assumed spherical, radii are determined in situ by averaging profiles recorded in different directions on hematite nanocrystals acting as nanotemplates, thus accounting for tip anisotropy. Through a series of reproducible measurements performed with tips of various radii (including the in-situ characterization of a damaged tip), a value of (6.3±0.4)×10(-20) J is found for the Hamaker constant of interacting silica surfaces in air, in good agreement with tabulated data. The results demonstrate that the onset of the tip-surface interaction is dominated by the van der Waals forces and that the total force can be modeled in the framework of the harmonic approximation. Based on the tip radius and the Hamaker constant associated to the tip-substrate system, the model is quite flexible. Once the Hamaker constant is known, a direct estimate of the tip size can be achieved whereas when the tip size is known, a quantitative evaluation of the van der Waals force becomes possible on different substrates with a spatial resolution at the nanoscale. Copyright © 2012 Elsevier B.V. All rights reserved.
Spin liquid state in the disordered triangular lattice Sc 2Ga 2CuO 7 revealed by NMR
Khuntia, P.; Kumar, R.; Mahajan, A. V.; ...
2016-04-18
We present microscopic magnetic properties of a two-dimensional triangular lattice Sc 2Ga 2CuO 7, consisting of single and double triangular Cu planes. An antiferromagnetic (AFM) exchange interaction J/k B ≈ 35 K between Cu 2+ (S = 1/2) spins in the triangular biplane is obtained from the analysis of intrinsic magnetic susceptibility data. The intrinsic magnetic susceptibility, extracted from 71Ga NMR shift data, displays the presence of AFM short range spin correlations and remains finite down to 50 mK, suggesting a nonsinglet ground state. The nuclear spin-lattice relaxation rate (1/T 1) reveals a slowing down of Cu 2+ spin fluctuationsmore » with decreasing T down to 100 mK. Magnetic specific heat (C m) and 1/T 1 exhibit power law behavior at low temperatures, implying the gapless nature of the spin excitation spectrum. The absence of long range magnetic ordering down to ~J/700, nonzero spin susceptibility at low T, and the power law behavior of C m and 1/T 1 suggest a gapless quantum spin liquid (QSL) state. Our results demonstrate that persistent spin dynamics induced by frustration maintain a quantum-disordered state at T → 0 in this triangular lattice antiferromagnet. Furthermore, this suggests that the low energy modes are dominated by spinon excitations in the QSL state due to randomness engendered by disorder and frustration.« less
Kamaeva, Anara A.; Vasilchenko, Alexey S.; Deryabin, Dmitry G.
2014-01-01
Chromobacterium violaceum abounds in soil and water ecosystems in tropical and subtropical regions and occasionally causes severe and often fatal human and animal infections. The quorum sensing (QS) system and biofilm formation are essential for C. violaceum's adaptability and pathogenicity, however, their interrelation is still unknown. C. violaceum's cell and biofilm morphology were examined by atomic force microscopy (AFM) in comparison with growth rates, QS-dependent violacein biosynthesis and biofilm biomass quantification. To evaluate QS regulation of these processes, the wild-type strain C. violaceum ATCC 31532 and its mini-Tn5 mutant C. violaceum NCTC 13274, cultivated with and without the QS autoinducer N-hexanoyl-L-homoserine lactone (C6-HSL), were used. We report for the first time the unusual morphological differentiation of C. violaceum cells, associated with biofilm development and directed by the QS autoinducer. AFM revealed numerous invaginations of the external cytoplasmic membrane of wild-type cells, which were repressed in the mutant strain and restored by exogenous C6-HSL. With increasing bacterial growth, polymer matrix extrusions formed in place of invaginations, whereas mutant cells were covered with a diffusely distributed extracellular substance. Thus, quorum sensing in C. violaceum involves a morphological differentiation that organises biofilm formation and leads to a highly differentiated matrix structure. PMID:25111599
Kamaeva, Anara A; Vasilchenko, Alexey S; Deryabin, Dmitry G
2014-01-01
Chromobacterium violaceum abounds in soil and water ecosystems in tropical and subtropical regions and occasionally causes severe and often fatal human and animal infections. The quorum sensing (QS) system and biofilm formation are essential for C. violaceum's adaptability and pathogenicity, however, their interrelation is still unknown. C. violaceum's cell and biofilm morphology were examined by atomic force microscopy (AFM) in comparison with growth rates, QS-dependent violacein biosynthesis and biofilm biomass quantification. To evaluate QS regulation of these processes, the wild-type strain C. violaceum ATCC 31532 and its mini-Tn5 mutant C. violaceum NCTC 13274, cultivated with and without the QS autoinducer N-hexanoyl-L-homoserine lactone (C6-HSL), were used. We report for the first time the unusual morphological differentiation of C. violaceum cells, associated with biofilm development and directed by the QS autoinducer. AFM revealed numerous invaginations of the external cytoplasmic membrane of wild-type cells, which were repressed in the mutant strain and restored by exogenous C6-HSL. With increasing bacterial growth, polymer matrix extrusions formed in place of invaginations, whereas mutant cells were covered with a diffusely distributed extracellular substance. Thus, quorum sensing in C. violaceum involves a morphological differentiation that organises biofilm formation and leads to a highly differentiated matrix structure.
Takagi, Mutsumi; Kitabayashi, Takayuki; Ito, Syunsuke; Fujiwara, Masashi; Tokuda, Akio
2007-01-01
Noninvasive measurement of 3-D morphology of adhered animal cells employing a phase-shifting laser microscope (PLM) is investigated, in which the phase shift for each pixel in the view field caused by cell height and the difference in refractive indices between the cells and the medium is determined. By employing saline with different refractive indices instead of a culture medium, the refractive index of the cells, which is necessary for the determination of cell height, is determined under PLM. The observed height of Chinese hamster ovary (CHO) cells cultivated under higher osmolarity is lower than that of the cells cultivated under physiological osmolarity, which is in agreement with previous data observed under an atomic force microscope (AFM). Maximum heights of human bone marrow mesenchymal stem cells and human umbilical cord vein endothelial cells measured under PLM and AFM agree well with each other. The maximum height of nonadherent spherical CHO cells observed under PLM is comparable to the cell diameter measured under a phase contrast inverted microscope. Laser irradiation, which is necessary for the observation under PLM, did not affect 3-D cell morphology. In conclusion, 3-D morphology of adhered animal cells can be noninvasively measured under PLM.
Kempe, André; Lackner, Maximilian
2016-01-01
The influence of aqueous halide solutions on collagen coatings was tested. The effects on resistance against indentation/penetration on adhesion forces were measured by atomic force microscopy (AFM) and the change of Young's modulus of the coating was derived. Comparative measurements over time were conducted with halide solutions of various concentrations. Physical properties of the mesh-like coating generally showed large variability. Starting with a compact set of physical properties, data disperse after minutes. A trend of increase in elasticity and permeability was found for all halide solutions. These changes were largest in NaI, displaying a logical trend with ion size. However a correlation with concentration was not measured. Adhesion properties were found to be independent of mechanical properties. The paper also presents practical experience for AFM measurements of soft tissue under liquids, particularly related to data evaluation. The weakening in physical strength found after exposure to halide solutions may be interpreted as widening of the network structure or change in the chemical properties in part of the collagen fibres (swelling). In order to design customized surface coatings at optimized conditions also for medical applications, halide solutions might be used as agents with little impact on the safety of patients. PMID:27721994
Effect of carboxymethylcellulose on fibril formation of collagen in vitro.
Ding, Cuicui; Shi, Ronghui; Zheng, Zhigong; Zhang, Min
2018-01-01
The effect of carboxymethylcellulose (CMC) on the fibril formation of collagen in vitro was studied by turbidity measurements and atomic force microscopy (AFM). The kinetics curves of fibril formation indicated that the rate of collagen fibrillogenesis was decreased with the addition of CMC, meanwhile the final turbidity was obviously increased as the CMC/collagen ratio reached 30%. The AFM images of collagen-CMC solutions showed that the number of nucleation sites of collagen fibrillogenesis was significantly increased with the presence of CMC, while the diameter of immature collagen fibrils was obviously decreased. Moreover, the thermal stability of collagen fibril hydrogels was obviously improved with the presence of CMC. In addition, the morphologies of collagen fibrils observed by AFM revealed that the adjacent fibril segments or fibrils were intertwisted and even tightly merged, probably due to the hydrogen bonding and molecular entanglement interactions between CMC and collagen molecules.
Dufrêne, Y F
2001-02-01
The application of atomic force microscopy (AFM) to probe the ultrastructure and physical properties of microbial cell surfaces is reviewed. The unique capabilities of AFM can be summarized as follows: imaging surface topography with (sub)nanometer lateral resolution; examining biological specimens under physiological conditions; measuring local properties and interaction forces. AFM is being used increasingly for: (i) visualizing the surface ultrastructure of microbial cell surface layers, including bacterial S-layers, purple membranes, porin OmpF crystals and fungal rodlet layers; (ii) monitoring conformational changes of individual membrane proteins; (iii) examining the morphology of bacterial biofilms, (iv) revealing the nanoscale structure of living microbial cells, including fungi, yeasts and bacteria, (v) mapping interaction forces at microbial surfaces, such as van der Waals and electrostatic forces, solvation forces, and steric/bridging forces; and (vi) probing the local mechanical properties of cell surface layers and of single cells.
Kumar, Bharat; Crittenden, Scott R
2013-11-01
We demonstrate the ability to measure Stern potential and Debye length in dilute ionic solution with atomic force microscopy. We develop an analytic expression for the second harmonic force component of the capacitive force in an ionic solution from the linearized Poisson-Boltzmann equation. This allows us to calibrate the AFM tip potential and, further, obtain the Stern potential of sample surfaces. In addition, the measured capacitive force is independent of van der Waals and double layer forces, thus providing a more accurate measure of Debye length.
NASA Astrophysics Data System (ADS)
Bender, P.; Tschöpe, A.; Birringer, R.
2014-12-01
The local mechanical coupling of ferromagnetic nanorods in hydrogels was characterized by magnetization measurements. Nickel nanorods were synthesized by the AAO-template method and embedded in gelatine hydrogels with mechanically soft or hard matrix properties determined by the gelatine weight fraction. By applying a homogeneous magnetic field during gelation the nanorods were aligned along the field resulting in uniaxially textured ferrogels. The magnetization curves of the soft ferrogel exhibited not only important similarities but also characteristic differences as compared to the hard ferrogel. The hystereses measured in a field parallel to the texture axis were almost identical for both samples indicating effective coupling of the nanorods with the polymer network. By contrast, measurements in a magnetic field perpendicular to the texture axis revealed a much higher initial susceptibility of the soft as compared to the hard ferrogel. This difference was attributed to the additional rotation of the nanorods allowed by the reduced shear modulus in the soft ferrogel matrix. Two methods for data analysis were presented which enabled us to determine the shear modulus of the gelatine matrix which was interpreted as a local rather than macroscopic quantity in consideration of the nanoscale of the probe particles.
Sonnenberg, Lars; Luo, Yufei; Schlaad, Helmut; Seitz, Markus; Cölfen, Helmut; Gaub, Hermann E
2007-12-12
The interaction between poly(L-glutamic acid) (PLE) and calcite crystals was studied with AFM-based single molecule force spectroscopy. Block copolymers of poly(ethylene oxide) (PEO) and PLE were synthesized and covalently attached to the tip of an AFM cantilever. In desorption measurements the molecules were allowed to adsorb on the calcite crystal faces and afterward successively desorbed. The corresponding desorption forces were detected with high precision, showing for example a force transition between the two blocks. Because of its importance in the crystallization process in biominerals, the PLE-calcite interaction was investigated as a function of the pH as well as the calcium concentration of the aqueous solution. The sensitivity of the technique was underlined by resolving different interaction forces for calcite (104) and calcite (100).
Elastic modulus of single cellulose microfibrils from tunicate measured by atomic force microscopy.
Iwamoto, Shinichiro; Kai, Weihua; Isogai, Akira; Iwata, Tadahisa
2009-09-14
The elastic modulus of single microfibrils from tunicate ( Halocynthia papillosa ) cellulose was measured by atomic force microscopy (AFM). Microfibrils with cross-sectional dimensions 8 x 20 nm and several micrometers in length were obtained by oxidation of cellulose with 2,2,6,6-tetramethylpiperidine-1-oxyl radical (TEMPO) as a catalyst and subsequent mechanical disintegration in water and by sulfuric acid hydrolysis. The nanocellulosic materials were deposited on a specially designed silicon wafer with grooves 227 nm in width, and a three-point bending test was applied to determine the elastic modulus using an AFM cantilever. The elastic moduli of single microfibrils prepared by TEMPO-oxidation and acid hydrolysis were 145.2 +/- 31.3 and 150.7 +/- 28.8 GPa, respectively. The result showed that the experimentally determined modulus of the highly crystalline tunicate microfibrils was in agreement with the elastic modulus of native cellulose crystals.
AFM visualization at a single-molecule level of denaturated states of proteins on graphite.
Barinov, Nikolay A; Prokhorov, Valery V; Dubrovin, Evgeniy V; Klinov, Dmitry V
2016-10-01
Different graphitic materials are either already used or believed to be advantageous in biomedical and biotechnological applications, e.g., as biomaterials or substrates for sensors. Most of these applications or associated important issues, such as biocompatibility, address the problem of adsorption of protein molecules and, in particular the conformational state of the adsorbed protein molecule on graphite. High-resolution AFM demonstrates highly oriented pyrolytic graphite (HOPG) induced denaturation of four proteins of blood plasma, such as ferritin, fibrinogen, human serum albumin (HSA) and immunoglobulin G (IgG), at a single molecule level. Protein denaturation is accompanied by the decrease of the heights of protein globules and spreading of the denatured protein fraction on the surface. In contrast, the modification of HOPG with the amphiphilic oligoglycine-hydrocarbon derivative monolayer preserves the native-like conformation and provides even more mild conditions for the protein adsorption than typically used mica. Protein unfolding on HOPG may have universal character for "soft" globular proteins. Copyright © 2016 Elsevier B.V. All rights reserved.
Combining AFM and Acoustic Probes to Reveal Changes in the Elastic Stiffness Tensor of Living Cells
Nijenhuis, Nadja; Zhao, Xuegen; Carisey, Alex; Ballestrem, Christoph; Derby, Brian
2014-01-01
Knowledge of how the elastic stiffness of a cell affects its communication with its environment is of fundamental importance for the understanding of tissue integrity in health and disease. For stiffness measurements, it has been customary to quote a single parameter quantity, e.g., Young’s modulus, rather than the minimum of two terms of the stiffness tensor required by elasticity theory. In this study, we use two independent methods (acoustic microscopy and atomic force microscopy nanoindentation) to characterize the elastic properties of a cell and thus determine two independent elastic constants. This allows us to explore in detail how the mechanical properties of cells change in response to signaling pathways that are known to regulate the cell’s cytoskeleton. In particular, we demonstrate that altering the tensioning of actin filaments in NIH3T3 cells has a strong influence on the cell's shear modulus but leaves its bulk modulus unchanged. In contrast, altering the polymerization state of actin filaments influences bulk and shear modulus in a similar manner. In addition, we can use the data to directly determine the Poisson ratio of a cell and show that in all cases studied, it is less than, but very close to, 0.5 in value. PMID:25296302
Some effects on SPM based surface measurement
NASA Astrophysics Data System (ADS)
Wenhao, Huang; Yuhang, Chen
2005-01-01
The scanning probe microscope (SPM) has been used as a powerful tool for nanotechnology, especially in surface nanometrology. However, there are a lot of false images and modifications during the SPM measurement on the surfaces. This is because of the complex interaction between the SPM tip and the surface. The origin is not only due to the tip material or shape, but also to the structure of the sample. So people are paying much attention to draw true information from the SPM images. In this paper, we present some simulation methods and reconstruction examples for the microstructures and surface roughness based on SPM measurement. For example, in AFM measurement, we consider the effects of tip shape and dimension, also the surface topography distribution in both height and space. Some simulation results are compared with other measurement methods to verify the reliability.
NASA Astrophysics Data System (ADS)
Lee, ImShik; Marchant, Roger E.
2001-10-01
The peptide sequence arginine-glycine-aspartate (RGD) found in fibrinogen, von Willebrand factor, fibronectin, and vitronectin, plays a critical role in platelet adhesion and thrombus formation, when bound to the platelet α IIbβ 3 integrin receptor. Using atomic force microscopy (AFM), we have measured the debonding interaction between an RGD peptide-modified AFM probe tip and a human platelet surface from pN to nN levels of force. The peptide sequence, GSSSGRGDSPA, which contains the biologically active RGDSP sequence with a hydrophilic spacer sequence (GSSSG), was covalently coupled to AFM probe tips. Direct measurements on the debonding force for the RGD ligand - α IIbβ 3 platelet receptor system were carried out in Tyrode buffer at room temperature. Our results show three distinct distributions of debonding forces at a loading rate of 12 nN/s, from which we estimate the debonding force for the single ligand-receptor to be ˜93 pN. The results also show evidence for considerable extension in the flexible sample surface during the debonding process, and a linear correlation between the debonding force and the logarithm of the rate of loading. From our analysis, the zero kinetic off-rate Koff(0), the single molecular binding energy Eb, and the transition state xB, assuming rigid binding, were extracted from the data, and estimated to be 22.6 s -1, -2.64×10 -20 J and 0.1 nm, respectively.
Observation of molecular level behavior in molecular electronic junction device
NASA Astrophysics Data System (ADS)
Maitani, Masato
In this dissertation, I utilize AFM based scanning probe measurement and surface enhanced Raman scattering based vibrational spectroscopic analysis to directly characterize topographic, electronic, and chemical properties of molecules confined in the local area of M3 junction to elucidate the molecular level behavior of molecular junction electronic devices. In the introduction, the characterization of molecular electronic devices with different types of metal-molecule-metal (M3) structures based upon self-assembled monolayers (SAMs) is reviewed. A background of the characterization methods I use in this dissertation, conducting probe atomic force microscopy (cp-AFM) and surface enhanced Raman spectroscopy (SERS), is provided in chapter 1. Several attempts are performed to create the ideal top metal contacts on SAMs by metal vapor phase deposition in order to prevent the metal penetration inducing critical defects of the molecular electronic devices. The scanning probe microscopy (SPM), such as cp-AFM, contact mode (c-) AFM and non-contact mode (nc-) AFM, in ultra high vacuum conditions are utilized to study the process of the metal-SAM interface construction in terms of the correlation between the morphological and electrical properties including the metal nucleation and filament generation as a function of the functionalization of long-chain alkane thiolate SAMs on Au. In chapter 2, the nascent condensation process of vapor phase Al deposition on inert and reactive SAMs are studied by SPM. The results of top deposition, penetration, and filament generation of deposited Al are discussed and compared to the results previously observed by spectroscopic measurements. Cp-AFM was shown to provide new insights into Al filament formation which has not been observed by conventional spectroscopic analysis. Additionally, the electronic characteristics of individual Al filaments are measured. Chapter 3 reveals SPM characterization of Au deposition onto --COOH terminated SAMs
Gola, Deepak; Malik, Anushree; Namburath, Maneesh; Ahammad, Shaikh Ziauddin
2017-10-01
Presence of industrial dyes and heavy metal as a contaminant in environment poses a great risk to human health. In order to develop a potential technology for remediation of dyes (Reactive remazol red, Yellow 3RS, Indanthrene blue and Vat novatic grey) and heavy metal [Cu(II), Ni(II), Cd(II), Zn(II), Cr(VI) and Pb(II)] contamination, present study was performed with entomopathogenic fungi, Beauveria bassiana (MTCC no. 4580). High dye removal (88-97%) was observed during the growth of B. bassiana while removal percentage for heavy metals ranged from 58 to 75%. Further, detailed investigations were performed with Pb(II) in terms of growth kinetics, effect of process parameters and mechanism of removal. Growth rate decreased from 0.118 h -1 (control) to 0.031 h -1 , showing 28% reduction in biomass at 30 mg L -1 Pb(II) with 58.4% metal removal. Maximum Pb(II) removal was observed at 30 °C, neutral pH and 30 mg L -1 initial metal concentration. FTIR analysis indicated the changes induced by Pb(II) in functional groups on biomass surface. Further, microscopic analysis (SEM and atomic force microscopy (AFM)) was performed to understand the changes in cell surface morphology of the fungal cell. SEM micrograph showed a clear deformation of fungal hyphae, whereas AFM studies proved the increase in surface roughness (RSM) in comparison to control cell. Homogenous bioaccumulation of Pb(II) inside the fungal cell was clearly depicted by TEM-high-angle annular dark field coupled with EDX. Present study provides an insight into the mechanism of Pb(II) bioremediation and strengthens the significance of using entomopathogenic fungus such as B. bassiana for metal and dye removal.
Guinovart, Tomàs; Crespo, Gastón A; Rius, F Xavier; Andrade, Francisco J
2014-04-22
A new solid-state reference electrode using a polymeric membrane of polyvinyl butyral (PVB), Ag/AgCl and NaCl to be used in decentralized chemical measurements is presented. The electrode is made by drop-casting the membrane cocktail onto a glassy carbon (GC) substrate. A stable potential (less than 1 mV dec(-1)) over a wide range of concentrations for the several chemical species tested is obtained. No significant influence to changes in redox potential, light and pH are observed. The response of this novel electrode shows good correlation when compared with a conventional double-junction reference electrode. Also good long-term stability (90±33 μV/h) and a lifetime of approximately 4 months are obtained. Aspects related to the working mechanisms are discussed. Atomic Force Microscopy (AFM) studies reveal the presence of nanopores and channels on the surface, and electrochemical impedance spectroscopy (EIS) of optimized electrodes show low bulk resistances, usually in the kΩ range, suggesting that a nanoporous polymeric structure is formed in the interface with the solution. Future applications of this electrode as a disposable device for decentralized measurements are discussed. Examples of the utilization on wearable substrates (tattoos, fabrics, etc) are provided. Copyright © 2014 Elsevier B.V. All rights reserved.
Chowdhury, S Roy; Cao, Jin; He, Yufan; Lu, H Peter
2018-03-27
Manipulating protein conformations for exploring protein structure-function relationship has shown great promise. Although protein conformational changes under pulling force manipulation have been extensively studied, protein conformation changes under a compressive force have not been explored quantitatively. The latter is even more biologically significant and relevant in revealing protein functions in living cells associated with protein crowdedness, distribution fluctuations, and cell osmotic stress. Here we report our experimental observations on abrupt ruptures of protein native structures under compressive force, demonstrated and studied by single-molecule AFM-FRET spectroscopic nanoscopy. Our results show that the protein ruptures are abrupt and spontaneous events occurred when the compressive force reaches a threshold of 12-75 pN, a force amplitude accessible from thermal fluctuations in a living cell. The abrupt ruptures are sensitive to local environment, likely a general and important pathway of protein unfolding in living cells.
Exchange bias in multiferroic Ca3Mn2O7 effected by Dzyaloshinskii-Moriya interaction
NASA Astrophysics Data System (ADS)
Sahlot, Pooja; Jana, Anupam; Awasthi, A. M.
2018-04-01
Ruddlesden-Popper manganite Ca3Mn2O7 has been synthesized in single phase orthorhombic structure with Cmcm space group. Temperature dependent magnetization M(T) shows that Ca3Mn2O7 undergoes long range antiferromagnetic (AFM) transition below 123 K, with weak ferromagnetism (WFM) at lower temperatures. Field dependent magnetization M(H) confirms WFM character below ˜110 K in the AFM-base magnetic structure. Detailed analysis of the zero field cooled magnetic hysteresis loops reveals a measurable exchange bias (EB) effect in the sample. EB is attributed to the high anisotropy in the sample and the presence of Dzyaloshinskii-Moriya (D-M) interaction, responsible for the canted interfacial-spins that couple "FM-clusters" with the "AFM-matrix". Temperature dependence of horizontal shifts of the M(H) loops in terms of the coercive fields (Hc±) and vertical shifts in terms of the remnant magnetizations (Mr±) is presented.
Direct measurement of IgM-Antigen interaction energy on individual red blood cells.
Yeow, Natasha; Tabor, Rico F; Garnier, Gil
2017-07-01
Most blood grouping tests rely on the principle of red blood cells (RBCs) agglutination. Agglutination is triggered by the binding of specific blood grouping antibodies to the corresponding RBC surface antigen on multiple cells. The interaction energies between blood grouping antibodies and antigens have been poorly defined in immunohaematology. Here for the first time, we functionalized atomic force microscope (AFM) cantilevers with the IgM form of blood grouping antibodies to probe populations of individual RBCs of different groups under physiological conditions. The force-mapping mode of AFM allowed us to measure specific antibody - antigen interactions, and simultaneously localize and quantify antigen sites on the scanned cell surface. This study provides a new insight of the interactions between IgM antibodies and its corresponding antigen. The technique and information can be translated to develop better blood typing diagnostics and optimize target-specific drug delivery for medical applications. Crown Copyright © 2017. Published by Elsevier B.V. All rights reserved.
Direct Force Measurements of Receptor-Ligand Interactions on Living Cells
NASA Astrophysics Data System (ADS)
Eibl, Robert H.
The characterization of cell adhesion between two living cells at the level of single receptor-ligand bonds is an experimental challenge. This chapter describes how the extremely sensitive method of atomic force microscopy (AFM) based force spectroscopy can be applied to living cells in order to probe for cell-to-cell or cell-to-substrate interactions mediated by single pairs of adhesion receptors. In addition, it is outlined how single-molecule AFM force spectroscopy can be used to detect physiologic changes of an adhesion receptor in a living cell. This force spectroscopy allows us to detect in living cells rapidly changing, chemokine SDF-1 triggered activation states of single VLA-4 receptors. This recently developed AFM application will allow for the detailed investigation of the integrin-chemokine crosstalk of integrin activation mechanisms and on how other adhesion receptors are modulated in health and disease. As adhesion molecules, living cells and even bacteria can be studied by single-molecule AFM force spectroscopy, this method is set to become a powerful tool that can not only be used in biophysics, but in cell biology as well as in immunology and cancer research.
Oxidized-LDL induce morphological changes and increase stiffness of endothelial cells
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chouinard, Julie A.; Research Centre on Aging, Sherbrooke Geriatric University Institute, Sherbrooke, Quebec; Grenier, Guillaume
There is increasing evidence suggesting that oxidized low-density lipoproteins (ox-LDL) play a critical role in endothelial injury contributing to the age-related physio-pathological process of atherosclerosis. In this study, the effects of native LDL and ox-LDL on the mechanical properties of living human umbilical vein endothelial cells (HUVEC) were investigated by atomic force microscopy (AFM) force measurements. The contribution of filamentous actin (F-actin) and vimentin on cytoskeletal network organization were also examined by fluorescence microscopy. Our results revealed that ox-LDL had an impact on the HUVEC shape by interfering with F-actin and vimentin while native LDL showed no effect. AFM colloidalmore » force measurements on living individual HUVEC were successfully used to measure stiffness of cells exposed to native and ox-LDL. AFM results demonstrated that the cell body became significantly stiffer when cells were exposed for 24 h to ox-LDL while cells exposed for 24 h to native LDL displayed similar rigidity to that of the control cells. Young's moduli of LDL-exposed HUVEC were calculated using two models. This study thus provides quantitative evidence on biomechanical mechanisms related to endothelial cell dysfunction and may give new insight on strategies aiming to protect endothelial function in atherosclerosis.« less
Pinned orbital moments in uncompensated antiferromagnetic Co doped ZnO
NASA Astrophysics Data System (ADS)
Buchner, Martin; Henne, Bastian; Ney, Verena; Lumetzberger, Julia; Wilhelm, Fabrice; Rogalev, Andrei; Hen, Amir; Ney, Andreas
2018-05-01
Low temperature Co K-edge x-ray magnetic circular dichroism spectra at different field cooling conditions were recorded to study the imprinted magnetization in antiferromagnetic (AFM) Co doped ZnO (Co:ZnO) films which manifests itself in a vertical exchange bias effect. Co:ZnO films with 50% and 60% doping concentrations were investigated to provide a high degree of pinned magnetic moments. The measurements reveal a change at the main absorption energy of the spectra, while the signal obtained at the pre-edge stays unaffected by the cooling conditions. Therefore, the pinned uncompensated AFM moments, resulting in an imprinted magnetization, are predominantly of orbital character and are independent of ferromagnetic layers.
Three dimensional profile measurement using multi-channel detector MVM-SEM
NASA Astrophysics Data System (ADS)
Yoshikawa, Makoto; Harada, Sumito; Ito, Keisuke; Murakawa, Tsutomu; Shida, Soichi; Matsumoto, Jun; Nakamura, Takayuki
2014-07-01
In next generation lithography (NGL) for the 1x nm node and beyond, the three dimensional (3D) shape measurements such as side wall angle (SWA) and height of feature on photomask become more critical for the process control. Until today, AFM (Atomic Force Microscope), X-SEM (cross-section Scanning Electron Microscope) and TEM (Transmission Electron Microscope) tools are normally used for 3D measurements, however, these techniques require time-consuming preparation and observation. And both X-SEM and TEM are destructive measurement techniques. This paper presents a technology for quick and non-destructive 3D shape analysis using multi-channel detector MVM-SEM (Multi Vision Metrology SEM), and also reports its accuracy and precision.
Loganathan, Muthukumaran; Bristow, Douglas A
2014-04-01
This paper presents a method and cantilever design for improving the mechanical measurement sensitivity in the atomic force microscopy (AFM) tapping mode. The method uses two harmonics in the drive signal to generate a bi-harmonic tapping trajectory. Mathematical analysis demonstrates that the wide-valley bi-harmonic tapping trajectory is as much as 70% more sensitive to changes in the sample topography than the standard single-harmonic trajectory typically used. Although standard AFM cantilevers can be driven in the bi-harmonic tapping trajectory, they require large forcing at the second harmonic. A design is presented for a bi-harmonic cantilever that has a second resonant mode at twice its first resonant mode, thereby capable of generating bi-harmonic trajectories with small forcing signals. Bi-harmonic cantilevers are fabricated by milling a small cantilever on the interior of a standard cantilever probe using a focused ion beam. Bi-harmonic drive signals are derived for standard cantilevers and bi-harmonic cantilevers. Experimental results demonstrate better than 30% improvement in measurement sensitivity using the bi-harmonic cantilever. Images obtained through bi-harmonic tapping exhibit improved sharpness and surface tracking, especially at high scan speeds and low force fields.
Surface modification of EPDM rubber by plasma treatment.
Grythe, Kai Frode; Hansen, Finn Knut
2006-07-04
The effect of argon, oxygen, and nitrogen plasma treatment of solvent cast EPDM rubber films has been investigated by means of atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and surface energy measurements. Plasma treatment leads to changes in the surface energy from 25 to 70 mN/m. Treatment conditions influenced both the changes in surface energy and the stability, and it became more difficult to obtain good contact angle measurements after longer (> ca. 4 min) treatment times, probably because of an increasingly uneven surface structure. XPS analyses revealed that up to 20 at. % oxygen can be easily incorporated and that variations of approximately 5% can be controlled by the plasma conditions. Oxygen was mainly found in hydroxyl groups, but also as carbonyl and carboxyl. XPS analyses showed more stable surfaces than expected from contact angles, probably because XPS analysis is less surface sensitive than contact angle measurements. AFM measurements revealed different surface structures with the three gases. The surface roughness increased generally with treatment time, and dramatic changes could be observed at longer times. At short times, surface energy changes were much faster than the changes in surface structure, showing that plasma treatment conditions can be utilized to tailor both surface energies and surface structure of EPDM rubber.
Measurement of nanoscale molten polymer droplet spreading using atomic force microscopy
NASA Astrophysics Data System (ADS)
Soleymaniha, Mohammadreza; Felts, Jonathan R.
2018-03-01
We present a technique for measuring molten polymer spreading dynamics with nanometer scale spatial resolution at elevated temperatures using atomic force microscopy (AFM). The experimental setup is used to measure the spreading dynamics of polystyrene droplets with 2 μm diameters at 115-175 °C on sapphire, silicon oxide, and mica. Custom image processing algorithms determine the droplet height, radius, volume, and contact angle of each AFM image over time to calculate the droplet spreading dynamics. The contact angle evolution follows a power law with time with experimentally determined values of -0.29 ± 0.01, -0.08 ± 0.02, and -0.21 ± 0.01 for sapphire, silicon oxide, and mica, respectively. The non-zero steady state contact angles result in a slower evolution of contact angle with time consistent with theories combining molecular kinetic and hydrodynamic models. Monitoring the cantilever phase provides additional information about the local mechanics of the droplet surface. We observe local crystallinity on the molten droplet surface, where crystalline structures appear to nucleate at the contact line and migrate toward the top of the droplet. Increasing the temperature from 115 °C to 175 °C reduced surface crystallinity from 35% to 12%, consistent with increasingly energetically favorable amorphous phase as the temperature approaches the melting temperature. This platform provides a way to measure spreading dynamics of extremely small volumes of heterogeneously complex fluids not possible through other means.
Tapping mode imaging and measurements with an inverted atomic force microscope.
Chan, Sandra S F; Green, John-Bruce D
2006-07-18
This report demonstrates the successful use of the inverted atomic force microscope (i-AFM) for tapping mode AFM imaging of cantilever-supported samples. i-AFM is a mode of AFM operation in which a sample supported on a tipless cantilever is imaged by one of many tips in a microfabricated tip array. Tapping mode is an intermittent contact mode whereby the cantilever is oscillated at or near its resonance frequency, and the amplitude and/or phase are used to image the sample. In the process of demonstrating that tapping mode images could be obtained in the i-AFM design, it was observed that the amplitude of the cantilever oscillation decreased markedly as the cantilever and tip array were approached. The source of this damping of the cantilever oscillations was identified to be the well-known "squeeze film damping", and the extent of damping was a direct consequence of the relatively shorter tip heights for the tip arrays, as compared to those of commercially available tapping mode cantilevers with integrated tips. The functional form for the distance dependence of the damping coefficient is in excellent agreement with previously published models for squeeze film damping, and the values for the fitting parameters make physical sense. Although the severe damping reduces the cantilever free amplitude substantially, we found that we were still able to access the low-amplitude regime of oscillation necessary for attractive tapping mode imaging of fragile molecules.
NASA Astrophysics Data System (ADS)
Vinnichenko, M.; Chevolleau, Th; Pham, M. T.; Poperenko, L.; Maitz, M. F.
2002-11-01
Surface modification of austenitic stainless steel (SS) 316L after incubation in growing cell cultures and cell-free media as control has been studied. The following treatments were applied: mouse fibrosarcoma cells L929 for 3 and 7 days, polymorphonuclear neutrophils for 3 and 7 days and human osteosarcoma cells SAOS-2 for 7 and 14 days. Cells were enzymatically removed in all cases. The modified surfaces were probed in comparison with untreated ones by means of spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). XPS shows the appearance of the peak of bonded nitrogen at 400.5 eV characteristic for adsorbed proteins on the surface for each type of cells and for the cell-free medium. Migration of Ni in the adsorbed layer is observed in all cases for samples after the cell cultures. The protein layer thickness is ellipsometrically determined to be within 2.5-6.0 nm for all treated samples with parameterization of its optical constants in Cauchy approach. The study showed that for such biological treatments of the SS the protein layer adsorption is the dominating process in the first 2 weeks, which could play a role in the process of corrosion by complex forming properties with metal ions.
Petkovic, Sonja; Badelt, Stefan; Flamm, Christoph; Delcea, Mihaela
2015-01-01
Reversible chemistry allowing for assembly and disassembly of molecular entities is important for biological self-organization. Thus, ribozymes that support both cleavage and formation of phosphodiester bonds may have contributed to the emergence of functional diversity and increasing complexity of regulatory RNAs in early life. We have previously engineered a variant of the hairpin ribozyme that shows how ribozymes may have circularized or extended their own length by forming concatemers. Using the Vienna RNA package, we now optimized this hairpin ribozyme variant and selected four different RNA sequences that were expected to circularize more efficiently or form longer concatemers upon transcription. (Two-dimensional) PAGE analysis confirms that (i) all four selected ribozymes are catalytically active and (ii) high yields of cyclic species are obtained. AFM imaging in combination with RNA structure prediction enabled us to calculate the distributions of monomers and self-concatenated dimers and trimers. Our results show that computationally optimized molecules do form reasonable amounts of trimers, which has not been observed for the original system so far, and we demonstrate that the combination of theoretical prediction, biochemical and physical analysis is a promising approach toward accurate prediction of ribozyme behavior and design of ribozymes with predefined functions. PMID:25999318
Drought sensitivity of Amazonian carbon balance revealed by atmospheric measurements
NASA Astrophysics Data System (ADS)
Gatti, L. V.; Gloor, M.; Miller, J. B.; Doughty, C. E.; Malhi, Y.; Domingues, L. G.; Basso, L. S.; Martinewski, A.; Correia, C. S. C.; Borges, V. F.; Freitas, S.; Braz, R.; Anderson, L. O.; Rocha, H.; Grace, J.; Phillips, O. L.; Lloyd, J.
2014-02-01
Feedbacks between land carbon pools and climate provide one of the largest sources of uncertainty in our predictions of global climate. Estimates of the sensitivity of the terrestrial carbon budget to climate anomalies in the tropics and the identification of the mechanisms responsible for feedback effects remain uncertain. The Amazon basin stores a vast amount of carbon, and has experienced increasingly higher temperatures and more frequent floods and droughts over the past two decades. Here we report seasonal and annual carbon balances across the Amazon basin, based on carbon dioxide and carbon monoxide measurements for the anomalously dry and wet years 2010 and 2011, respectively. We find that the Amazon basin lost 0.48+/-0.18 petagrams of carbon per year (PgCyr-1) during the dry year but was carbon neutral (0.06+/-0.1PgCyr-1) during the wet year. Taking into account carbon losses from fire by using carbon monoxide measurements, we derived the basin net biome exchange (that is, the carbon flux between the non-burned forest and the atmosphere) revealing that during the dry year, vegetation was carbon neutral. During the wet year, vegetation was a net carbon sink of 0.25+/-0.14PgCyr-1, which is roughly consistent with the mean long-term intact-forest biomass sink of 0.39+/-0.10PgCyr-1 previously estimated from forest censuses. Observations from Amazonian forest plots suggest the suppression of photosynthesis during drought as the primary cause for the 2010 sink neutralization. Overall, our results suggest that moisture has an important role in determining the Amazonian carbon balance. If the recent trend of increasing precipitation extremes persists, the Amazon may become an increasing carbon source as a result of both emissions from fires and the suppression of net biome exchange by drought.
Drought sensitivity of Amazonian carbon balance revealed by atmospheric measurements.
Gatti, L V; Gloor, M; Miller, J B; Doughty, C E; Malhi, Y; Domingues, L G; Basso, L S; Martinewski, A; Correia, C S C; Borges, V F; Freitas, S; Braz, R; Anderson, L O; Rocha, H; Grace, J; Phillips, O L; Lloyd, J
2014-02-06
Feedbacks between land carbon pools and climate provide one of the largest sources of uncertainty in our predictions of global climate. Estimates of the sensitivity of the terrestrial carbon budget to climate anomalies in the tropics and the identification of the mechanisms responsible for feedback effects remain uncertain. The Amazon basin stores a vast amount of carbon, and has experienced increasingly higher temperatures and more frequent floods and droughts over the past two decades. Here we report seasonal and annual carbon balances across the Amazon basin, based on carbon dioxide and carbon monoxide measurements for the anomalously dry and wet years 2010 and 2011, respectively. We find that the Amazon basin lost 0.48 ± 0.18 petagrams of carbon per year (Pg C yr(-1)) during the dry year but was carbon neutral (0.06 ± 0.1 Pg C yr(-1)) during the wet year. Taking into account carbon losses from fire by using carbon monoxide measurements, we derived the basin net biome exchange (that is, the carbon flux between the non-burned forest and the atmosphere) revealing that during the dry year, vegetation was carbon neutral. During the wet year, vegetation was a net carbon sink of 0.25 ± 0.14 Pg C yr(-1), which is roughly consistent with the mean long-term intact-forest biomass sink of 0.39 ± 0.10 Pg C yr(-1) previously estimated from forest censuses. Observations from Amazonian forest plots suggest the suppression of photosynthesis during drought as the primary cause for the 2010 sink neutralization. Overall, our results suggest that moisture has an important role in determining the Amazonian carbon balance. If the recent trend of increasing precipitation extremes persists, the Amazon may become an increasing carbon source as a result of both emissions from fires and the suppression of net biome exchange by drought.
Direct Imaging of Individual Intrinsic Hydration Layers on Lipid Bilayers at Ångstrom Resolution
Fukuma, Takeshi; Higgins, Michael J.; Jarvis, Suzanne P.
2007-01-01
The interactions between water and biological molecules have the potential to influence the structure, dynamics, and function of biological systems, hence the importance of revealing the nature of these interactions in relation to the local biochemical environment. We have investigated the structuring of water at the interface of supported dipalmitoylphosphatidylcholine bilayers in the gel phase in phosphate buffer solution using frequency modulation atomic force microscopy (FM-AFM). We present experimental results supporting the existence of intrinsic (i.e., surface-induced) hydration layers adjacent to the bilayer. The force versus distance curves measured between the bilayer and the AFM tip show oscillatory force profiles with a peak spacing of 0.28 nm, indicative of the existence of up to two hydration layers next to the membrane surface. These oscillatory force profiles reveal the molecular-scale origin of the hydration force that has been observed between two apposing lipid bilayers. Furthermore, FM-AFM imaging at the water/lipid interface visualizes individual hydration layers in three dimensions, with molecular-scale corrugations corresponding to the lipid headgroups. The results demonstrate that the intrinsic hydration layers are stable enough to present multiple energy barriers to approaching nanoscale objects, such as proteins and solvated ions, and are expected to affect membrane permeability and transport. PMID:17325013
In-situ AFM measurement of single fibrin fiber stiffness before and after addition of Factor XIII
NASA Astrophysics Data System (ADS)
Houser, John; O'Brien, E. Timothy; Lord, Susan T.; Superfine, Richard; Falvo, Michael R.
2008-10-01
Fibrin fibers are the main structural component of blood clots. Ligation of fibrin by native Factor XIII (FXIII) serves to fine tune the mechanical properties of the clot. Mechanical alteration is important because a clot must be stiff enough to resist forces from blood flow but compliant enough to prevent embolism (fracture). Cone and Plate measurements of fibrin gels, which represent the vast majority of mechanical measurements on fibrin, show that FXIII increases clot stiffness. More recently, measurements on individual fibrin fibers show that they exhibit remarkable extensibility, breaking at strains up to 300%. As of yet, the origin of this extensibility is not fully understood. The different responses of ligated and unligated fibrin fibers can give us clues as to it's mechanism of extension. We use a combined fluorescence/atomic force microscope to stretch individual, isolated, fibrin fibers and then compare force extension curves of the same fiber before and after addition of FXIII. We found up to a 3.5-fold increase in fiber stiffness after addition of FXIII. We also show stiffening of individual fibrin fibers after crosslinking by gluteraldehyde.
Watanabe, Tatsuro; Kuramochi, Hiromi; Takahashi, Atsushi; Imai, Kazue; Katsuta, Naoko; Nakayama, Tomonobu; Fujiki, Hirota; Suganuma, Masami
2012-05-01
To understand how nanomechanical stiffness affects metastatic potential, we studied the relationship between cell migration, a characteristic of metastasis, and cell stiffness using atomic force microscopy (AFM), which can measure stiffness (elasticity) of individual living cells. Migration and cell stiffness of three metastatic B16 melanoma variants (B16-F10, B16-BL6, and B16-F1 cells), and also effects of (-)-epigallocatechin gallate (EGCG), were studied using Transwell assay and AFM. Migration of B16-F10 and B16-BL6 cells was 3 and 2 times higher than that of B16-F1 cells in Transwell assay, and cell stiffness determined by AFM was also different among the three variants, although they have similar morphologies and the same growth rates: Means of Young's modulus were 350.8 ± 4.8 Pa for B16-F10 cells, 661.9 ± 16.5 Pa for B16-BL6 cells, and 727.2 ± 13.0 Pa for B16-F1 cells. AFM measurements revealed that highly motile B16-F10 cells have low cell stiffness, and low motile and metastatic B16-F1 cells have high cell stiffness: Nanomechanical stiffness is inversely correlated with migration potential. Treatment of highly motile B16-F10 cells with EGCG increased cell stiffness 2-fold and inhibited migration of the cells. Our study with AFM clearly demonstrates that cell stiffness is a reliable quantitative indicator of migration potential, and very likely metastatic potential, even in morphologically similar cells. And increased cell stiffness may be a key nanomechanical feature in inhibition of metastasis.
Afrin, Rehana; Zohora, Umme Salma; Uehara, Hironori; Watanabe-Nakayama, Takahiro; Ikai, Atsushi
2009-01-01
The atomic force microscope (AFM) is a versatile tool for imaging, force measurement and manipulation of proteins, DNA, and living cells basically at the single molecular level. In the cellular level manipulation, extraction, and identification of mRNA's from defined loci of a cell, insertion of plasmid DNA and pulling of membrane proteins, for example, have been reported. In this study, AFM was used to create holes at defined loci on the cell membrane for the investigation of viability of the cells after hole creation, visualization of intracellular structure through the hole and for targeted gene delivery into living cells. To create large holes with an approximate diameter of 5-10 microm, a phospholipase A(2) coated bead was added to the AFM cantilever and the bead was allowed to touch the cell surface for approximately 5-10 min. The evidence of hole creation was obtained mainly from fluorescent image of Vybrant DiO labeled cell before and after the contact with the bead and the AFM imaging of the contact area. In parallel, cells with a hole were imaged by AFM to reveal intracellular structures such as filamentous structures presumably actin fibers and mitochondria which were identified with fluorescent labeling with rhodamine 123. Targeted gene delivery was also attempted by inserting an AFM probe that was coated with the Monster Green Fluorescent Protein phMGFP Vector for transfection of the cell. Following targeted transfection, the gene expression of green fluorescent protein (GFP) was observed and confirmed by the fluorescence microscope. Copyright (c) 2009 John Wiley & Sons, Ltd.
Sorci, Mirco; Dassa, Bareket; Liu, Hongwei; Anand, Gaurav; Dutta, Amit K; Pietrokovski, Shmuel; Belfort, Marlene; Belfort, Georges
2013-06-18
In order to measure the intermolecular binding forces between two halves (or partners) of naturally split protein splicing elements called inteins, a novel thiol-hydrazide linker was designed and used to orient immobilized antibodies specific for each partner. Activation of the surfaces was achieved in one step, allowing direct intermolecular force measurement of the binding of the two partners of the split intein (called protein trans-splicing). Through this binding process, a whole functional intein is formed resulting in subsequent splicing. Atomic force microscopy (AFM) was used to directly measure the split intein partner binding at 1 μm/s between native (wild-type) and mixed pairs of C- and N-terminal partners of naturally occurring split inteins from three cyanobacteria. Native and mixed pairs exhibit similar binding forces within the error of the measurement technique (~52 pN). Bioinformatic sequence analysis and computational structural analysis discovered a zipper-like contact between the two partners with electrostatic and nonpolar attraction between multiple aligned ion pairs and hydrophobic residues. Also, we tested the Jarzynski's equality and demonstrated, as expected, that nonequilibrium dissipative measurements obtained here gave larger energies of interaction as compared with those for equilibrium. Hence, AFM coupled with our immobilization strategy and computational studies provides a useful analytical tool for the direct measurement of intermolecular association of split inteins and could be extended to any interacting protein pair.
Stanimirova, Rumyana D; Gurkov, Theodor D; Kralchevsky, Peter A; Balashev, Konstantin T; Stoyanov, Simeon D; Pelan, Eddie G
2013-05-21
Here, we combine experiments with Langmuir trough and atomic force microscopy (AFM) to investigate the reasons for the special properties of layers from the protein HFBII hydrophobin spread on the air-water interface. The hydrophobin interfacial layers possess the highest surface dilatational and shear elastic moduli among all investigated proteins. The AFM images show that the spread HFBII layers are rather inhomogeneous, (i.e., they contain voids, monolayer and multilayer domains). A continuous compression of the layer leads to filling the voids and transformation of a part of the monolayer into a trilayer. The trilayer appears in the form of large surface domains, which can be formed by folding and subduction of parts from the initial monolayer. The trilayer appears also in the form of numerous submicrometer spots, which can be obtained by forcing protein molecules out of the monolayer and their self-assembly into adjacent pimples. Such structures are formed because not only the hydrophobic parts, but also the hydrophilic parts of the HFBII molecules can adhere to each other in the water medium. If a hydrophobin layer is subjected to oscillations, its elasticity considerably increases, up to 500 mN/m, which can be explained with compaction. The relaxation of the layer's tension after expansion or compression follows the same relatively simple law, which refers to two-dimensional diffusion of protein aggregates within the layer. The characteristic diffusion time after compression is longer than after expansion, which can be explained with the impedence of diffusion in the more compact interfacial layer. The results shed light on the relation between the mesoscopic structure of hydrophobin interfacial layers and their unique mechanical properties that find applications for the production of foams and emulsions of extraordinary stability; for the immobilization of functional molecules at surfaces, and as coating agents for surface modification.
DNA-Templated Fabrication of Arbitrary-Structured Porous Carbon Materials
2016-07-11
same as the DNA nanostructure. Conductive AFM measurement shows that the carbon nanostructures are electrically conductive. These research activities ...surface chemistry. These research activities revealed a rich These research activities have resulted in 24 peer reviewed journal articles (23 published...of the intrinsic wettability of graphitic materials; and (c) high temperature carbonization of DNA. These activities are detailed below (Note that
Nucleation and growth of oxide islands during the initial-stage oxidation of (100)Cu-Pt alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Luo, Langli; Zhou, Guangwen, E-mail: gzhou@binghamton.edu; Kang, Yihong
2015-02-14
The initial-stage oxidation of (100) Cu-Pt alloys has been examined by in situ environmental transmission electron microscopy and ex situ atomic force microscopy (AFM). It is shown that the oxidation proceeds via the nucleation and growth of Cu{sub 2}O islands that show dependence on the alloy composition and oxidation temperature. The kinetic measurements on the oxide nucleation reveal that both the nucleation density and surface coverage of Cu{sub 2}O islands can be promoted by alloying more Pt in the Cu-Pt alloys. Increasing the oxidation temperature above 700 °C results in the growth of large Cu{sub 2}O islands that transits to amore » dendritic growth morphology. The ex situ AFM studies reveal that the nucleation of oxide islands can occur on surface terraces and the subsequent oxide growth depletes local terrace Cu atoms that results in the formation of surface pits.« less
NASA Astrophysics Data System (ADS)
Del Rosso, T.; Zaman, Q.; Cremona, M.; Pandoli, O.; Barreto, A. R. J.
2018-06-01
The degradation processes of tris(8-hydroxyquinoline) (Alq3) and tris(dibenzoylmethane) mono(1,10-phenanthroline)europium(III) (Eu(dbm)3(phen)) thin films are investigated by the use of AFM, photoluminescence and SPR spectroscopy. The plasmonic sensors are operated both in air and nitrogen environments, where they are irradiated with controlled doses of UVA radiation. AFM results don't reveal the formation of heterogeneous phases and crystallization under air exposure. The organic thin films change their refractive index under both types of exposure and act as a protective layer against oxidation for the SiO2/MPTS/metal interface of the plasmonic sensors. SPR measurements reveal a strict correlation between the refractive index increase and quenching of the photoluminescence of the organic thin films. The results are promising for the development of compact plasmonic UVA dosimeters in the surface plasmon coupled emission configuration (SPCE) with lanthanide β-diketonate complex materials (patent pending).
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ryu, Seunghwan; Hashizume, Yui; Mishima, Mari
Graphical abstract: - Highlights: • We developed a method to measure cell adhesion force by detaching cell using an arrowhead nanoneedle and AFM. • A nanofilm consisting of fibronectin and gelatin was formed on cell surface to reinforce the cell cortex. • By the nanofilm lamination, detachment efficiencies of strongly adherent cell lines were improved markedly. - Abstract: The properties of substrates and extracellular matrices (ECM) are important factors governing the functions and fates of mammalian adherent cells. For example, substrate stiffness often affects cell differentiation. At focal adhesions, clustered–integrin bindings link cells mechanically to the ECM. In order tomore » quantitate the affinity between cell and substrate, the cell adhesion force must be measured for single cells. In this study, forcible detachment of a single cell in the vertical direction using AFM was carried out, allowing breakage of the integrin–substrate bindings. An AFM tip was fabricated into an arrowhead shape to detach the cell from the substrate. Peak force observed in the recorded force curve during probe retraction was defined as the adhesion force, and was analyzed for various types of cells. Some of the cell types adhered so strongly that they could not be picked up because of plasma membrane breakage by the arrowhead probe. To address this problem, a technique to reinforce the cellular membrane with layer-by-layer nanofilms composed of fibronectin and gelatin helped to improve insertion efficiency and to prevent cell membrane rupture during the detachment process, allowing successful detachment of the cells. This method for detaching cells, involving cellular membrane reinforcement, may be beneficial for evaluating true cell adhesion forces in various cell types.« less
Adhesion Forces between Lewis(X) Determinant Antigens as Measured by Atomic Force Microscopy.
Tromas, C; Rojo, J; de la Fuente, J M; Barrientos, A G; García, R; Penadés, S
2001-01-01
The adhesion forces between individual molecules of Lewis(X) trisaccharide antigen (Le(X) ) have been measured in water and in calcium solution by using atomic force microscopy (AFM, see graph). These results demonstrate the self-recognition capability of this antigen, and reinforce the hypothesis that carbohydrate-carbohydrate interaction could be considered as the first step in the cell-adhesion process in nature. Copyright © 2001 WILEY-VCH Verlag GmbH, Weinheim, Fed. Rep. of Germany.
High-resolution Bio-Argo and Argo Measurements to Reveal Specific Oceanic Processes.
NASA Astrophysics Data System (ADS)
Poteau, A.; Claustre, H.; Briggs, N.; D'Ortenzio, F.; Schmechtig, C.; Prieur, L. M.; Boss, E.
2016-02-01
Together with temperature and salinity measurements, Bio-Argo profiling floats now measure a significant range of biogeochemical (e.g. O2, NO3) and bio-optical variables (Chla, backscattering coefficient and radiometry). To transmit the very large amount of data acquired by this new generation of floats, it was required to substitute the Argos telemetry (Argo program) with iridium telemetry. The obvious consequence is not only a much greater flexibly on data transmission but also on data acquisition thanks to the two-way communication allowed by iridium. Our group has now deployed and managed over 100 Bio-Argo floats of this type. In particular we have set up high-resolution mode of acquisition for certain periods of time or for dedicated portions of the water column. Here we illustrate with three examples the potential of conducting high-resolution measurement to identify and explore certain oceanic processes. (1) High resolution measurements of pressure, temperature and salinity (every 2 s) when the float is finishing its ascent (without any pump action) in the upper 10 m layer are analyzed with respect to sea state. We particularly focus on the study of the speed anomaly as compared to a nominal speed expected for a calm sea state. By comparison between speed anomaly of a float in the Mediterranean Sea and concurrent sea state measurements by a weather buoy in the same area, we suggest that float behaviour can be an indicator of sea state. (2) Each year, in response to springtime phytoplankton blooms, the resolution of bio-optical variables (backscattering and Chla) in the top 1000 m was increased to at least 1 m (every 10 s) for all floats in the North Atlantic and Southern Ocean. This resolution allowed accurate estimation of the concentration of large phytoplankton aggregates and revealed systematic differences in bulk aggregate sinking rate between ocean basins. (3) Finally we continuously record all the variables at a 10 min resolution during the float
X-ray magnetic spectroscopy of MBE-grown Mn-doped Bi{sub 2}Se{sub 3} thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Collins-McIntyre, L. J.; Watson, M. D.; Zhang, S. L.
2014-12-15
We report the growth of Mn-doped Bi{sub 2}Se{sub 3} thin films by molecular beam epitaxy (MBE), investigated by x-ray diffraction (XRD), atomic force microscopy (AFM), SQUID magnetometry and x-ray magnetic circular dichroism (XMCD). Epitaxial films were deposited on c-plane sapphire substrates by co-evaporation. The films exhibit a spiral growth mechanism typical of this material class, as revealed by AFM. The XRD measurements demonstrate a good crystalline structure which is retained upon doping up to ∼7.5 atomic-% Mn, determined by Rutherford backscattering spectrometry (RBS), and show no evidence of the formation of parasitic phases. However an increasing interstitial incorporation of Mnmore » is observed with increasing doping concentration. A magnetic moment of 5.1 μ{sub B}/Mn is obtained from bulk-sensitive SQUID measurements, and a much lower moment of 1.6 μ{sub B}/Mn from surface-sensitive XMCD. At ∼2.5 K, XMCD at the Mn L{sub 2,3} edge, reveals short-range magnetic order in the films and indicates ferromagnetic order below 1.5 K.« less
López-Jiménez, Lidia; Viñas, Miguel; Vinuesa, Teresa
2015-01-01
Aim: To visualize by Atomic Force Microscopy the alterations induced on Enterococcus. faecalis surface after treatment with 2 types of laser: Erbium chromium:yttrium-scandium-gallium-garnet (Er,Cr:YSGG) laser and Diode laser. Material and Methods: Bacterial suspensions from overnight cultures of E. faecalis were irradiated during 30 seconds with the laser-lights at 1 W and 2 W of power, leaving one untreated sample as control. Surface alterations on treated E. faecalis were visualized by Atomic Force Microscopy (AFM) and its surface roughness determined. Results: AFM imaging showed that at high potency of laser both cell morphology and surface roughness resulted altered, and that several cell lysis signs were easily visualized. Surface roughness clearly increase after the treatment with Er,Cr:YSGG at 2W of power, while the other treatments gave similar values of surface roughness. The effect of lasers on bacterial surfaces visualized by AFM revealed drastic alterations. Conclusions: AFM is a good tool to evaluate surface injuries after laser treatment; and could constitute a measure of antimicrobial effect that can complete data obtained by determination of microbial viability. Key words:Atomic force microscopy, Er,Cr:YSGG laser, diode laser, Enterococcus faecalis, surface roughness. PMID:25475770
Canetta, Elisabetta; Duperray, Alain; Leyrat, Anne; Verdier, Claude
2005-01-01
Cell adhesive and rheological properties play a very important role in cell transmigration through the endothelial barrier, in particular in the case of inflammation (leukocytes) or cancer metastasis (cancer cells). In order to characterize cell viscoelastic properties, we have designed a force spectrometer (AFM) which can stretch cells thereby allowing measurement of their rheological properties. This custom-made force spectrometer allows two different visualizations, one lateral and one from below. It allows investigation of the effects of rheology involved during cell stretching. To test the ability of our system to characterize such viscoelastic properties, ICAM-1 transfected CHO cells were analyzed. Two forms of ICAM-1 were tested; wild type ICAM-1, which can interact with the cytoskeleton, and a mutant form which lacks the cytoplasmic domain, and is unable to associate with the cytoskeleton. Stretching experiments carried out on these cells show the formation of long filaments. Using a previous model of filament elongation, we could determine the viscoelastic properties of a single cell. As expected, different viscoelastic components were found between the wild type and the mutant, which reveal that the presence of interactions between ICAM-1 and the cytoskeleton increases the stiffness of the cell.
NASA Astrophysics Data System (ADS)
Korayem, Moharam Habibnejad; Nahavandi, Amir
2017-04-01
This paper investigates the vibration of a tapping-mode Atomic Force Microscope (AFM) cantilever covered with two whole piezoelectric layers in a liquid medium. The authors of this article have already modeled the vibration of a cantilever immersed in liquid over rough surfaces. Five new ideas have been considered for improving the results of the previous work. Mass and damping of a cantilever probe tip have been considered. Since the probe tip of an AFM cantilever has a mass, which can itself affect the natural frequency of vibration, the significance of this mass has been explored. Also, two hydrodynamic force models for analyzing the mass and damping added to a cantilever in liquid medium have been evaluated. In modeling the vibration of a cantilever in liquid, simplifications are made to the theoretical equations used in the modeling, which may make the obtained results different from those in the real case. So, two hydrodynamic force models are introduced and compared with each other. In addition to the already introduced DMT model, the JKR model has been proposed. The forces acting on a probe tip have attractive and repulsive effects. The attractive Van der Waals force can vary depending on the surface smoothness or roughness, and the repulsive contact force, which is independent of the type of surface roughness and usually varies with the hardness or softness of a surface. When the first mode is used in the vibration of an AFM cantilever, the changes of the existing physical parameters in the simulation do not usually produce a significant difference in the response. Thus, three cantilever vibration modes have been investigated. Finally, an analytical approach for obtaining the response of equations is presented which solves the resulting motion equation by the Laplace method and, thus, a time function is obtained for cantilever deflection is determined. Also, using the COMSOL software to model a cantilever in a liquid medium, the computed natural
Domain wall magnetoresistance in BiFeO3 thin films measured by scanning probe microscopy
NASA Astrophysics Data System (ADS)
Domingo, N.; Farokhipoor, S.; Santiso, J.; Noheda, B.; Catalan, G.
2017-08-01
We measure the magnetotransport properties of individual 71° domain walls in multiferroic BiFeO3 by means of conductive—atomic force microscopy (C-AFM) in the presence of magnetic fields up to one Tesla. The results suggest anisotropic magnetoresistance at room temperature, with the sign of the magnetoresistance depending on the relative orientation between the magnetic field and the domain wall plane. A consequence of this finding is that macroscopically averaged magnetoresistance measurements for domain wall bunches are likely to underestimate the magnetoresistance of each individual domain wall.
NASA Astrophysics Data System (ADS)
Kaltenbach, Robin; Diehl, Dörte; Schaumann, Gabriele E.
2017-04-01
Organic coatings are considered as main cause of soil water repellency (SWR). This phenomenon plays a crucial role in the rhizosphere, at the interface of plant water uptake and soil hydraulics. Still, there is little knowledge about the nanoscale properties of natural soil compounds such as root-mucilage and its mechanistic effect on wettability. In this study, dried films of natural root-mucilage from Sorghum (Sorghum sp., MOENCH) on glass substrates were studied in order to explore experimental and evaluation methods that allow to link between macroscopic wettability and nano-/microscopic surface properties in this model soil system. SWR was assessed by optical contact angle (CA) measurements. The nanostructure of topography and adhesion forces of the mucilage surfaces was revealed by atomic force microscopy (AFM) measurements in ambient air, using PeakForce Quantitative Nanomechanical Mapping (PFQNM). Undiluted mucilage formed hydrophobic films on the substrate with CA > 90° and rather homogeneous nanostructure. Contact angles showed reduced water repellency of surfaces, when concentration of mucilage was decreased by dilution. AFM height and adhesion images displayed incomplete mucilage surface coverage for diluted samples. Hole-like structures in the film frequently exhibited increased adhesion forces. Spatial analysis of the AFM data via variograms enabled a numerical description of such 'adhesion holes'. The use of geostatistical approaches in AFM studies of the complex surface structure of soil compounds was considered meaningful in view of the need of comprehensive analysis of large AFM image data sets that exceed the capability of comparative visual inspection. Furthermore, force curves measured with the AFM showed increased break-free distances and pull-off forces inside the observed 'adhesion holes', indicating enhanced capillary forces due to adsorbed water films at hydrophilic domains for ambient RH (40 ± 2 %). This offers the possibility of
BOREAS AFM-08 ECMWF Hourly Surface and Upper Air Data for the SSA and NSA
NASA Technical Reports Server (NTRS)
Viterbo, Pedro; Betts, Alan; Hall, Forrest G. (Editor); Newcomer, Jeffrey A.; Smith, David E. (Technical Monitor)
2000-01-01
The Boreal Ecosystem-Atmosphere Study (BOREAS) Airborne Fluxes and Meteorology (AFM)-8 team focused on modeling efforts to improve the understanding of the diurnal evolution of the convective boundary layer over the boreal forest. This data set contains hourly data from the European Center for for Medium-Range Weather Forecasts (ECMWF) operational model from below the surface to the top of the atmosphere, including the model fluxes at the surface. Spatially, the data cover a pair of the points that enclose the rawinsonde sites at Candle Lake, Saskatchewan, in the Southern Study Area (SSA) and Thompson, Manitoba, in the Northern Study Area (NSA). Temporally, the data include the two time periods of 13 May 1994 to 30 Sept 1994 and 01 Mar 1996 to 31 Mar 1997. The data are stored in tabular ASCII files. The number of records in the upper air data files may exceed 20,000, causing a problem for some software packages. The ECMWF hourly surface and upper air data are available from the Earth Observing System Data and Information System (EOSDIS) Oak Ridge National Laboratory (ORNL) Distributed Active Archive Center (DAAC). The data files are available on a CD-ROM (see document number 20010000884).
Franco, Alexandre R; Ling, Josef; Caprihan, Arvind; Calhoun, Vince D; Jung, Rex E; Heileman, Gregory L; Mayer, Andrew R
2008-12-01
The human brain functions as an efficient system where signals arising from gray matter are transported via white matter tracts to other regions of the brain to facilitate human behavior. However, with a few exceptions, functional and structural neuroimaging data are typically optimized to maximize the quantification of signals arising from a single source. For example, functional magnetic resonance imaging (FMRI) is typically used as an index of gray matter functioning whereas diffusion tensor imaging (DTI) is typically used to determine white matter properties. While it is likely that these signals arising from different tissue sources contain complementary information, the signal processing algorithms necessary for the fusion of neuroimaging data across imaging modalities are still in a nascent stage. In the current paper we present a data-driven method for combining measures of functional connectivity arising from gray matter sources (FMRI resting state data) with different measures of white matter connectivity (DTI). Specifically, a joint independent component analysis (J-ICA) was used to combine these measures of functional connectivity following intensive signal processing and feature extraction within each of the individual modalities. Our results indicate that one of the most predominantly used measures of functional connectivity (activity in the default mode network) is highly dependent on the integrity of white matter connections between the two hemispheres (corpus callosum) and within the cingulate bundles. Importantly, the discovery of this complex relationship of connectivity was entirely facilitated by the signal processing and fusion techniques presented herein and could not have been revealed through separate analyses of both data types as is typically performed in the majority of neuroimaging experiments. We conclude by discussing future applications of this technique to other areas of neuroimaging and examining potential limitations of the
Measurement of Surface Tension of Solid Cu by Improved Multiphase Equilibrium
NASA Astrophysics Data System (ADS)
Nakamoto, Masashi; Liukkonen, Matti; Friman, Michael; Heikinheimo, Erkki; Hämäläinen, Marko; Holappa, Lauri
2008-08-01
The surface tension of solid Cu was measured with the multiphase equilibrium (MPE) method in a Pb-Cu system at 700 °C, 800 °C, and 900 °C. A special focus was on the measurement of angles involved in MPE. First, the effect of reading error in each angle measurement on the final result of surface tension of solid was simulated. It was found that the two groove measurements under atmosphere conditions are the primary sources of error in the surface tension of solid in the present system. Atomic force microscopy (AFM) was applied to these angle measurements as a new method with high accuracy. The obtained surface-tension values of solid Cu in the present work were 1587, 1610, and 1521 mN/m at 700 °C, 800 °C, and 900 °C, respectively, representing reasonable temperature dependence.
On physical changes on surface of human cervical epithelial cells during cancer transformations
NASA Astrophysics Data System (ADS)
Sokolov, Igor; Dokukin, Maxim; Guz, Nataliia; Woodworth, Craig
2013-03-01
Physical changes of the cell surface of cells during transformation from normal to cancerous state are rather poorly studied. Here we describe our recent studies of such changes done on human cervical epithelial cells during their transformation from normal through infected with human papillomavirus type-16 (HPV-16), immortalized (precancerous), to cancerous cells. The changes were studied with the help of atomic force microscopy (AFM) and through the measurement of physical adhesion of fluorescent silica beads to the cell surface. Based on the adhesion experiments, we clearly see the difference in nonspecific adhesion which occurs at the stage of immortalization of cells, precancerous cells. The analysis done with the help of AFM shows that the difference observed comes presumably from the alteration of the cellular ``brush,'' a layer that surrounds cells and which consists of mostly microvilli, microridges, and glycocalyx. Further AFM analysis reveals the emergence of fractal scaling behavior on the surface of cells when normal cells turn into cancerous. The possible causes and potential significance of these observations will be discussed.
Thomson, Neil H; Santos, Sergio; Mitchenall, Lesley A; Stuchinskaya, Tanya; Taylor, James A; Maxwell, Anthony
2014-08-21
DNA topoisomerases control the topology of DNA. Type II topoisomerases exhibit topology simplification, whereby products of their reactions are simplified beyond that expected based on thermodynamic equilibrium. The molecular basis for this process is unknown, although DNA bending has been implicated. To investigate the role of bending in topology simplification, the DNA bend angles of four enzymes of different types (IIA and IIB) were measured using atomic force microscopy (AFM). The enzymes tested were Escherichia coli topo IV and yeast topo II (type IIA enzymes that exhibit topology simplification), and Methanosarcina mazei topo VI and Sulfolobus shibatae topo VI (type IIB enzymes, which do not). Bend angles were measured using the manual tangent method from topographical AFM images taken with a novel amplitude-modulated imaging mode: small amplitude small set-point (SASS), which optimises resolution for a given AFM tip size and minimises tip convolution with the sample. This gave improved accuracy and reliability and revealed that all 4 topoisomerases bend DNA by a similar amount: ~120° between the DNA entering and exiting the enzyme complex. These data indicate that DNA bending alone is insufficient to explain topology simplification and that the 'exit gate' may be an important determinant of this process.
NASA Astrophysics Data System (ADS)
Thomson, Neil H.; Santos, Sergio; Mitchenall, Lesley A.; Stuchinskaya, Tanya; Taylor, James A.; Maxwell, Anthony
2014-08-01
DNA topoisomerases control the topology of DNA. Type II topoisomerases exhibit topology simplification, whereby products of their reactions are simplified beyond that expected based on thermodynamic equilibrium. The molecular basis for this process is unknown, although DNA bending has been implicated. To investigate the role of bending in topology simplification, the DNA bend angles of four enzymes of different types (IIA and IIB) were measured using atomic force microscopy (AFM). The enzymes tested were Escherichia coli topo IV and yeast topo II (type IIA enzymes that exhibit topology simplification), and Methanosarcina mazei topo VI and Sulfolobus shibatae topo VI (type IIB enzymes, which do not). Bend angles were measured using the manual tangent method from topographical AFM images taken with a novel amplitude-modulated imaging mode: small amplitude small set-point (SASS), which optimises resolution for a given AFM tip size and minimises tip convolution with the sample. This gave improved accuracy and reliability and revealed that all 4 topoisomerases bend DNA by a similar amount: ~120° between the DNA entering and exiting the enzyme complex. These data indicate that DNA bending alone is insufficient to explain topology simplification and that the `exit gate' may be an important determinant of this process.
Ouchi, Hayato; Kizaki, Takahiro; Yamato, Masaki; Lin, Xu; Hoshi, Nagahiro; Silly, Fabien; Kajitani, Takashi; Fukushima, Takanori
2018-01-01
Helical self-assembly of functional π-conjugated molecules offers unique photochemical and electronic properties in the spectroscopic level, but there are only a few examples that demonstrate their positive impact on the optoelectronic device level. Here, we demonstrate that hydrogen-bonded tapelike supramolecular polymers of a barbiturated oligo(alkylthiophene) show notable improvement in their photovoltaic properties upon organizing into helical nanofibers. A tapelike hydrogen-bonded supramolecular array of barbiturated oligo(butylthiophene) molecules was directly visualized by STM at a liquid–solid interface. TEM, AFM and XRD revealed that the tapelike supramolecular polymers further organize into helical nanofibers in solution and bulk states. Bulk heterojunction solar cells of the helical nanofibers and soluble fullerene showed a power conversion efficiency of 4.5%, which is markedly high compared to that of the regioisomer of butyl chains organizing into 3D lamellar agglomerates. PMID:29780493
Khalid, Shahzad; Kappus, Brian; Weninger, Keith; Putterman, Seth
2012-03-09
A strong interaction between a nanosecond laser and a 70 μm radius sonoluminescing plasma is achieved. The overall response of the system results in a factor of 2 increase in temperature as determined by its spectrum. Images of the interaction reveal that light energy is absorbed and trapped in a region smaller than the sonoluminescence emitting region of the bubble for over 100 ns. We interpret this opacity and transport measurement as demonstrating that sonoluminescencing bubbles can be 1000 times more opaque than what follows from the Saha equation of statistical mechanics in the ideal plasma limit. To address this discrepancy, we suggest that the effects of strong Coulomb interactions are an essential component of a first principles theory of sonoluminescence.
NASA Astrophysics Data System (ADS)
Khalid, Shahzad; Kappus, Brian; Weninger, Keith; Putterman, Seth
2012-03-01
A strong interaction between a nanosecond laser and a 70 μm radius sonoluminescing plasma is achieved. The overall response of the system results in a factor of 2 increase in temperature as determined by its spectrum. Images of the interaction reveal that light energy is absorbed and trapped in a region smaller than the sonoluminescence emitting region of the bubble for over 100 ns. We interpret this opacity and transport measurement as demonstrating that sonoluminescencing bubbles can be 1000 times more opaque than what follows from the Saha equation of statistical mechanics in the ideal plasma limit. To address this discrepancy, we suggest that the effects of strong Coulomb interactions are an essential component of a first principles theory of sonoluminescence.
Localized viscoelasticity measurements with untethered intravitreal microrobots.
Pokki, Juho; Ergeneman, Olgaç; Bergeles, Christos; Torun, Hamdi; Nelson, Bradley J
2012-01-01
Microrobots are a promising tool for medical interventions and micromanipulation. In this paper, we explore the concept of using microrobots for microrheology. Untethered magnetically actuated microrobots were used to characterize one of the most complex biofluids, the vitreous humor. In this work we began by experimentally characterizing the viscoelastic properties of an artificial vitreous humor. For comparison, its properties were also measured using special microcantilevers in an atomic force microscope (AFM) setup. Subsequently, an untethered device was used to study the vitreous humor of a porcine eye, which is a valid ex-vivo model of a human eye. Its viscoelasticity model was extracted, which was in agreement with the model of the artificial vitreous. The existing characterization methodology requires eye and vitreous humor dissection for the microrheology measurements. We envision that the method proposed here can be used in in vivo.
Structure and Dynamics of Confined Alcohol-Water Mixtures.
Bampoulis, Pantelis; Witteveen, Jorn P; Kooij, E Stefan; Lohse, Detlef; Poelsema, Bene; Zandvliet, Harold J W
2016-07-26
The effect of confinement between mica and graphene on the structure and dynamics of alcohol-water mixtures has been studied in situ and in real time at the molecular level by atomic force microscopy (AFM) at room temperature. AFM images reveal that the adsorbed molecules are segregated into faceted alcohol-rich islands on top of an ice layer on mica, surrounded by a pre-existing multilayer water-rich film. These faceted islands are in direct contact with the graphene surface, revealing a preferred adsorption site. Moreover, alcohol adsorption at low relative humidity (RH) reveals a strong preference of the alcohol molecules for the ordered ice interface. The growth dynamics of the alcohol islands is governed by supersaturation, temperature, the free energy of attachment of molecules to the island edge and two-dimensional (2D) diffusion. The measured diffusion coefficients display a size dependence on the molecular size of the alcohols, and are about 6 orders of magnitude smaller than the bulk diffusion coefficients, demonstrating the effect of confinement on the behavior of the alcohols. These experimental results provide new insights into the behavior of multicomponent fluids in confined geometries, which is of paramount importance in nanofluidics and biology.
Distributed force probe bending model of critical dimension atomic force microscopy bias
NASA Astrophysics Data System (ADS)
Ukraintsev, Vladimir A.; Orji, Ndubuisi G.; Vorburger, Theodore V.; Dixson, Ronald G.; Fu, Joseph; Silver, Rick M.
2013-04-01
Critical dimension atomic force microscopy (CD-AFM) is a widely used reference metrology technique. To characterize modern semiconductor devices, small and flexible probes, often 15 to 20 nm in diameter, are used. Recent studies have reported uncontrolled and significant probe-to-probe bias variation during linewidth and sidewall angle measurements. To understand the source of these variations, tip-sample interactions between high aspect ratio features and small flexible probes, and their influence on measurement bias, should be carefully studied. Using theoretical and experimental procedures, one-dimensional (1-D) and two-dimensional (2-D) models of cylindrical probe bending relevant to carbon nanotube (CNT) AFM probes were developed and tested. An earlier 1-D bending model was refined, and a new 2-D distributed force (DF) model was developed. Contributions from several factors were considered, including: probe misalignment, CNT tip apex diameter variation, probe bending before snapping, and distributed van der Waals-London force. A method for extracting Hamaker probe-surface interaction energy from experimental probe-bending data was developed. Comparison of the new 2-D model with 1-D single point force (SPF) model revealed a difference of about 28% in probe bending. A simple linear relation between biases predicted by the 1-D SPF and 2-D DF models was found. The results suggest that probe bending can be on the order of several nanometers and can partially explain the observed CD-AFM probe-to-probe variation. New 2-D and three-dimensional CD-AFM data analysis software is needed to take full advantage of the new bias correction modeling capabilities.
NASA Astrophysics Data System (ADS)
Peng, Chunqing; Thio, Yonathan; Gerhardt, Rosario
2009-03-01
Conductive paper has been fabricated by layer-by-layer (LBL) assembly of polyelectrolytes and indium tin oxide (ITO) nanoparticles onto wood fibers, followed by traditional paper making method. The wood fibers were first coated with polyethyleneimine (PEI) and then LBL assembled with poly(sodium 4-styrenesulfonate) (PSS) and ITO for several bilayers. The AC electrical properties, measured for frequencies ranging from 0.01 Hz to 1 MHz, will be reported for the in-plane (IP) and through-the-thickness (TT) directions. With 10 bilayers of PSS/ITO assembly on wood fibers, the conductivity of as-prepared paper was improved by more than six orders of magnitude and reach to 5.2x10-6 S cm-1 in IP direction and 1.9x10-8 S cm-1 in TT direction. The percolation phenomenon of ITO nanoparticles through the handsheet in both directions was observed through current atomic force microscopy (I-AFM). By applying a bias voltage, either on one end of the paper stripes or on one side of the paper handsheet, the current can be detected on the other end of the paper stripes or on the other side of the paper handsheet. PEI can be used to modify the ITO suspension and significantly improve the LBL procedure. The mechanism of PEI modifying ITO colloidal suspension will be discussed.
Measurement and modelization of silica opal optical properties
NASA Astrophysics Data System (ADS)
Avoine, Amaury; Hong, Phan Ngoc; Frederich, Hugo; Aregahegn, Kifle; Bénalloul, Paul; Coolen, Laurent; Schwob, Catherine; Thu Nga, Pham; Gallas, Bruno; Maître, Agnès
2014-03-01
We present the synthesis process and optical characterization of artificial silica opals. The specular reflection spectra are analyzed and compared to band structure calculations and finite difference time domain (FDTD) simulations. The silica optical index is a key parameter to correctly describe an opal and is usually not known and treated as a free parameter. Here we propose a method to infer the silica index, as well as the silica spheres diameter, from the reflection spectra and we validate it by comparison with two independent infrared methods for the index and, scanning electron microscopy (SEM) and atomic force microscopy (AFM) measurements for the spheres diameter.
NASA Astrophysics Data System (ADS)
Aivazian, Grant; Sun, Dong; Jones, Aaron; Ross, Jason; Yao, Wang; Cobden, David; Xu, Xiaodong
2012-02-01
The remarkable electrical and optical properties of graphene make it a promising material for new optoelectronic applications. However, one important, but so far unexplored, property is the role of hot carriers in charge and energy transport at graphene interfaces. Here we investigate the photocurrent (PC) dynamics at a tunable graphene pn junction using ultrafast scanning PC microscopy. Pump-probe measurements show a temperature dependent relaxation time of photogenerated carriers that increases from 1.5ps at 290K to 4ps at 20K; while the amplitude of the PC is independent of the lattice temperature. These observations imply that it is hot carriers, not phonons, which dominate ultrafast energy transport. Gate dependent measurements show many interesting features such as pump induced saturation, enhancement, and sign reversal of probe generated PC. These observations reveal that the underlying PC mechanism is a combination of the thermoelectric and built-in electric field effects. Our results enhance the understanding of non-equilibrium electron dynamics, electron-electron interactions, and electron-phonon interactions in graphene. They also determine fundamental limits on ultrafast device operation speeds (˜500 GHz) for graphene-based photodetectors.
Round, Andrew N; McMaster, Terence J; Miles, Mervyn J; Corfield, Anthony P; Berry, Monica
2007-06-01
Atomic force microscopy (AFM) has been used to show that human ocular mucins contain at least three distinct polymer conformations, separable by isopycnic density gradient centrifugation. In this work we have used affinity purification against the anti(mucin peptide core) monoclonal antibody 45M1 to isolate MUC5AC gene products, a major component of human ocular mucins. AFM images confirm that the affinity-purified polymers adopt distinct conformations that coidentify with two of those observed in the parent population, and further reveal that these two different conformations can be present within the same polymer. AFM images of the complexes formed after incubation of 45M1 with the parent sample reveal different rates of binding to the two MUC5AC polymer types. The variability of gene products within a mucin population was revealed by analyzing the height distributions along the polymer contour and periodicities in distances between occupied antibody binding sites. AFM analysis of mucin polymers at the single molecule level provides new information about the genetic origins of individual polymers and the contributions of glycosylation to the physicochemical properties of mucins, which can be correlated with information obtained from biochemistry, antibody binding assays, and molecular biology techniques.
van Vörden, Dennis; Möller, Rolf
2012-01-01
Summary Measurements of the frequency shift versus distance in noncontact atomic force microscopy (NC-AFM) allow measurements of the force gradient between the oscillating tip and a surface (force-spectroscopy measurements). When nonconservative forces act between the tip apex and the surface the oscillation amplitude is damped. The dissipation is caused by bistabilities in the potential energy surface of the tip–sample system, and the process can be understood as a hysteresis of forces between approach and retraction of the tip. In this paper, we present the direct measurement of the whole hysteresis loop in force-spectroscopy curves at 77 K on the PTCDA/Ag/Si(111) √3 × √3 surface by means of a tuning-fork-based NC-AFM with an oscillation amplitude smaller than the distance range of the hysteresis loop. The hysteresis effect is caused by the making and breaking of a bond between PTCDA molecules on the surface and a PTCDA molecule at the tip. The corresponding energy loss was determined to be 0.57 eV by evaluation of the force–distance curves upon approach and retraction. Furthermore, a second dissipation process was identified through the damping of the oscillation while the molecule on the tip is in contact with the surface. This dissipation process occurs mainly during the retraction of the tip. It reaches a maximum value of about 0.22 eV/cycle. PMID:22496993