Sample records for air system cas

  1. Exploiting CRISPR/Cas systems for biotechnology

    PubMed Central

    Sampson, Timothy R.; Weiss, David S.

    2015-01-01

    The Cas9 endonuclease is the central component of the Type II CRISPR/Cas system, a prokaryotic adaptive restriction system against invading nucleic acids, such as those originating from bacteriophages and plasmids. Recently, this RNA-directed DNA endonuclease has been harnessed to target DNA sequences of interest. Here, we review the development of Cas9 as an important tool to not only edit the genomes of a number of different prokaryotic and eukaryotic species, but also as an efficient system for site-specific transcriptional repression or activation. Additionally, a specific Cas9 protein has been observed to target an RNA substrate, suggesting that Cas9 may have the ability to be programmed to target RNA as well. Cas proteins from other CRISPR/Cas subtypes may also be exploited in this regard. Thus, CRISPR/Cas systems represent an effective and versatile biotechnological tool, which will have significant impact on future advancements in genome engineering. PMID:24323919

  2. Exploiting CRISPR/Cas systems for biotechnology.

    PubMed

    Sampson, Timothy R; Weiss, David S

    2014-01-01

    The Cas9 endonuclease is the central component of the Type II CRISPR/Cas system, a prokaryotic adaptive restriction system against invading nucleic acids, such as those originating from bacteriophages and plasmids. Recently, this RNA-directed DNA endonuclease has been harnessed to target DNA sequences of interest. Here, we review the development of Cas9 as an important tool to not only edit the genomes of a number of different prokaryotic and eukaryotic species, but also as an efficient system for site-specific transcriptional repression or activation. Additionally, a specific Cas9 protein has been observed to target an RNA substrate, suggesting that Cas9 may have the ability to be programmed to target RNA as well. Cas proteins from other CRISPR/Cas subtypes may also be exploited in this regard. Thus, CRISPR/Cas systems represent an effective and versatile biotechnological tool, which will have significant impact on future advancements in genome engineering. © 2014 WILEY Periodicals, Inc.

  3. [Detection of CRSPR-Cas system in Streptococcus thermophiles].

    PubMed

    Li, Wan; Liang, Hongzhang; Zhang, Danqing; Wang, Nana; Tang, Yaru; Li, Bailiang; Huo, Guicheng

    2016-04-14

    We aimed to detect the CRSPR-Cas system of six Streptococcus thermophilus. Bioinformatics method was used to predict CRSPR-Cas system of nine S. thermophilus that published in National Center for Biotechnology Information. Four primers were designed according to the flanking sequences of standard strains and the CRISPR-Cas system of six S. thermophilus have been detected by PCR method. S. thermophilus S4 had a Cas9 gene, others all had Cas9 gene, Cas10 gene and Cas9* gene. In addition, 79 and KLDS3.0207 still had Cas3 gene. Signature genes amplification of CRSPR-Cas system could predict the type of CRSPR-Cas system in unsequenced strains, these findings will help establish the foundation for the study of CRSPR-Cas system in lactic acid bacteria.

  4. Annotation and Classification of CRISPR-Cas Systems

    PubMed Central

    Makarova, Kira S.; Koonin, Eugene V.

    2018-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas (CRISPR-associated proteins) is a prokaryotic adaptive immune system that is represented in most archaea and many bacteria. Among the currently known prokaryotic defense systems, the CRISPR-Cas genomic loci show unprecedented complexity and diversity. Classification of CRISPR-Cas variants that would capture their evolutionary relationships to the maximum possible extent is essential for comparative genomic and functional characterization of this theoretically and practically important system of adaptive immunity. To this end, a multipronged approach has been developed that combines phylogenetic analysis of the conserved Cas proteins with comparison of gene repertoires and arrangements in CRISPR-Cas loci. This approach led to the current classification of CRISPR-Cas systems into three distinct types and ten subtypes for each of which signature genes have been identified. Comparative genomic analysis of the CRISPR-Cas systems in new archaeal and bacterial genomes performed over the 3 years elapsed since the development of this classification makes it clear that new types and subtypes of CRISPR-Cas need to be introduced. Moreover, this classification system captures only part of the complexity of CRISPR-Cas organization and evolution, due to the intrinsic modularity and evolutionary mobility of these immunity systems, resulting in numerous recombinant variants. Moreover, most of the cas genes evolve rapidly, complicating the family assignment for many Cas proteins and the use of family profiles for the recognition of CRISPR-Cas subtype signatures. Further progress in the comparative analysis of CRISPR-Cas systems requires integration of the most sensitive sequence comparison tools, protein structure comparison, and refined approaches for comparison of gene neighborhoods. PMID:25981466

  5. Annotation and Classification of CRISPR-Cas Systems.

    PubMed

    Makarova, Kira S; Koonin, Eugene V

    2015-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas (CRISPR-associated proteins) is a prokaryotic adaptive immune system that is represented in most archaea and many bacteria. Among the currently known prokaryotic defense systems, the CRISPR-Cas genomic loci show unprecedented complexity and diversity. Classification of CRISPR-Cas variants that would capture their evolutionary relationships to the maximum possible extent is essential for comparative genomic and functional characterization of this theoretically and practically important system of adaptive immunity. To this end, a multipronged approach has been developed that combines phylogenetic analysis of the conserved Cas proteins with comparison of gene repertoires and arrangements in CRISPR-Cas loci. This approach led to the current classification of CRISPR-Cas systems into three distinct types and ten subtypes for each of which signature genes have been identified. Comparative genomic analysis of the CRISPR-Cas systems in new archaeal and bacterial genomes performed over the 3 years elapsed since the development of this classification makes it clear that new types and subtypes of CRISPR-Cas need to be introduced. Moreover, this classification system captures only part of the complexity of CRISPR-Cas organization and evolution, due to the intrinsic modularity and evolutionary mobility of these immunity systems, resulting in numerous recombinant variants. Moreover, most of the cas genes evolve rapidly, complicating the family assignment for many Cas proteins and the use of family profiles for the recognition of CRISPR-Cas subtype signatures. Further progress in the comparative analysis of CRISPR-Cas systems requires integration of the most sensitive sequence comparison tools, protein structure comparison, and refined approaches for comparison of gene neighborhoods.

  6. New CRISPR-Cas systems from uncultivated microbes

    NASA Astrophysics Data System (ADS)

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.; Probst, Alexander J.; Anantharaman, Karthik; Thomas, Brian C.; Doudna, Jennifer A.; Banfield, Jillian F.

    2017-02-01

    CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNA extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.

  7. Phylogeny of Cas9 determines functional exchangeability of dual-RNA and Cas9 among orthologous type II CRISPR-Cas systems

    PubMed Central

    Fonfara, Ines; Le Rhun, Anaïs; Chylinski, Krzysztof; Makarova, Kira S.; Lécrivain, Anne-Laure; Bzdrenga, Janek; Koonin, Eugene V.; Charpentier, Emmanuelle

    2014-01-01

    The CRISPR-Cas-derived RNA-guided Cas9 endonuclease is the key element of an emerging promising technology for genome engineering in a broad range of cells and organisms. The DNA-targeting mechanism of the type II CRISPR-Cas system involves maturation of tracrRNA:crRNA duplex (dual-RNA), which directs Cas9 to cleave invading DNA in a sequence-specific manner, dependent on the presence of a Protospacer Adjacent Motif (PAM) on the target. We show that evolution of dual-RNA and Cas9 in bacteria produced remarkable sequence diversity. We selected eight representatives of phylogenetically defined type II CRISPR-Cas groups to analyze possible coevolution of Cas9 and dual-RNA. We demonstrate that these two components are interchangeable only between closely related type II systems when the PAM sequence is adjusted to the investigated Cas9 protein. Comparison of the taxonomy of bacterial species that harbor type II CRISPR-Cas systems with the Cas9 phylogeny corroborates horizontal transfer of the CRISPR-Cas loci. The reported collection of dual-RNA:Cas9 with associated PAMs expands the possibilities for multiplex genome editing and could provide means to improve the specificity of the RNA-programmable Cas9 tool. PMID:24270795

  8. New CRISPR–Cas systems from uncultivated microbes

    DOE PAGES

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.; ...

    2016-12-22

    We present that CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNAmore » extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Lastly, interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.« less

  9. New CRISPR–Cas systems from uncultivated microbes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burstein, David; Harrington, Lucas B.; Strutt, Steven C.

    We present that CRISPR-Cas systems provide microbes with adaptive immunity by employing short DNA sequences, termed spacers, that guide Cas proteins to cleave foreign DNA. Class 2 CRISPR-Cas systems are streamlined versions, in which a single RNA-bound Cas protein recognizes and cleaves target sequences. The programmable nature of these minimal systems has enabled researchers to repurpose them into a versatile technology that is broadly revolutionizing biological and clinical research. However, current CRISPR-Cas technologies are based solely on systems from isolated bacteria, leaving the vast majority of enzymes from organisms that have not been cultured untapped. Metagenomics, the sequencing of DNAmore » extracted directly from natural microbial communities, provides access to the genetic material of a huge array of uncultivated organisms. Here, using genome-resolved metagenomics, we identify a number of CRISPR-Cas systems, including the first reported Cas9 in the archaeal domain of life, to our knowledge. This divergent Cas9 protein was found in little-studied nanoarchaea as part of an active CRISPR-Cas system. In bacteria, we discovered two previously unknown systems, CRISPR-CasX and CRISPR-CasY, which are among the most compact systems yet discovered. Notably, all required functional components were identified by metagenomics, enabling validation of robust in vivo RNA-guided DNA interference activity in Escherichia coli. Lastly, interrogation of environmental microbial communities combined with in vivo experiments allows us to access an unprecedented diversity of genomes, the content of which will expand the repertoire of microbe-based biotechnologies.« less

  10. CLOSE AIR SUPPORT (CAS) FOR COUNTERINSURGENCY (COIN) AND THE UPWARD TRAJECTORY OF UNMANNED AIRCRAFT SYSTEMS (UAS): NAVIGATING THE UNDISCOVERED DOMAIN

    DTIC Science & Technology

    2015-10-01

    collateral damage. Further mitigating collateral damage, “…the SDB Focused Lethality Munition (FLM) variant incorporates a carbon fiber composite ...Effectiveness Modern attack helicopters execute the CAS mission with various standoff weapons. RW assets are slow moving and susceptible to MANPADS and...small arms fire, and attack helicopters used for CAS are primarily in a medium or low threat environment where enemy air defenses are weak or not

  11. Evolution and classification of the CRISPR-Cas systems

    PubMed Central

    S. Makarova, Kira; H. Haft, Daniel; Barrangou, Rodolphe; J. J. Brouns, Stan; Charpentier, Emmanuelle; Horvath, Philippe; Moineau, Sylvain; J. M. Mojica, Francisco; I. Wolf, Yuri; Yakunin, Alexander F.; van der Oost, John; V. Koonin, Eugene

    2012-01-01

    The CRISPR–Cas (clustered regularly interspaced short palindromic repeats–CRISPR-associated proteins) modules are adaptive immunity systems that are present in many archaea and bacteria. These defence systems are encoded by operons that have an extraordinarily diverse architecture and a high rate of evolution for both the cas genes and the unique spacer content. Here, we provide an updated analysis of the evolutionary relationships between CRISPR–Cas systems and Cas proteins. Three major types of CRISPR–Cas system are delineated, with a further division into several subtypes and a few chimeric variants. Given the complexity of the genomic architectures and the extremely dynamic evolution of the CRISPR–Cas systems, a unified classification of these systems should be based on multiple criteria. Accordingly, we propose a `polythetic' classification that integrates the phylogenies of the most common cas genes, the sequence and organization of the CRISPR repeats and the architecture of the CRISPR–cas loci. PMID:21552286

  12. Using CRISPR-Cas systems as antimicrobials.

    PubMed

    Bikard, David; Barrangou, Rodolphe

    2017-06-01

    Although CRISPR-Cas systems naturally evolved to provide adaptive immunity in bacteria and archaea, Cas nucleases can be co-opted to target chromosomal sequences rather than invasive genetic elements. Although genome editing is the primary outcome of self-targeting using CRISPR-based technologies in eukaryotes, self-targeting by CRISPR is typically lethal in bacteria. Here, we discuss how DNA damage introduced by Cas nucleases in bacteria can efficiently and specifically lead to plasmid curing or drive cell death. Specifically, we discuss how various CRISPR-Cas systems can be engineered and delivered using phages or phagemids as vectors. These principles establish CRISPR-Cas systems as potent and programmable antimicrobials, and open new avenues for the development of CRISPR-based tools for selective removal of bacterial pathogens and precise microbiome composition alteration. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. Current Close Air Support Doctrine: Out of Step With New Technology and Urban CAS Requirements

    DTIC Science & Technology

    2001-05-18

    friendly injury from CAS attacks in danger close situations discouraged the use and reduced the effectiveness of CAS. Subsequently, all participants...September 2000. Unpublished Thesis Bell, Steven E. “ Close Air Support for the Future.” Unpublished Research Paper, U.S. Army Command and General Staff...Naval War College, Newport, RI: 2000. Garret, Thomas W. “ Close Air Support: Why All the Fuss?” Unpublished Research Paper, U.S. Army War College

  14. The Reverse Transcriptases Associated with CRISPR-Cas Systems.

    PubMed

    Toro, Nicolás; Martínez-Abarca, Francisco; González-Delgado, Alejandro

    2017-08-02

    CRISPR (clustered regularly interspaced short palindromic repeats) and associated proteins (Cas) act as adaptive immune systems in bacteria and archaea. Some CRISPR-Cas systems have been found to be associated with putative reverse transcriptases (RT), and an RT-Cas1 fusion associated with a type III-B system has been shown to acquire RNA spacers in vivo. Nevertheless, the origin and evolutionary relationships of these RTs and associated CRISPR-Cas systems remain largely unknown. We performed a comprehensive phylogenetic analysis of these RTs and associated Cas1 proteins, and classified their CRISPR-Cas modules. These systems were found predominantly in bacteria, and their presence in archaea may be due to a horizontal gene transfer event. These RTs cluster into 12 major clades essentially restricted to particular phyla, suggesting host-dependent functioning. The RTs and associated Cas1 proteins may have largely coevolved. They are, therefore, subject to the same selection pressures, which may have led to coadaptation within particular protein complexes. Furthermore, our results indicate that the association of an RT with a CRISPR-Cas system has occurred on multiple occasions during evolution.

  15. Molecular Mechanisms of RNA-Targeting by Cas13-containing Type VI CRISPR-Cas Systems.

    PubMed

    O'Connell, Mitchell

    2018-06-22

    Prokaryotic adaptive immune systems use CRISPRs (Clustered Regularly Interspaced Short Palindromic Repeats) and CRISPR associated (Cas) proteins for RNA-guided cleavage of foreign genetic elements. The focus of this review, Type VI CRISPR-Cas systems, include a single protein known as Cas13 (formerly C2c2), that when assembled with a crRNA forms a crRNA-guided RNA-targeting effector complex. Type VI CRISPR-Cas systems can be divided into four subtypes (A-D) based on Cas13 phylogeny. All Cas13 proteins studied to date possess two enzymatically distinct ribonuclease activities that are required for optimal interference. One RNase is responsible for pre-crRNA processing to form mature Type VI interference complexes, while the other RNase activity provided by the two HEPN (Higher Eukaryotes and Prokaryotes Nucleotide-binding) domains, is required for degradation of target RNA during viral interference. In this review, I will compare and contrast what is known about the molecular architecture and behavior of Type VI (A-D) CRISPR-Cas13 interference complexes, how this allows them to carry out their RNA-targeting function, how Type VI accessory proteins are able to modulate Cas13 activity, and how together all of these features have led to the rapid development of a range of RNA-targeting applications. Throughout I will also discuss some of the outstanding questions regarding Cas13's molecular behavior, and its role in bacterial adaptive immunity and RNA-targeting applications. Copyright © 2018. Published by Elsevier Ltd.

  16. Crystal Structure of Streptococcus pyogenes Cas1 and Its Interaction with Csn2 in the Type II CRISPR-Cas System.

    PubMed

    Ka, Donghyun; Lee, Hasup; Jung, Yi-Deun; Kim, Kyunggon; Seok, Chaok; Suh, Nayoung; Bae, Euiyoung

    2016-01-05

    CRISPRs and Cas proteins constitute an RNA-guided microbial immune system against invading nucleic acids. Cas1 is a universal Cas protein found in all three types of CRISPR-Cas systems, and its role is implicated in new spacer acquisition during CRISPR-mediated adaptive immunity. Here, we report the crystal structure of Streptococcus pyogenes Cas1 (SpCas1) in a type II CRISPR-Cas system and characterize its interaction with S. pyogenes Csn2 (SpCsn2). The SpCas1 structure reveals a unique conformational state distinct from type I Cas1 structures, resulting in a more extensive dimerization interface, a more globular overall structure, and a disruption of potential metal-binding sites for catalysis. We demonstrate that SpCas1 directly interacts with SpCsn2, and identify the binding interface and key residues for Cas complex formation. These results provide structural information for a type II Cas1 protein, and lay a foundation for studying multiprotein Cas complexes functioning in type II CRISPR-Cas systems. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. The Revolution Continues: Newly Discovered Systems Expand the CRISPR-Cas Toolkit.

    PubMed

    Murugan, Karthik; Babu, Kesavan; Sundaresan, Ramya; Rajan, Rakhi; Sashital, Dipali G

    2017-10-05

    CRISPR-Cas systems defend prokaryotes against bacteriophages and mobile genetic elements and serve as the basis for revolutionary tools for genetic engineering. Class 2 CRISPR-Cas systems use single Cas endonucleases paired with guide RNAs to cleave complementary nucleic acid targets, enabling programmable sequence-specific targeting with minimal machinery. Recent discoveries of previously unidentified CRISPR-Cas systems have uncovered a deep reservoir of potential biotechnological tools beyond the well-characterized Type II Cas9 systems. Here we review the current mechanistic understanding of newly discovered single-protein Cas endonucleases. Comparison of these Cas effectors reveals substantial mechanistic diversity, underscoring the phylogenetic divergence of related CRISPR-Cas systems. This diversity has enabled further expansion of CRISPR-Cas biotechnological toolkits, with wide-ranging applications from genome editing to diagnostic tools based on various Cas endonuclease activities. These advances highlight the exciting prospects for future tools based on the continually expanding set of CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. The CRISPR-Cas system in Enterobacteriaceae.

    PubMed

    Medina-Aparicio, Liliana; Dávila, Sonia; Rebollar-Flores, Javier E; Calva, Edmundo; Hernández-Lucas, Ismael

    2018-02-01

    In nature, microorganisms are constantly exposed to multiple viral infections and thus have developed many strategies to survive phage attack and invasion by foreign DNA. One of such strategies is the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated proteins (Cas) bacterial immunological system. This defense mechanism is widespread in prokaryotes including several families such as Enterobacteriaceae. Much knowledge about the CRISPR-Cas system has been generated, including its biological functions, transcriptional regulation, distribution, utility as a molecular marker and as a tool for specific genome editing. This review focuses on these aspects and describes the state of the art of the CRISPR-Cas system in the Enterobacteriaceae bacterial family. © FEMS 2018. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  19. Not all predicted CRISPR-Cas systems are equal: isolated cas genes and classes of CRISPR like elements.

    PubMed

    Zhang, Quan; Ye, Yuzhen

    2017-02-06

    The CRISPR-Cas systems in prokaryotes are RNA-guided immune systems that target and deactivate foreign nucleic acids. A typical CRISPR-Cas system consists of a CRISPR array of repeat and spacer units, and a locus of cas genes. The CRISPR and the cas locus are often located next to each other in the genomes. However, there is no quantitative estimate of the co-location. In addition, ad-hoc studies have shown that some non-CRISPR genomic elements contain repeat-spacer-like structures and are mistaken as CRISPRs. Using available genome sequences, we observed that a significant number of genomes have isolated cas loci and/or CRISPRs. We found that 11%, 22% and 28% of the type I, II and III cas loci are isolated (without CRISPRs in the same genomes at all or with CRISPRs distant in the genomes), respectively. We identified a large number of genomic elements that superficially reassemble CRISPRs but don't contain diverse spacers and have no companion cas genes. We called these elements false-CRISPRs and further classified them into groups, including tandem repeats and Staphylococcus aureus repeat (STAR)-like elements. This is the first systematic study to collect and characterize false-CRISPR elements. We demonstrated that false-CRISPRs could be used to reduce the false annotation of CRISPRs, therefore showing them to be useful for improving the annotation of CRISPR-Cas systems.

  20. Applications of CRISPR/Cas System to Bacterial Metabolic Engineering.

    PubMed

    Cho, Suhyung; Shin, Jongoh; Cho, Byung-Kwan

    2018-04-05

    The clustered regularly interspaced short palindromic repeats/CRISPR-associated (CRISPR/Cas) adaptive immune system has been extensively used for gene editing, including gene deletion, insertion, and replacement in bacterial and eukaryotic cells owing to its simple, rapid, and efficient activities in unprecedented resolution. Furthermore, the CRISPR interference (CRISPRi) system including deactivated Cas9 (dCas9) with inactivated endonuclease activity has been further investigated for regulation of the target gene transiently or constitutively, avoiding cell death by disruption of genome. This review discusses the applications of CRISPR/Cas for genome editing in various bacterial systems and their applications. In particular, CRISPR technology has been used for the production of metabolites of high industrial significance, including biochemical, biofuel, and pharmaceutical products/precursors in bacteria. Here, we focus on methods to increase the productivity and yield/titer scan by controlling metabolic flux through individual or combinatorial use of CRISPR/Cas and CRISPRi systems with introduction of synthetic pathway in industrially common bacteria including Escherichia coli . Further, we discuss additional useful applications of the CRISPR/Cas system, including its use in functional genomics.

  1. On the Origin of Reverse Transcriptase-Using CRISPR-Cas Systems and Their Hyperdiverse, Enigmatic Spacer Repertoires.

    PubMed

    Silas, Sukrit; Makarova, Kira S; Shmakov, Sergey; Páez-Espino, David; Mohr, Georg; Liu, Yi; Davison, Michelle; Roux, Simon; Krishnamurthy, Siddharth R; Fu, Becky Xu Hua; Hansen, Loren L; Wang, David; Sullivan, Matthew B; Millard, Andrew; Clokie, Martha R; Bhaya, Devaki; Lambowitz, Alan M; Kyrpides, Nikos C; Koonin, Eugene V; Fire, Andrew Z

    2017-07-11

    analysis of available bacterial sequence data, we find evidence that RT-based RNA adaptation machinery has been able to join with CRISPR-Cas immune systems in many, diverse bacterial species. To investigate whether the abilities to adapt to DNA and RNA molecules are utilized for defense against distinct classes of invaders in nature, we sequenced CRISPR arrays from samples of commercial-scale open-air cultures of Arthrospira platensis , a cyanobacterium that contains both RT-lacking and RT-containing CRISPR-Cas systems. We uncovered a diverse pool of naturally occurring immune memories, with the RT-lacking locus acquiring a number of segments matching known viral or bacterial genes, while the RT-containing locus has acquired spacers from a distinct sequence pool for which the source remains enigmatic. Copyright © 2017 Silas et al.

  2. Diversity and evolution of class 2 CRISPR–Cas systems

    PubMed Central

    Shmakov, Sergey; Smargon, Aaron; Scott, David; Cox, David; Pyzocha, Neena; Yan, Winston; Abudayyeh, Omar O.; Gootenberg, Jonathan S.; Makarova, Kira S.; Wolf, Yuri I.; Severinov, Konstantin; Zhang, Feng; Koonin, Eugene V.

    2018-01-01

    Class 2 CRISPR–Cas systems are characterized by effector modules that consist of a single multidomain protein, such as Cas9 or Cpf1. We designed a computational pipeline for the discovery of novel class 2 variants and used it to identify six new CRISPR–Cas subtypes. The diverse properties of these new systems provide potential for the development of versatile tools for genome editing and regulation. In this Analysis article, we present a comprehensive census of class 2 types and class 2 subtypes in complete and draft bacterial and archaeal genomes, outline evolutionary scenarios for the independent origin of different class 2 CRISPR–Cas systems from mobile genetic elements, and propose an amended classification and nomenclature of CRISPR–Cas. PMID:28111461

  3. Control of gene expression by CRISPR-Cas systems

    PubMed Central

    2013-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) loci and their associated cas (CRISPR-associated) genes provide adaptive immunity against viruses (phages) and other mobile genetic elements in bacteria and archaea. While most of the early work has largely been dominated by examples of CRISPR-Cas systems directing the cleavage of phage or plasmid DNA, recent studies have revealed a more complex landscape where CRISPR-Cas loci might be involved in gene regulation. In this review, we summarize the role of these loci in the regulation of gene expression as well as the recent development of synthetic gene regulation using engineered CRISPR-Cas systems. PMID:24273648

  4. Comparative analysis of CRISPR-Cas systems in Klebsiella genomes.

    PubMed

    Shen, Juntao; Lv, Li; Wang, Xudong; Xiu, Zhilong; Chen, Guoqiang

    2017-04-01

    Prokaryotic CRISPR-Cas system provides adaptive immunity against invasive genetic elements. Bacteria of the genus Klebsiella are important nosocomial opportunistic pathogens. However, information of CRISPR-Cas system in Klebsiella remains largely unknown. Here, we analyzed the CRISPR-Cas systems of 68 complete genomes of Klebsiella representing four species. All the elements for CRISPR-Cas system (cas genes, repeats, leader sequences, and PAMs) were characterized. Besides the typical Type I-E and I-F CRISPR-Cas systems, a new Subtype I system located in the ABC transport system-glyoxalase region was found. The conservation of the new subtype CRISPR system between different species showed new evidence for CRISPR horizontal transfer. CRISPR polymorphism was strongly correlated both with species and multilocus sequence types. Some results indicated the function of adaptive immunity: most spacers (112 of 124) matched to prophages and plasmids and no matching housekeeping genes; new spacer acquisition was observed within the same sequence type (ST) and same clonal complex; the identical spacers were observed only in the ancient position (far from the leader) between different STs and clonal complexes. Interestingly, a high ratio of self-targeting spacers (7.5%, 31 of 416) was found in CRISPR-bearing Klebsiella pneumoniae (61%, 11 of 18). In some strains, there even were multiple full matching self-targeting spacers. Some self-targeting spacers were conserved even between different STs. These results indicated that some unknown mechanisms existed to compromise the function of self-targets of CRISPR-Cas systems in K. pneumoniae. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Recruitment of CRISPR-Cas systems by Tn7-like transposons.

    PubMed

    Peters, Joseph E; Makarova, Kira S; Shmakov, Sergey; Koonin, Eugene V

    2017-08-29

    A survey of bacterial and archaeal genomes shows that many Tn7-like transposons contain minimal type I-F CRISPR-Cas systems that consist of fused cas8f and cas5f , cas7f , and cas6f genes and a short CRISPR array. Several small groups of Tn7-like transposons encompass similarly truncated type I-B CRISPR-Cas. This minimal gene complement of the transposon-associated CRISPR-Cas systems implies that they are competent for pre-CRISPR RNA (precrRNA) processing yielding mature crRNAs and target binding but not target cleavage that is required for interference. Phylogenetic analysis demonstrates that evolution of the CRISPR-Cas-containing transposons included a single, ancestral capture of a type I-F locus and two independent instances of type I-B loci capture. We show that the transposon-associated CRISPR arrays contain spacers homologous to plasmid and temperate phage sequences and, in some cases, chromosomal sequences adjacent to the transposon. We hypothesize that the transposon-encoded CRISPR-Cas systems generate displacement (R-loops) in the cognate DNA sites, targeting the transposon to these sites and thus facilitating their spread via plasmids and phages. These findings suggest the existence of RNA-guided transposition and fit the guns-for-hire concept whereby mobile genetic elements capture host defense systems and repurpose them for different stages in the life cycle of the element.

  6. Crystal Structure of the Minimal Cas9 from Campylobacter jejuni Reveals the Molecular Diversity in the CRISPR-Cas9 Systems.

    PubMed

    Yamada, Mari; Watanabe, Yuto; Gootenberg, Jonathan S; Hirano, Hisato; Ran, F Ann; Nakane, Takanori; Ishitani, Ryuichiro; Zhang, Feng; Nishimasu, Hiroshi; Nureki, Osamu

    2017-03-16

    The RNA-guided endonuclease Cas9 generates a double-strand break at DNA target sites complementary to the guide RNA and has been harnessed for the development of a variety of new technologies, such as genome editing. Here, we report the crystal structures of Campylobacter jejuni Cas9 (CjCas9), one of the smallest Cas9 orthologs, in complex with an sgRNA and its target DNA. The structures provided insights into a minimal Cas9 scaffold and revealed the remarkable mechanistic diversity of the CRISPR-Cas9 systems. The CjCas9 guide RNA contains a triple-helix structure, which is distinct from known RNA triple helices, thereby expanding the natural repertoire of RNA triple helices. Furthermore, unlike the other Cas9 orthologs, CjCas9 contacts the nucleotide sequences in both the target and non-target DNA strands and recognizes the 5'-NNNVRYM-3' as the protospacer-adjacent motif. Collectively, these findings improve our mechanistic understanding of the CRISPR-Cas9 systems and may facilitate Cas9 engineering. Copyright © 2017 Elsevier Inc. All rights reserved.

  7. NASA Controller Acceptability Study 1(CAS-1) Experiment Description and Initial Observations

    NASA Technical Reports Server (NTRS)

    Chamberlain, James P.; Consiglio, Maria C.; Comstock, James R., Jr.; Ghatas, Rania W.; Munoz, Cesar

    2015-01-01

    This paper describes the Controller Acceptability Study 1 (CAS-1) experiment that was conducted by NASA Langley Research Center personnel from January through March 2014 and presents partial CAS-1 results. CAS-1 employed 14 air traffic controller volunteers as research subjects to assess the viability of simulated future unmanned aircraft systems (UAS) operating alongside manned aircraft in moderate-density, moderate-complexity Class E airspace. These simulated UAS were equipped with a prototype pilot-in-the-loop (PITL) Detect and Avoid (DAA) system, specifically the Self-Separation (SS) function of such a system based on Stratway+ software to replace the see-and-avoid capabilities of manned aircraft pilots. A quantitative CAS-1 objective was to determine horizontal miss distance (HMD) values for SS encounters that were most acceptable to air traffic controllers, specifically HMD values that were assessed as neither unsafely small nor disruptively large. HMD values between 0.5 and 3.0 nautical miles (nmi) were assessed for a wide array of encounter geometries between UAS and manned aircraft. The paper includes brief introductory material about DAA systems and their SS functions, followed by descriptions of the CAS-1 simulation environment, prototype PITL SS capability, and experiment design, and concludes with presentation and discussion of partial CAS-1 data and results.

  8. How type II CRISPR-Cas establish immunity through Cas1-Cas2-mediated spacer integration.

    PubMed

    Xiao, Yibei; Ng, Sherwin; Nam, Ki Hyun; Ke, Ailong

    2017-10-05

    CRISPR (clustered regularly interspaced short palindromic repeats) and the nearby Cas (CRISPR-associated) operon establish an RNA-based adaptive immunity system in prokaryotes. Molecular memory is created when a short foreign DNA-derived prespacer is integrated into the CRISPR array as a new spacer. Whereas the RNA-guided CRISPR interference mechanism varies widely among CRISPR-Cas systems, the spacer integration mechanism is essentially identical. The conserved Cas1 and Cas2 proteins form an integrase complex consisting of two distal Cas1 dimers bridged by a Cas2 dimer. The prespacer is bound by Cas1-Cas2 as a dual-forked DNA, and the terminal 3'-OH of each 3' overhang serves as an attacking nucleophile during integration. The prespacer is preferentially integrated into the leader-proximal region of the CRISPR array, guided by the leader sequence and a pair of inverted repeats inside the CRISPR repeat. Spacer integration in the well-studied Escherichia coli type I-E CRISPR system also relies on the bacterial integration host factor. In type II-A CRISPR, however, Cas1-Cas2 alone integrates spacers efficiently in vitro; other Cas proteins (such as Cas9 and Csn2) have accessory roles in the biogenesis phase of prespacers. Here we present four structural snapshots from the type II-A system of Enterococcus faecalis Cas1 and Cas2 during spacer integration. Enterococcus faecalis Cas1-Cas2 selectively binds to a splayed 30-base-pair prespacer bearing 4-nucleotide 3' overhangs. Three molecular events take place upon encountering a target: first, the Cas1-Cas2-prespacer complex searches for half-sites stochastically, then it preferentially interacts with the leader-side CRISPR repeat, and finally, it catalyses a nucleophilic attack that connects one strand of the leader-proximal repeat to the prespacer 3' overhang. Recognition of the spacer half-site requires DNA bending and leads to full integration. We derive a mechanistic framework to explain the stepwise spacer

  9. An updated evolutionary classification of CRISPR–Cas systems

    PubMed Central

    Makarova, Kira S.; Wolf, Yuri I.; Alkhnbashi, Omer S.; Costa, Fabrizio; Shah, Shiraz A.; Saunders, Sita J.; Barrangou, Rodolphe; Brouns, Stan J. J.; Charpentier, Emmanuelle; Haft, Daniel H.; Horvath, Philippe; Moineau, Sylvain; Mojica, Francisco J. M.; Terns, Rebecca M.; Terns, Michael P.; White, Malcolm F.; Yakunin, Alexander F.; Garrett, Roger A.; van der Oost, John; Backofen, Rolf; Koonin, Eugene V.

    2017-01-01

    The evolution of CRISPR–cas loci, which encode adaptive immune systems in archaea and bacteria, involves rapid changes, in particular numerous rearrangements of the locus architecture and horizontal transfer of complete loci or individual modules. These dynamics complicate straightforward phylogenetic classification, but here we present an approach combining the analysis of signature protein families and features of the architecture of cas loci that unambiguously partitions most CRISPR–cas loci into distinct classes, types and subtypes. The new classification retains the overall structure of the previous version but is expanded to now encompass two classes, five types and 16 subtypes. The relative stability of the classification suggests that the most prevalent variants of CRISPR–Cas systems are already known. However, the existence of rare, currently unclassifiable variants implies that additional types and subtypes remain to be characterized. PMID:26411297

  10. How Type II CRISPR-Cas establish immunity through Cas1-Cas2 mediated spacer integration

    PubMed Central

    Xiao, Yibei; Ng, Sherwin; Nam, Ki Hyun; Ke, Ailong

    2017-01-01

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats) and the nearby cas (CRISPR-associated) operon establish an RNA-based adaptive immunity system in prokaryotes1–5. Molecular memory is created when a short foreign DNA-derived prespacer is integrated into the CRISPR array as a new spacer6–9. Whereas the RNA-guided CRISPR interference mechanism varies widely among CRISPR-Cas systems, the spacer integration mechanism is essentially identical7–9. The conserved Cas1 and Cas2 proteins form an integrase complex consisting two distal Cas1 dimers bridged by a Cas2 dimer in the middle6,10. The prespacer is bound by Cas1-Cas2 as a dual forked DNA, and the terminal 3′-OH of each 3′-overhang serves as an attacking nucleophile during integration11–14. Importantly, the prespacer is preferentially integrated into the leader-proximal region of the CRISPR array1,7,10,15, guided by the leader sequence and a pair of inverted repeats (IRs) inside the CRISPR repeat7,15–20. Spacer integration in the most well-studied Escherichia coli Type I-E CRISPR system further relies on the bacterial Integration Host Factor (IHF)21,22. In Type II-A CRISPR, however, Cas1-Cas2 alone integrates spacer efficiently in vitro18; other Cas proteins (Cas9 and Csn2) play accessory roles in prespacer biogenesis17,23. Focusing on the Enterococcus faecalis Type II-A system24, here we report four structure snapshots of Cas1-Cas2 during spacer integration. EfaCas1-Cas2 selectively binds to a splayed 30-bp prespacer bearing 4-nt 3′-overhangs. Three molecular events take place upon encountering a target: Cas1-Cas2/prespacer first searches for half-sites stochastically, then preferentially interacts with the leader-side CRISPR repeat and catalyzes a nucleophilic attack that connects one strand of the leader-proximal repeat to the prespacer 3′-overhang. Recognition of the spacer half-site requires DNA bending and leads to full integration. We derive a mechanistic framework explaining

  11. [CRISPR/Cas system for genome editing in pluripotent stem cells].

    PubMed

    Vasil'eva, E A; Melino, D; Barlev, N A

    2015-01-01

    Genome editing systems based on site-specific nucleases became very popular for genome editing in modern bioengineering. Human pluripotent stem cells provide a unique platform for genes function study, disease modeling, and drugs testing. Consequently, technology for fast, accurate and well controlled genome manipulation is required. CRISPR/Cas (clustered regularly interspaced short palindromic repeat/CRISPR-associated) system could be employed for these purposes. This system is based on site-specific programmable nuclease Cas9. Numerous advantages of the CRISPR/Cas system and its successful application to human stem cells provide wide opportunities for genome therapy and regeneration medicine. In this publication, we describe and compare the main genome editing systems based on site-specific programmable nucleases and discuss opportunities and perspectives of the CRISPR/Cas system for application to pluripotent stem cells.

  12. Applications of the CRISPR-Cas9 system in kidney research.

    PubMed

    Higashijima, Yoshiki; Hirano, Seiichi; Nangaku, Masaomi; Nureki, Osamu

    2017-08-01

    The recently discovered clustered regularly interspaced short palindromic repeat (CRISPR)-CRISPR-associated protein 9 (Cas9) is an RNA-guided DNA nuclease, and has been harnessed for the development of simple, efficient, and relatively inexpensive technologies to precisely manipulate the genomic information in virtually all cell types and organisms. The CRIPSR-Cas9 systems have already been effectively used to disrupt multiple genes simultaneously, create conditional alleles, and generate reporter proteins, even in vivo. The ability of Cas9 to target a specific genomic region has also been exploited for various applications, such as transcriptional regulation, epigenetic control, and chromosome labeling. Here we first describe the molecular mechanism of the RNA-guided DNA targeting by the CRISPR-Cas9 system and then outline the current applications of this system as a genome-editing tool in mice and other species, to better model and study human diseases. We also discuss the practical and potential uses of the CRISPR-Cas9 system in kidney research and highlight the further applications of this technology beyond genome editing. Undoubtedly, the CRISPR-Cas9 system holds enormous potential for revolutionizing and accelerating kidney research and therapeutic applications in the future. Copyright © 2017 International Society of Nephrology. Published by Elsevier Inc. All rights reserved.

  13. Interference activity of a minimal Type I CRISPR–Cas system from Shewanella putrefaciens

    PubMed Central

    Dwarakanath, Srivatsa; Brenzinger, Susanne; Gleditzsch, Daniel; Plagens, André; Klingl, Andreas; Thormann, Kai; Randau, Lennart

    2015-01-01

    Type I CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)–Cas (CRISPR-associated) systems exist in bacterial and archaeal organisms and provide immunity against foreign DNA. The Cas protein content of the DNA interference complexes (termed Cascade) varies between different CRISPR-Cas subtypes. A minimal variant of the Type I-F system was identified in proteobacterial species including Shewanella putrefaciens CN-32. This variant lacks a large subunit (Csy1), Csy2 and Csy3 and contains two unclassified cas genes. The genome of S. putrefaciens CN-32 contains only five Cas proteins (Cas1, Cas3, Cas6f, Cas1821 and Cas1822) and a single CRISPR array with 81 spacers. RNA-Seq analyses revealed the transcription of this array and the maturation of crRNAs (CRISPR RNAs). Interference assays based on plasmid conjugation demonstrated that this CRISPR-Cas system is active in vivo and that activity is dependent on the recognition of the dinucleotide GG PAM (Protospacer Adjacent Motif) sequence and crRNA abundance. The deletion of cas1821 and cas1822 reduced the cellular crRNA pool. Recombinant Cas1821 was shown to form helical filaments bound to RNA molecules, which suggests its role as the Cascade backbone protein. A Cascade complex was isolated which contained multiple Cas1821 copies, Cas1822, Cas6f and mature crRNAs. PMID:26350210

  14. Delivery strategies of the CRISPR-Cas9 gene-editing system for therapeutic applications.

    PubMed

    Liu, Chang; Zhang, Li; Liu, Hao; Cheng, Kun

    2017-11-28

    The CRISPR-Cas9 genome-editing system is a part of the adaptive immune system in archaea and bacteria to defend against invasive nucleic acids from phages and plasmids. The single guide RNA (sgRNA) of the system recognizes its target sequence in the genome, and the Cas9 nuclease of the system acts as a pair of scissors to cleave the double strands of DNA. Since its discovery, CRISPR-Cas9 has become the most robust platform for genome engineering in eukaryotic cells. Recently, the CRISPR-Cas9 system has triggered enormous interest in therapeutic applications. CRISPR-Cas9 can be applied to correct disease-causing gene mutations or engineer T cells for cancer immunotherapy. The first clinical trial using the CRISPR-Cas9 technology was conducted in 2016. Despite the great promise of the CRISPR-Cas9 technology, several challenges remain to be tackled before its successful applications for human patients. The greatest challenge is the safe and efficient delivery of the CRISPR-Cas9 genome-editing system to target cells in human body. In this review, we will introduce the molecular mechanism and different strategies to edit genes using the CRISPR-Cas9 system. We will then highlight the current systems that have been developed to deliver CRISPR-Cas9 in vitro and in vivo for various therapeutic purposes. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Phylogenetic Distribution of CRISPR-Cas Systems in Antibiotic-Resistant Pseudomonas aeruginosa

    PubMed Central

    van Belkum, Alex; Soriaga, Leah B.; LaFave, Matthew C.; Akella, Srividya; Veyrieras, Jean-Baptiste; Barbu, E. Magda; Shortridge, Dee; Blanc, Bernadette; Hannum, Gregory; Zambardi, Gilles; Miller, Kristofer; Enright, Mark C.; Mugnier, Nathalie; Brami, Daniel; Schicklin, Stéphane; Felderman, Martina; Schwartz, Ariel S.; Richardson, Toby H.; Peterson, Todd C.; Hubby, Bolyn

    2015-01-01

    ABSTRACT Pseudomonas aeruginosa is an antibiotic-refractory pathogen with a large genome and extensive genotypic diversity. Historically, P. aeruginosa has been a major model system for understanding the molecular mechanisms underlying type I clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated protein (CRISPR-Cas)-based bacterial immune system function. However, little information on the phylogenetic distribution and potential role of these CRISPR-Cas systems in molding the P. aeruginosa accessory genome and antibiotic resistance elements is known. Computational approaches were used to identify and characterize CRISPR-Cas systems within 672 genomes, and in the process, we identified a previously unreported and putatively mobile type I-C P. aeruginosa CRISPR-Cas system. Furthermore, genomes harboring noninhibited type I-F and I-E CRISPR-Cas systems were on average ~300 kb smaller than those without a CRISPR-Cas system. In silico analysis demonstrated that the accessory genome (n = 22,036 genes) harbored the majority of identified CRISPR-Cas targets. We also assembled a global spacer library that aided the identification of difficult-to-characterize mobile genetic elements within next-generation sequencing (NGS) data and allowed CRISPR typing of a majority of P. aeruginosa strains. In summary, our analysis demonstrated that CRISPR-Cas systems play an important role in shaping the accessory genomes of globally distributed P. aeruginosa isolates. PMID:26604259

  16. CRISPR-Cas systems: prokaryotes upgrade to adaptive immunity

    PubMed Central

    Barrangou, Rodolphe; Marraffini, Luciano A.

    2014-01-01

    Summary Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR), and associated proteins (Cas) comprise the CRISPR-Cas system, which confers adaptive immunity against exogenic elements in many bacteria and most archaea. CRISPR-mediated immunization occurs through the uptake of DNA from invasive genetic elements such as plasmids and viruses, followed by its integration into CRISPR loci. These loci are subsequently transcribed and processed into small interfering RNAs that guide nucleases for specific cleavage of complementary sequences. Conceptually, CRISPR-Cas shares functional features with the mammalian adaptive immune system, while also exhibiting characteristics of Lamarckian evolution. Because immune markers spliced from exogenous agents are integrated iteratively in CRISPR loci, they constitute a genetic record of vaccination events and reflect environmental conditions and changes over time. Cas endonucleases, which can be reprogrammed by small guide RNAs have shown unprecedented potential and flexibility for genome editing, and can be repurposed for numerous DNA targeting applications including transcriptional control. PMID:24766887

  17. CRISPR-Cas systems: Prokaryotes upgrade to adaptive immunity.

    PubMed

    Barrangou, Rodolphe; Marraffini, Luciano A

    2014-04-24

    Clustered regularly interspaced short palindromic repeats (CRISPR), and associated proteins (Cas) comprise the CRISPR-Cas system, which confers adaptive immunity against exogenic elements in many bacteria and most archaea. CRISPR-mediated immunization occurs through the uptake of DNA from invasive genetic elements such as plasmids and viruses, followed by its integration into CRISPR loci. These loci are subsequently transcribed and processed into small interfering RNAs that guide nucleases for specific cleavage of complementary sequences. Conceptually, CRISPR-Cas shares functional features with the mammalian adaptive immune system, while also exhibiting characteristics of Lamarckian evolution. Because immune markers spliced from exogenous agents are integrated iteratively in CRISPR loci, they constitute a genetic record of vaccination events and reflect environmental conditions and changes over time. Cas endonucleases, which can be reprogrammed by small guide RNAs have shown unprecedented potential and flexibility for genome editing and can be repurposed for numerous DNA targeting applications including transcriptional control. Copyright © 2014 Elsevier Inc. All rights reserved.

  18. The CRISPR-Cas system for plant genome editing: advances and opportunities.

    PubMed

    Kumar, Vinay; Jain, Mukesh

    2015-01-01

    Genome editing is an approach in which a specific target DNA sequence of the genome is altered by adding, removing, or replacing DNA bases. Artificially engineered hybrid enzymes, zinc-finger nucleases (ZFNs), and transcription activator-like effector nucleases (TALENs), and the CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated protein) system are being used for genome editing in various organisms including plants. The CRISPR-Cas system has been developed most recently and seems to be more efficient and less time-consuming compared with ZFNs or TALENs. This system employs an RNA-guided nuclease, Cas9, to induce double-strand breaks. The Cas9-mediated breaks are repaired by cellular DNA repair mechanisms and mediate gene/genome modifications. Here, we provide a detailed overview of the CRISPR-Cas system and its adoption in different organisms, especially plants, for various applications. Important considerations and future opportunities for deployment of the CRISPR-Cas system in plants for numerous applications are also discussed. Recent investigations have revealed the implications of the CRISPR-Cas system as a promising tool for targeted genetic modifications in plants. This technology is likely to be more commonly adopted in plant functional genomics studies and crop improvement in the near future. © The Author 2014. Published by Oxford University Press on behalf of the Society for Experimental Biology. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  19. Interference activity of a minimal Type I CRISPR-Cas system from Shewanella putrefaciens.

    PubMed

    Dwarakanath, Srivatsa; Brenzinger, Susanne; Gleditzsch, Daniel; Plagens, André; Klingl, Andreas; Thormann, Kai; Randau, Lennart

    2015-10-15

    Type I CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas (CRISPR-associated) systems exist in bacterial and archaeal organisms and provide immunity against foreign DNA. The Cas protein content of the DNA interference complexes (termed Cascade) varies between different CRISPR-Cas subtypes. A minimal variant of the Type I-F system was identified in proteobacterial species including Shewanella putrefaciens CN-32. This variant lacks a large subunit (Csy1), Csy2 and Csy3 and contains two unclassified cas genes. The genome of S. putrefaciens CN-32 contains only five Cas proteins (Cas1, Cas3, Cas6f, Cas1821 and Cas1822) and a single CRISPR array with 81 spacers. RNA-Seq analyses revealed the transcription of this array and the maturation of crRNAs (CRISPR RNAs). Interference assays based on plasmid conjugation demonstrated that this CRISPR-Cas system is active in vivo and that activity is dependent on the recognition of the dinucleotide GG PAM (Protospacer Adjacent Motif) sequence and crRNA abundance. The deletion of cas1821 and cas1822 reduced the cellular crRNA pool. Recombinant Cas1821 was shown to form helical filaments bound to RNA molecules, which suggests its role as the Cascade backbone protein. A Cascade complex was isolated which contained multiple Cas1821 copies, Cas1822, Cas6f and mature crRNAs. © The Author(s) 2015. Published by Oxford University Press on behalf of Nucleic Acids Research.

  20. CRISPR-Cas: evolution of an RNA-based adaptive immunity system in prokaryotes.

    PubMed

    Koonin, Eugene V; Makarova, Kira S

    2013-05-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeats, CRISPR-associated genes) is an adaptive immunity system in bacteria and archaea that functions via a distinct self-non-self recognition mechanism that is partially analogous to the mechanism of eukaryotic RNA interference (RNAi). The CRISPR-Cas system incorporates fragments of virus or plasmid DNA into the CRISPR repeat cassettes and employs the processed transcripts of these spacers as guide RNAs to cleave the cognate foreign DNA or RNA. The Cas proteins, however, are not homologous to the proteins involved in RNAi and comprise numerous, highly diverged families. The majority of the Cas proteins contain diverse variants of the RNA recognition motif (RRM), a widespread RNA-binding domain. Despite the fast evolution that is typical of the cas genes, the presence of diverse versions of the RRM in most Cas proteins provides for a simple scenario for the evolution of the three distinct types of CRISPR-cas systems. In addition to several proteins that are directly implicated in the immune response, the cas genes encode a variety of proteins that are homologous to prokaryotic toxins that typically possess nuclease activity. The predicted toxins associated with CRISPR-Cas systems include the essential Cas2 protein, proteins of COG1517 that, in addition to a ligand-binding domain and a helix-turn-helix domain, typically contain different nuclease domains and several other predicted nucleases. The tight association of the CRISPR-Cas immunity systems with predicted toxins that, upon activation, would induce dormancy or cell death suggests that adaptive immunity and dormancy/suicide response are functionally coupled. Such coupling could manifest in the persistence state being induced and potentially providing conditions for more effective action of the immune system or in cell death being triggered when immunity fails.

  1. Phylogenetic Distribution of CRISPR-Cas Systems in Antibiotic-Resistant Pseudomonas aeruginosa.

    PubMed

    van Belkum, Alex; Soriaga, Leah B; LaFave, Matthew C; Akella, Srividya; Veyrieras, Jean-Baptiste; Barbu, E Magda; Shortridge, Dee; Blanc, Bernadette; Hannum, Gregory; Zambardi, Gilles; Miller, Kristofer; Enright, Mark C; Mugnier, Nathalie; Brami, Daniel; Schicklin, Stéphane; Felderman, Martina; Schwartz, Ariel S; Richardson, Toby H; Peterson, Todd C; Hubby, Bolyn; Cady, Kyle C

    2015-11-24

    Pseudomonas aeruginosa is an antibiotic-refractory pathogen with a large genome and extensive genotypic diversity. Historically, P. aeruginosa has been a major model system for understanding the molecular mechanisms underlying type I clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated protein (CRISPR-Cas)-based bacterial immune system function. However, little information on the phylogenetic distribution and potential role of these CRISPR-Cas systems in molding the P. aeruginosa accessory genome and antibiotic resistance elements is known. Computational approaches were used to identify and characterize CRISPR-Cas systems within 672 genomes, and in the process, we identified a previously unreported and putatively mobile type I-C P. aeruginosa CRISPR-Cas system. Furthermore, genomes harboring noninhibited type I-F and I-E CRISPR-Cas systems were on average ~300 kb smaller than those without a CRISPR-Cas system. In silico analysis demonstrated that the accessory genome (n = 22,036 genes) harbored the majority of identified CRISPR-Cas targets. We also assembled a global spacer library that aided the identification of difficult-to-characterize mobile genetic elements within next-generation sequencing (NGS) data and allowed CRISPR typing of a majority of P. aeruginosa strains. In summary, our analysis demonstrated that CRISPR-Cas systems play an important role in shaping the accessory genomes of globally distributed P. aeruginosa isolates. P. aeruginosa is both an antibiotic-refractory pathogen and an important model system for type I CRISPR-Cas bacterial immune systems. By combining the genome sequences of 672 newly and previously sequenced genomes, we were able to provide a global view of the phylogenetic distribution, conservation, and potential targets of these systems. This analysis identified a new and putatively mobile P. aeruginosa CRISPR-Cas subtype, characterized the diverse distribution of known CRISPR-inhibiting genes, and

  2. Effects of Using a Computer Algebra System (CAS) on Junior College Students' Attitudes towards CAS and Achievement in Mathematics

    ERIC Educational Resources Information Center

    Leng, Ng Wee; Choo, Kwee Tiow; Soon, Lau Hock; Yi-Huak, Koh; Sun, Yap Yew

    2005-01-01

    This study examines the effects of using Texas Instruments' Voyage 200 calculator (V200), a graphing calculator with a built-in computer algebra system (CAS), on attitudes towards CAS and achievement in mathematics of junior college students (17 year olds). Students' attitudes towards CAS were examined using a 40-item Likert-type instrument…

  3. Non-viral and viral delivery systems for CRISPR-Cas9 technology in the biomedical field.

    PubMed

    He, Zhi-Yao; Men, Ke; Qin, Zhou; Yang, Yang; Xu, Ting; Wei, Yu-Quan

    2017-05-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) system provides a novel genome editing technology that can precisely target a genomic site to disrupt or repair a specific gene. Some CRISPR-Cas9 systems from different bacteria or artificial variants have been discovered or constructed by biologists, and Cas9 nucleases and single guide RNAs (sgRNA) are the major components of the CRISPR-Cas9 system. These Cas9 systems have been extensively applied for identifying therapeutic targets, identifying gene functions, generating animal models, and developing gene therapies. Moreover, CRISPR-Cas9 systems have been used to partially or completely alleviate disease symptoms by mutating or correcting related genes. However, the efficient transfer of CRISPR-Cas9 system into cells and target organs remains a challenge that affects the robust and precise genome editing activity. The current review focuses on delivery systems for Cas9 mRNA, Cas9 protein, or vectors encoding the Cas9 gene and corresponding sgRNA. Non-viral delivery of Cas9 appears to help Cas9 maintain its on-target effect and reduce off-target effects, and viral vectors for sgRNA and donor template can improve the efficacy of genome editing and homology-directed repair. Safe, efficient, and producible delivery systems will promote the application of CRISPR-Cas9 technology in human gene therapy.

  4. The CRISPR-Cas9 system in Neisseria spp.

    PubMed Central

    2017-01-01

    Abstract Bacteria and archaea possess numerous defense systems to combat viral infections and other mobile genetic elements. Uniquely among these, CRISPR-Cas (clustered, regularly interspaced short palindromic repeats-CRISPR associated) provides adaptive genetic interference against foreign nucleic acids. Here we review recent advances on the CRISPR-Cas9 system in Neisseria spp, with a focus on its biological functions in genetic transfer, its mechanistic features that establish new paradigms and its technological applications in eukaryotic genome engineering. PMID:28369433

  5. Therapeutic applications of CRISPR/Cas9 system in gene therapy.

    PubMed

    Mollanoori, Hasan; Teimourian, Shahram

    2018-06-01

    Gene therapy is based on the principle of the genetic manipulation of DNA or RNA for treating and preventing human diseases. The clustered regularly interspaced short palindromic repeats/CRISPR associated nuclease9 (CRISPR/Cas9) system, derived from the acquired immune system in bacteria and archaea, has provided a new tool for accurate manipulation of genomic sequence to attain a therapeutic result. The advantage of CRISPR which made it an easy and flexible tool for diverse genome editing purposes is that a single protein (Cas9) complex with 2 short RNA sequences, function as a site-specific endonuclease. Recently, application of CRISPR/Cas9 system has become popular for therapeutic aims such as gene therapy. In this article, we review the fundamental mechanisms of CRISPR-Cas9 function and summarize preclinical CRISPR-mediated gene therapy reports on a wide variety of disorders.

  6. Genetic and epigenetic control of gene expression by CRISPR–Cas systems

    PubMed Central

    Lo, Albert; Qi, Lei

    2017-01-01

    The discovery and adaption of bacterial clustered regularly interspaced short palindromic repeats (CRISPR)–CRISPR-associated (Cas) systems has revolutionized the way researchers edit genomes. Engineering of catalytically inactivated Cas variants (nuclease-deficient or nuclease-deactivated [dCas]) combined with transcriptional repressors, activators, or epigenetic modifiers enable sequence-specific regulation of gene expression and chromatin state. These CRISPR–Cas-based technologies have contributed to the rapid development of disease models and functional genomics screening approaches, which can facilitate genetic target identification and drug discovery. In this short review, we will cover recent advances of CRISPR–dCas9 systems and their use for transcriptional repression and activation, epigenome editing, and engineered synthetic circuits for complex control of the mammalian genome. PMID:28649363

  7. CRISPR/Cas9 Immune System as a Tool for Genome Engineering.

    PubMed

    Hryhorowicz, Magdalena; Lipiński, Daniel; Zeyland, Joanna; Słomski, Ryszard

    2017-06-01

    CRISPR/Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated) adaptive immune systems constitute a bacterial defence against invading nucleic acids derived from bacteriophages or plasmids. This prokaryotic system was adapted in molecular biology and became one of the most powerful and versatile platforms for genome engineering. CRISPR/Cas9 is a simple and rapid tool which enables the efficient modification of endogenous genes in various species and cell types. Moreover, a modified version of the CRISPR/Cas9 system with transcriptional repressors or activators allows robust transcription repression or activation of target genes. The simplicity of CRISPR/Cas9 has resulted in the widespread use of this technology in many fields, including basic research, biotechnology and biomedicine.

  8. CRISPR-Cas Systems in Bacteroides fragilis, an Important Pathobiont in the Human Gut Microbiome.

    PubMed

    Tajkarimi, Mehrdad; Wexler, Hannah M

    2017-01-01

    Background: While CRISPR-Cas systems have been identified in bacteria from a wide variety of ecological niches, there are no studies to describe CRISPR-Cas elements in Bacteroides species, the most prevalent anaerobic bacteria in the lower intestinal tract. Microbes of the genus Bacteroides make up ~25% of the total gut microbiome. Bacteroides fragilis comprises only 2% of the total Bacteroides in the gut, yet causes of >70% of Bacteroides infections. The factors causing it to transition from benign resident of the gut microbiome to virulent pathogen are not well understood, but a combination of horizontal gene transfer (HGT) of virulence genes and differential transcription of endogenous genes are clearly involved. The CRISPR-Cas system is a multi-functional system described in prokaryotes that may be involved in control both of HGT and of gene regulation. Results: Clustered regularly interspaced short palindromic repeats (CRISPR) elements in all strains of B. fragilis ( n = 109) with publically available genomes were identified. Three different CRISPR-Cas types, corresponding most closely to Type IB, Type IIIB, and Type IIC, were identified. Thirty-five strains had two CRISPR-Cas types, and three strains included all three CRISPR-Cas types in their respective genomes. The cas1 gene in the Type IIIB system encoded a reverse-transcriptase/Cas1 fusion protein rarely found in prokaryotes. We identified a short CRISPR (3 DR) with no associated cas genes present in most of the isolates; these CRISPRs were found immediately upstream of a hipA/hipB operon and we speculate that this element may be involved in regulation of this operon related to formation of persister cells during antimicrobial exposure. Also, blood isolates of B. fragilis did not have Type IIC CRISPR-Cas systems and had atypical Type IIIB CRISPR-Cas systems that were lacking adjacent cas genes. Conclusions: This is the first systematic report of CRISPR-Cas systems in a wide range of B. fragilis strains

  9. CRISPR-Cas Systems in Bacteroides fragilis, an Important Pathobiont in the Human Gut Microbiome

    PubMed Central

    Tajkarimi, Mehrdad; Wexler, Hannah M.

    2017-01-01

    Background: While CRISPR-Cas systems have been identified in bacteria from a wide variety of ecological niches, there are no studies to describe CRISPR-Cas elements in Bacteroides species, the most prevalent anaerobic bacteria in the lower intestinal tract. Microbes of the genus Bacteroides make up ~25% of the total gut microbiome. Bacteroides fragilis comprises only 2% of the total Bacteroides in the gut, yet causes of >70% of Bacteroides infections. The factors causing it to transition from benign resident of the gut microbiome to virulent pathogen are not well understood, but a combination of horizontal gene transfer (HGT) of virulence genes and differential transcription of endogenous genes are clearly involved. The CRISPR-Cas system is a multi-functional system described in prokaryotes that may be involved in control both of HGT and of gene regulation. Results: Clustered regularly interspaced short palindromic repeats (CRISPR) elements in all strains of B. fragilis (n = 109) with publically available genomes were identified. Three different CRISPR-Cas types, corresponding most closely to Type IB, Type IIIB, and Type IIC, were identified. Thirty-five strains had two CRISPR-Cas types, and three strains included all three CRISPR-Cas types in their respective genomes. The cas1 gene in the Type IIIB system encoded a reverse-transcriptase/Cas1 fusion protein rarely found in prokaryotes. We identified a short CRISPR (3 DR) with no associated cas genes present in most of the isolates; these CRISPRs were found immediately upstream of a hipA/hipB operon and we speculate that this element may be involved in regulation of this operon related to formation of persister cells during antimicrobial exposure. Also, blood isolates of B. fragilis did not have Type IIC CRISPR-Cas systems and had atypical Type IIIB CRISPR-Cas systems that were lacking adjacent cas genes. Conclusions: This is the first systematic report of CRISPR-Cas systems in a wide range of B. fragilis strains

  10. Occurrence and activity of a type II CRISPR-Cas system in Lactobacillus gasseri.

    PubMed

    Sanozky-Dawes, Rosemary; Selle, Kurt; O'Flaherty, Sarah; Klaenhammer, Todd; Barrangou, Rodolphe

    2015-09-01

    Bacteria encode clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated genes (cas), which collectively form an RNA-guided adaptive immune system against invasive genetic elements. In silico surveys have revealed that lactic acid bacteria harbour a prolific and diverse set of CRISPR-Cas systems. Thus, the natural evolutionary role of CRISPR-Cas systems may be investigated in these ecologically, industrially, scientifically and medically important microbes. In this study, 17 Lactobacillus gasseri strains were investigated and 6 harboured a type II-A CRISPR-Cas system, with considerable diversity in array size and spacer content. Several of the spacers showed similarity to phage and plasmid sequences, which are typical targets of CRISPR-Cas immune systems. Aligning the protospacers facilitated inference of the protospacer adjacent motif sequence, determined to be 5'-NTAA-3' flanking the 3' end of the protospacer. The system in L. gasseri JV-V03 and NCK 1342 interfered with transforming plasmids containing sequences matching the most recently acquired CRISPR spacers in each strain. We report the distribution and function of a native type II-A CRISPR-Cas system in the commensal species L. gasseri. Collectively, these results open avenues for applications for bacteriophage protection and genome modification in L. gasseri, and contribute to the fundamental understanding of CRISPR-Cas systems in bacteria.

  11. Harnessing CRISPR-Cas systems for bacterial genome editing.

    PubMed

    Selle, Kurt; Barrangou, Rodolphe

    2015-04-01

    Manipulation of genomic sequences facilitates the identification and characterization of key genetic determinants in the investigation of biological processes. Genome editing via clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) constitutes a next-generation method for programmable and high-throughput functional genomics. CRISPR-Cas systems are readily reprogrammed to induce sequence-specific DNA breaks at target loci, resulting in fixed mutations via host-dependent DNA repair mechanisms. Although bacterial genome editing is a relatively unexplored and underrepresented application of CRISPR-Cas systems, recent studies provide valuable insights for the widespread future implementation of this technology. This review summarizes recent progress in bacterial genome editing and identifies fundamental genetic and phenotypic outcomes of CRISPR targeting in bacteria, in the context of tool development, genome homeostasis, and DNA repair. Copyright © 2015 Elsevier Ltd. All rights reserved.

  12. Survey of clustered regularly interspaced short palindromic repeats and their associated Cas proteins (CRISPR/Cas) systems in multiple sequenced strains of Klebsiella pneumoniae.

    PubMed

    Ostria-Hernández, Martha Lorena; Sánchez-Vallejo, Carlos Javier; Ibarra, J Antonio; Castro-Escarpulli, Graciela

    2015-08-04

    In recent years the emergence of multidrug resistant Klebsiella pneumoniae strains has been an increasingly common event. This opportunistic species is one of the five main bacterial pathogens that cause hospital infections worldwide and multidrug resistance has been associated with the presence of high molecular weight plasmids. Plasmids are generally acquired through horizontal transfer and therefore is possible that systems that prevent the entry of foreign genetic material are inactive or absent. One of these systems is CRISPR/Cas. However, little is known regarding the clustered regularly interspaced short palindromic repeats and their associated Cas proteins (CRISPR/Cas) system in K. pneumoniae. The adaptive immune system CRISPR/Cas has been shown to limit the entry of foreign genetic elements into bacterial organisms and in some bacteria it has been shown to be involved in regulation of virulence genes. Thus in this work we used bioinformatics tools to determine the presence or absence of CRISPR/Cas systems in available K. pneumoniae genomes. The complete CRISPR/Cas system was identified in two out of the eight complete K. pneumoniae genomes sequences and in four out of the 44 available draft genomes sequences. The cas genes in these strains comprises eight cas genes similar to those found in Escherichia coli, suggesting they belong to the type I-E group, although their arrangement is slightly different. As for the CRISPR sequences, the average lengths of the direct repeats and spacers were 29 and 33 bp, respectively. BLAST searches demonstrated that 38 of the 116 spacer sequences (33%) are significantly similar to either plasmid, phage or genome sequences, while the remaining 78 sequences (67%) showed no significant similarity to other sequences. The region where the CRISPR/Cas systems were located is the same in all the Klebsiella genomes containing it, it has a syntenic architecture, and is located among genes encoding for proteins likely involved in

  13. A non-inheritable maternal Cas9-based multiple-gene editing system in mice.

    PubMed

    Sakurai, Takayuki; Kamiyoshi, Akiko; Kawate, Hisaka; Mori, Chie; Watanabe, Satoshi; Tanaka, Megumu; Uetake, Ryuichi; Sato, Masahiro; Shindo, Takayuki

    2016-01-28

    The CRISPR/Cas9 system is capable of editing multiple genes through one-step zygote injection. The preexisting method is largely based on the co-injection of Cas9 DNA (or mRNA) and guide RNAs (gRNAs); however, it is unclear how many genes can be simultaneously edited by this method, and a reliable means to generate transgenic (Tg) animals with multiple gene editing has yet to be developed. Here, we employed non-inheritable maternal Cas9 (maCas9) protein derived from Tg mice with systemic Cas9 overexpression (Cas9 mice). The maCas9 protein in zygotes derived from mating or in vitro fertilization of Tg/+ oocytes and +/+ sperm could successfully edit the target genome. The efficiency of such maCas9-based genome editing was comparable to that of zygote microinjection-based genome editing widely used at present. Furthermore, we demonstrated a novel approach to create "Cas9 transgene-free" gene-modified mice using non-Tg (+/+) zygotes carrying maCas9. The maCas9 protein in mouse zygotes edited nine target loci simultaneously after injection with nine different gRNAs alone. Cas9 mouse-derived zygotes have the potential to facilitate the creation of genetically modified animals carrying the Cas9 transgene, enabling repeatable genome engineering and the production of Cas9 transgene-free mice.

  14. [Advances in CRISPR-Cas-mediated genome editing system in plants].

    PubMed

    Wang, Chun; Wang, Kejian

    2017-10-25

    Targeted genome editing technology is an important tool to study the function of genes and to modify organisms at the genetic level. Recently, CRISPR-Cas (clustered regularly interspaced short palindromic repeats and CRISPR-associated proteins) system has emerged as an efficient tool for specific genome editing in animals and plants. CRISPR-Cas system uses CRISPR-associated endonuclease and a guide RNA to generate double-strand breaks at the target DNA site, subsequently leading to genetic modifications. CRISPR-Cas system has received widespread attention for manipulating the genomes with simple, easy and high specificity. This review summarizes recent advances of diverse applications of the CRISPR-Cas toolkit in plant research and crop breeding, including expanding the range of genome editing, precise editing of a target base, and efficient DNA-free genome editing technology. This review also discusses the potential challenges and application prospect in the future, and provides a useful reference for researchers who are interested in this field.

  15. Editing Citrus Genome via SaCas9/sgRNA System

    PubMed Central

    Jia, Hongge; Xu, Jin; Orbović, Vladimir; Zhang, Yunzeng; Wang, Nian

    2017-01-01

    SaCas9/sgRNA, derived from Staphylococcus aureus, is an alternative system for genome editing to Streptococcus pyogenes SpCas9/sgRNA. The smaller SaCas9 recognizes a different protospacer adjacent motif (PAM) sequence from SpCas9. SaCas9/sgRNA has been employed to edit the genomes of Arabidopsis, tobacco and rice. In this study, we aimed to test its potential in genome editing of citrus. Transient expression of SaCas9/sgRNA in Duncan grapefruit via Xcc-facilitated agroinfiltration showed it can successfully modify CsPDS and Cs2g12470. Subsequently, binary vector GFP-p1380N-SaCas9/35S-sgRNA1:AtU6-sgRNA2 was developed to edit two target sites of Cs7g03360 in transgenic Carrizo citrange. Twelve GFP-positive Carrizo transformants were successfully established, designated as #Cz1 to #Cz12. Based on targeted next generation sequencing results, the mutation rates for the two targets ranged from 15.55 to 39.13% for sgRNA1 and 49.01 to 79.67% for sgRNA2. Therefore, SaCas9/sgRNA can be used as an alternative tool to SpCas9/sgRNA for citrus genome editing. PMID:29312390

  16. Unravelling the structural and mechanistic basis of CRISPR–Cas systems

    PubMed Central

    van der Oost, John; Westra, Edze R.; Jackson, Ryan N.; Wiedenheft, Blake

    2014-01-01

    Bacteria and archaea have evolved sophisticated adaptive immune systems, known as CRISPR–Cas (clustered regularly interspaced short palindromic repeats–CRISPR-associated proteins) systems, which target and inactivate invading viruses and plasmids. Immunity is acquired by integrating short fragments of foreign DNA into CRISPR loci, and following transcription and processing of these loci, the CRISPR RNAs (crRNAs) guide the Cas proteins to complementary invading nucleic acid, which results in target interference. In this Review, we summarize the recent structural and biochemical insights that have been gained for the three major types of CRISPR–Cas systems, which together provide a detailed molecular understanding of the unique and conserved mechanisms of RNA-guided adaptive immunity in bacteria and archaea. PMID:24909109

  17. Unravelling the structural and mechanistic basis of CRISPR-Cas systems.

    PubMed

    van der Oost, John; Westra, Edze R; Jackson, Ryan N; Wiedenheft, Blake

    2014-07-01

    Bacteria and archaea have evolved sophisticated adaptive immune systems, known as CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins) systems, which target and inactivate invading viruses and plasmids. Immunity is acquired by integrating short fragments of foreign DNA into CRISPR loci, and following transcription and processing of these loci, the CRISPR RNAs (crRNAs) guide the Cas proteins to complementary invading nucleic acid, which results in target interference. In this Review, we summarize the recent structural and biochemical insights that have been gained for the three major types of CRISPR-Cas systems, which together provide a detailed molecular understanding of the unique and conserved mechanisms of RNA-guided adaptive immunity in bacteria and archaea.

  18. Role of the Streptococcus mutans CRISPR-Cas Systems in Immunity and Cell Physiology

    PubMed Central

    Serbanescu, M. A.; Cordova, M.; Krastel, K.; Flick, R.; Beloglazova, N.; Latos, A.; Yakunin, A. F.; Senadheera, D. B.

    2014-01-01

    CRISPR-Cas systems provide adaptive microbial immunity against invading viruses and plasmids. The cariogenic bacterium Streptococcus mutans UA159 has two CRISPR-Cas systems: CRISPR1 (type II-A) and CRISPR2 (type I-C) with several spacers from both CRISPR cassettes matching sequences of phage M102 or genomic sequences of other S. mutans. The deletion of the cas genes of CRISPR1 (ΔC1S), CRISPR2 (ΔC2E), or both CRISPR1+2 (ΔC1SC2E) or the removal of spacers 2 and 3 (ΔCR1SP13E) in S. mutans UA159 did not affect phage sensitivity when challenged with virulent phage M102. Using plasmid transformation experiments, we demonstrated that the CRISPR1-Cas system inhibits transformation of S. mutans by the plasmids matching the spacers 2 and 3. Functional analysis of the cas deletion mutants revealed that in addition to a role in plasmid targeting, both CRISPR systems also contribute to the regulation of bacterial physiology in S. mutans. Compared to wild-type cells, the ΔC1S strain displayed diminished growth under cell membrane and oxidative stress, enhanced growth under low pH, and had reduced survival under heat shock and DNA-damaging conditions, whereas the ΔC2E strain exhibited increased sensitivity to heat shock. Transcriptional analysis revealed that the two-component signal transduction system VicR/K differentially modulates expression of cas genes within CRISPR-Cas systems, suggesting that VicR/K might coordinate the expression of two CRISPR-Cas systems. Collectively, we provide in vivo evidence that the type II-A CRISPR-Cas system of S. mutans may be targeted to manipulate its stress response and to influence the host to control the uptake and dissemination of antibiotic resistance genes. PMID:25488301

  19. Non-viral delivery systems for CRISPR/Cas9-based genome editing: Challenges and opportunities.

    PubMed

    Li, Ling; Hu, Shuo; Chen, Xiaoyuan

    2018-07-01

    In recent years, CRISPR (clustered regularly interspaced short palindromic repeat)/Cas (CRISPR-associated) genome editing systems have become one of the most robust platforms in basic biomedical research and therapeutic applications. To date, efficient in vivo delivery of the CRISPR/Cas9 system to the targeted cells remains a challenge. Although viral vectors have been widely used in the delivery of the CRISPR/Cas9 system in vitro and in vivo, their fundamental shortcomings, such as the risk of carcinogenesis, limited insertion size, immune responses and difficulty in large-scale production, severely limit their further applications. Alternative non-viral delivery systems for CRISPR/Cas9 are urgently needed. With the rapid development of non-viral vectors, lipid- or polymer-based nanocarriers have shown great potential for CRISPR/Cas9 delivery. In this review, we analyze the pros and cons of delivering CRISPR/Cas9 systems in the form of plasmid, mRNA, or protein and then discuss the limitations and challenges of CRISPR/Cas9-based genome editing. Furthermore, current non-viral vectors that have been applied for CRISPR/Cas9 delivery in vitro and in vivo are outlined in details. Finally, critical obstacles for non-viral delivery of CRISPR/Cas9 system are highlighted and promising strategies to overcome these barriers are proposed. Published by Elsevier Ltd.

  20. Evidence for the widespread distribution of CRISPR-Cas system in the Phylum Cyanobacteria

    PubMed Central

    Cai, Fei; Axen, Seth D.; Kerfeld, Cheryl A.

    2013-01-01

    Members of the phylum Cyanobacteria inhabit ecologically diverse environments. However, the CRISPR-Cas (clustered regularly interspaced short palindromic repeats, CRISPR associated genes), an extremely adaptable defense system, has not been surveyed in this phylum. We analyzed 126 cyanobacterial genomes and, surprisingly, found CRISPR-Cas in the majority except the marine subclade (Synechococcus and Prochlorococcus), in which cyanophages are a known force shaping their evolution. Multiple observations of CRISPR loci in the absence of cas1/cas2 genes may represent an early stage of losing a CRISPR-Cas locus. Our findings reveal the widespread distribution of their role in the phylum Cyanobacteria and provide a first step to systematically understanding CRISPR-Cas systems in cyanobacteria. PMID:23628889

  1. The Neisseria meningitidis CRISPR-Cas9 System Enables Specific Genome Editing in Mammalian Cells

    PubMed Central

    Lee, Ciaran M; Cradick, Thomas J; Bao, Gang

    2016-01-01

    The clustered regularly-interspaced short palindromic repeats (CRISPR)—CRISPR-associated (Cas) system from Streptococcus pyogenes (Spy) has been successfully adapted for RNA-guided genome editing in a wide range of organisms. However, numerous reports have indicated that Spy CRISPR-Cas9 systems may have significant off-target cleavage of genomic DNA sequences differing from the intended on-target site. Here, we report the performance of the Neisseria meningitidis (Nme) CRISPR-Cas9 system that requires a longer protospacer-adjacent motif for site-specific cleavage, and present a comparison between the Spy and Nme CRISPR-Cas9 systems targeting the same protospacer sequence. The results with the native crRNA and tracrRNA as well as a chimeric single guide RNA for the Nme CRISPR-Cas9 system were also compared. Our results suggest that, compared with the Spy system, the Nme CRISPR-Cas9 system has similar or lower on-target cleavage activity but a reduced overall off-target effect on a genomic level when sites containing three or fewer mismatches are considered. Thus, the Nme CRISPR-Cas9 system may represent a safer alternative for precision genome engineering applications. PMID:26782639

  2. The Neisseria meningitidis CRISPR-Cas9 System Enables Specific Genome Editing in Mammalian Cells.

    PubMed

    Lee, Ciaran M; Cradick, Thomas J; Bao, Gang

    2016-03-01

    The clustered regularly-interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system from Streptococcus pyogenes (Spy) has been successfully adapted for RNA-guided genome editing in a wide range of organisms. However, numerous reports have indicated that Spy CRISPR-Cas9 systems may have significant off-target cleavage of genomic DNA sequences differing from the intended on-target site. Here, we report the performance of the Neisseria meningitidis (Nme) CRISPR-Cas9 system that requires a longer protospacer-adjacent motif for site-specific cleavage, and present a comparison between the Spy and Nme CRISPR-Cas9 systems targeting the same protospacer sequence. The results with the native crRNA and tracrRNA as well as a chimeric single guide RNA for the Nme CRISPR-Cas9 system were also compared. Our results suggest that, compared with the Spy system, the Nme CRISPR-Cas9 system has similar or lower on-target cleavage activity but a reduced overall off-target effect on a genomic level when sites containing three or fewer mismatches are considered. Thus, the Nme CRISPR-Cas9 system may represent a safer alternative for precision genome engineering applications.

  3. SD-CAS: Spin Dynamics by Computer Algebra System.

    PubMed

    Filip, Xenia; Filip, Claudiu

    2010-11-01

    A computer algebra tool for describing the Liouville-space quantum evolution of nuclear 1/2-spins is introduced and implemented within a computational framework named Spin Dynamics by Computer Algebra System (SD-CAS). A distinctive feature compared with numerical and previous computer algebra approaches to solving spin dynamics problems results from the fact that no matrix representation for spin operators is used in SD-CAS, which determines a full symbolic character to the performed computations. Spin correlations are stored in SD-CAS as four-entry nested lists of which size increases linearly with the number of spins into the system and are easily mapped into analytical expressions in terms of spin operator products. For the so defined SD-CAS spin correlations a set of specialized functions and procedures is introduced that are essential for implementing basic spin algebra operations, such as the spin operator products, commutators, and scalar products. They provide results in an abstract algebraic form: specific procedures to quantitatively evaluate such symbolic expressions with respect to the involved spin interaction parameters and experimental conditions are also discussed. Although the main focus in the present work is on laying the foundation for spin dynamics symbolic computation in NMR based on a non-matrix formalism, practical aspects are also considered throughout the theoretical development process. In particular, specific SD-CAS routines have been implemented using the YACAS computer algebra package (http://yacas.sourceforge.net), and their functionality was demonstrated on a few illustrative examples. Copyright © 2010 Elsevier Inc. All rights reserved.

  4. The CAS Classroom

    ERIC Educational Resources Information Center

    Garner, Sue

    2004-01-01

    The Victorian Curriculum and Assessment Authority (VCAA) Computer Algebra System (CAS)Pilot study (2001-2005) is monitoring the use of CAS in senior secondary mathematics. This article explores the author's experiences in the CAS classroom and delineates changes in teaching style, as a result of the introduction of CAS into the senior mathematics…

  5. The CRISPR-Cas system - from bacterial immunity to genome engineering.

    PubMed

    Czarnek, Maria; Bereta, Joanna

    2016-09-01

    Precise and efficient genome modifications present a great value in attempts to comprehend the roles of particular genes and other genetic elements in biological processes as well as in various pathologies. In recent years novel methods of genome modification known as genome editing, which utilize so called "programmable" nucleases, came into use. A true revolution in genome editing has been brought about by the introduction of the CRISP-Cas (clustered regularly interspaced short palindromic repeats-CRISPR associated) system, in which one of such nucleases, i.e. Cas9, plays a major role. This system is based on the elements of the bacterial and archaeal mechanism responsible for acquired immunity against phage infections and transfer of foreign genetic material. Microorganisms incorporate fragments of foreign DNA into CRISPR loci present in their genomes, which enables fast recognition and elimination of future infections. There are several types of CRISPR-Cas systems among prokaryotes but only elements of CRISPR type II are employed in genome engineering. CRISPR-Cas type II utilizes small RNA molecules (crRNA and tracrRNA) to precisely direct the effector nuclease - Cas9 - to a specific site in the genome, i.e. to the sequence complementary to crRNA. Cas9 may be used to: (i) introduce stable changes into genomes e.g. in the process of generation of knock-out and knock-in animals and cell lines, (ii) activate or silence the expression of a gene of interest, and (iii) visualize specific sites in genomes of living cells. The CRISPR-Cas-based tools have been successfully employed for generation of animal and cell models of a number of diseases, e.g. specific types of cancer. In the future, the genome editing by programmable nucleases may find wide application in medicine e.g. in the therapies of certain diseases of genetic origin and in the therapy of HIV-infected patients.

  6. Inhibition of NHEJ repair by type II-A CRISPR-Cas systems in bacteria.

    PubMed

    Bernheim, Aude; Calvo-Villamañán, Alicia; Basier, Clovis; Cui, Lun; Rocha, Eduardo P C; Touchon, Marie; Bikard, David

    2017-12-12

    Type II CRISPR-Cas systems introduce double-strand breaks into DNA of invading genetic material and use DNA fragments to acquire novel spacers during adaptation. These breaks can be the substrate of several DNA repair pathways, paving the way for interactions. We report that non-homologous end-joining (NHEJ) and type II-A CRISPR-Cas systems only co-occur once among 5563 fully sequenced prokaryotic genomes. We investigated experimentally the possible molecular interactions using the NHEJ pathway from Bacillus subtilis and the type II-A CRISPR-Cas systems from Streptococcus thermophilus and Streptococcus pyogenes. Our results suggest that the NHEJ system has no effect on CRISPR immunity. On the other hand, we provide evidence for the inhibition of NHEJ repair by the Csn2 protein. Our findings give insights on the complex interactions between CRISPR-Cas systems and repair mechanisms in bacteria, contributing to explain the scattered distribution of CRISPR-Cas systems in bacterial genome.

  7. Effects of Computer Algebra System (CAS) with Metacognitive Training on Mathematical Reasoning.

    ERIC Educational Resources Information Center

    Kramarski, Bracha; Hirsch, Chaya

    2003-01-01

    Describes a study that investigated the differential effects of Computer Algebra Systems (CAS) and metacognitive training (META) on mathematical reasoning. Participants were 83 Israeli eighth-grade students. Results showed that CAS embedded within META significantly outperformed the META and CAS alone conditions, which in turn significantly…

  8. Investigating CRISPR-Cas systems in Clostridium botulinum via bioinformatics tools.

    PubMed

    Negahdaripour, Manica; Nezafat, Navid; Hajighahramani, Nasim; Rahmatabadi, Seyyed Soheil; Ghasemi, Younes

    2017-10-01

    The Clustered regularly interspaced short palindromic repeats (CRISPR) systems are a type of innate immunity found in some prokaryotes, which protect them against alien genetic elements by targeting foreign nucleic acids. Some other functions are also attributed to these systems. Clostridium botulinum bacteria produce botulinum neurotoxins (BoNT), one of the deadliest known toxins for humans and some animals. Food poisoning due to these bacteria is still a challenge in food industries. On the other hand, BoNT has been widely investigated for therapeutic applications including different muscle disorders. Bont genes may be located on bacterial chromosomes, plasmids, or even prophages. Generally, the genomes of Cl. botulinum show a high level of plasticity. In order to investigate the presence and characteristics of CRISPRs in these anaerobe bacteria, an in silico study on 113 CRISPR arrays identified in 38 Cl. botulinum strains was performed. A high occurrence of CRISPR arrays (80%) were found, with a remarkable frequency on plasmids. Several (CRISPR-associated) Cas proteins from different types were recognized in the studied strains, which were mostly Cas6. The CRISPR-Cas systems were identified as type I or III, but no type II. The spacers showed more homology with bacterial plasmids than phages. Active CRISPR-Cas systems can prevent the transfer of foreign genes, which may also include bont genes. This study provides the first insight into the probable roles of CRISPR-Cas systems in Cl. botulinum strains such as toxigenicity. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Transforming plant biology and breeding with CRISPR/Cas9, Cas12 and Cas13.

    PubMed

    Schindele, Patrick; Wolter, Felix; Puchta, Holger

    2018-04-30

    Currently, biology is revolutionized by ever growing applications of the CRISPR/Cas system. As discussed in this Review, new avenues have opened up for plant research and breeding by the use of the sequence-specific DNases Cas9 and Cas12 (formerly named Cpf1) and, more recently, the RNase Cas13 (formerly named C2c2). Although double strand break-induced gene editing based on error-prone nonhomologous end joining has been applied to obtain new traits, such as powdery mildew resistance in wheat or improved pathogen resistance and increased yield in tomato, improved technologies based on CRISPR/Cas for programmed change in plant genomes via homologous recombination have recently been developed. Cas9- and Cas12- mediated DNA binding is used to develop tools for many useful applications, such as transcriptional regulation or fluorescence-based imaging of specific chromosomal loci in plant genomes. Cas13 has recently been applied to degrade mRNAs and combat viral RNA replication. By the possibility to address multiple sequences with different guide RNAs and by the simultaneous use of different Cas proteins in a single cell, we should soon be able to achieve complex changes of plant metabolism in a controlled way. © 2018 Federation of European Biochemical Societies.

  10. New applications of CRISPR/Cas9 system on mutant DNA detection.

    PubMed

    Jia, Chenqiang; Huai, Cong; Ding, Jiaqi; Hu, Lingna; Su, Bo; Chen, Hongyan; Lu, Daru

    2018-01-30

    The detection of mutant DNA is critical for precision medicine, but low-frequency DNA mutation is very hard to be determined. CRISPR/Cas9 is a robust tool for in vivo gene editing, and shows the potential for precise in vitro DNA cleavage. Here we developed a DNA mutation detection system based on CRISPR/Cas9 that can detect gene mutation efficiently even in a low-frequency condition. The system of CRISPR/Cas9 cleavage in vitro showed a high accuracy similar to traditional T7 endonuclease I (T7E1) assay in estimating mutant DNA proportion in the condition of normal frequency. The technology was further used for low-frequency mutant DNA detection of EGFR and HBB somatic mutations. To the end, Cas9 was employed to cleave the wild-type (WT) DNA and to enrich the mutant DNA. Using amplified fragment length polymorphism analysis (AFLPA) and Sanger sequencing, we assessed the sensitivity of CRISPR/Cas9 cleavage-based PCR, in which mutations at 1%-10% could be enriched and detected. When combined with blocker PCR, its sensitivity reached up to 0.1%. Our results suggested that this new application of CRISPR/Cas9 system is a robust and potential method for heterogeneous specimens in the clinical diagnosis and treatment management. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. High-throughput screens in mammalian cells using the CRISPR-Cas9 system.

    PubMed

    Peng, Jingyu; Zhou, Yuexin; Zhu, Shiyou; Wei, Wensheng

    2015-06-01

    As a powerful genome-editing tool, the clustered regularly interspaced short palindromic repeats (CRISPR)-clustered regularly interspaced short palindromic repeats-associated protein 9 (Cas9) system has been quickly developed into a large-scale function-based screening strategy in mammalian cells. This new type of genetic library is constructed through the lentiviral delivery of single-guide RNA collections that direct Cas9 or inactive dead Cas9 fused with effectors to interrogate gene function or regulate gene transcription in targeted cells. Compared with RNA interference screening, the CRISPR-Cas9 system demonstrates much higher levels of effectiveness and reliability with respect to both loss-of-function and gain-of-function screening. Unlike the RNA interference strategy, a CRISPR-Cas9 library can target both protein-coding sequences and regulatory elements, including promoters, enhancers and elements transcribing microRNAs and long noncoding RNAs. This powerful genetic tool will undoubtedly accelerate the mechanistic discovery of various biological processes. In this mini review, we summarize the general procedure of CRISPR-Cas9 library mediated functional screening, system optimization strategies and applications of this new genetic toolkit. © 2015 FEBS.

  12. A CRISPR-Cas system enhances envelope integrity mediating antibiotic resistance and inflammasome evasion

    PubMed Central

    Sampson, Timothy R.; Napier, Brooke A.; Schroeder, Max R.; Louwen, Rogier; Zhao, Jinshi; Chin, Chui-Yoke; Ratner, Hannah K.; Llewellyn, Anna C.; Jones, Crystal L.; Laroui, Hamed; Merlin, Didier; Zhou, Pei; Endtz, Hubert P.; Weiss, David S.

    2014-01-01

    Clustered, regularly interspaced, short palindromic repeats–CRISPR associated (CRISPR-Cas) systems defend bacteria against foreign nucleic acids, such as during bacteriophage infection and transformation, processes which cause envelope stress. It is unclear if these machineries enhance membrane integrity to combat this stress. Here, we show that the Cas9-dependent CRISPR-Cas system of the intracellular bacterial pathogen Francisella novicida is involved in enhancing envelope integrity through the regulation of a bacterial lipoprotein. This action ultimately provides increased resistance to numerous membrane stressors, including antibiotics. We further find that this previously unappreciated function of Cas9 is critical during infection, as it promotes evasion of the host innate immune absent in melanoma 2/apoptosis associated speck-like protein containing a CARD (AIM2/ASC) inflammasome. Interestingly, the attenuation of the cas9 mutant is complemented only in mice lacking both the AIM2/ASC inflammasome and the bacterial lipoprotein sensor Toll-like receptor 2, but not in single knockout mice, demonstrating that Cas9 is essential for evasion of both pathways. These data represent a paradigm shift in our understanding of the function of CRISPR-Cas systems as regulators of bacterial physiology and provide a framework with which to investigate the roles of these systems in myriad bacteria, including pathogens and commensals. PMID:25024199

  13. A CRISPR-Cas system enhances envelope integrity mediating antibiotic resistance and inflammasome evasion.

    PubMed

    Sampson, Timothy R; Napier, Brooke A; Schroeder, Max R; Louwen, Rogier; Zhao, Jinshi; Chin, Chui-Yoke; Ratner, Hannah K; Llewellyn, Anna C; Jones, Crystal L; Laroui, Hamed; Merlin, Didier; Zhou, Pei; Endtz, Hubert P; Weiss, David S

    2014-07-29

    Clustered, regularly interspaced, short palindromic repeats-CRISPR associated (CRISPR-Cas) systems defend bacteria against foreign nucleic acids, such as during bacteriophage infection and transformation, processes which cause envelope stress. It is unclear if these machineries enhance membrane integrity to combat this stress. Here, we show that the Cas9-dependent CRISPR-Cas system of the intracellular bacterial pathogen Francisella novicida is involved in enhancing envelope integrity through the regulation of a bacterial lipoprotein. This action ultimately provides increased resistance to numerous membrane stressors, including antibiotics. We further find that this previously unappreciated function of Cas9 is critical during infection, as it promotes evasion of the host innate immune absent in melanoma 2/apoptosis associated speck-like protein containing a CARD (AIM2/ASC) inflammasome. Interestingly, the attenuation of the cas9 mutant is complemented only in mice lacking both the AIM2/ASC inflammasome and the bacterial lipoprotein sensor Toll-like receptor 2, but not in single knockout mice, demonstrating that Cas9 is essential for evasion of both pathways. These data represent a paradigm shift in our understanding of the function of CRISPR-Cas systems as regulators of bacterial physiology and provide a framework with which to investigate the roles of these systems in myriad bacteria, including pathogens and commensals.

  14. CRISPR-Cas Adaptive Immune Systems of the Sulfolobales: Unravelling Their Complexity and Diversity

    PubMed Central

    Garrett, Roger A.; Shah, Shiraz A.; Erdmann, Susanne; Liu, Guannan; Mousaei, Marzieh; León-Sobrino, Carlos; Peng, Wenfang; Gudbergsdottir, Soley; Deng, Ling; Vestergaard, Gisle; Peng, Xu; She, Qunxin

    2015-01-01

    The Sulfolobales have provided good model organisms for studying CRISPR-Cas systems of the crenarchaeal kingdom of the archaea. These organisms are infected by a wide range of exceptional archaea-specific viruses and conjugative plasmids, and their CRISPR-Cas systems generally exhibit extensive structural and functional diversity. They carry large and multiple CRISPR loci and often multiple copies of diverse Type I and Type III interference modules as well as more homogeneous adaptation modules. These acidothermophilic organisms have recently provided seminal insights into both the adaptation process, the diverse modes of interference, and their modes of regulation. The functions of the adaptation and interference modules tend to be loosely coupled and the stringency of the crRNA-DNA sequence matching during DNA interference is relatively low, in contrast to some more streamlined CRISPR-Cas systems of bacteria. Despite this, there is evidence for a complex and differential regulation of expression of the diverse functional modules in response to viral infection. Recent work also supports critical roles for non-core Cas proteins, especially during Type III-directed interference, and this is consistent with these proteins tending to coevolve with core Cas proteins. Various novel aspects of CRISPR-Cas systems of the Sulfolobales are considered including an alternative spacer acquisition mechanism, reversible spacer acquisition, the formation and significance of antisense CRISPR RNAs, and a novel mechanism for avoidance of CRISPR-Cas defense. Finally, questions regarding the basis for the complexity, diversity, and apparent redundancy, of the intracellular CRISPR-Cas systems are discussed. PMID:25764276

  15. Sensitizing pathogens to antibiotics using the CRISPR-Cas system.

    PubMed

    Goren, Moran; Yosef, Ido; Qimron, Udi

    2017-01-01

    The extensive use of antibiotics over the last century has resulted in a significant artificial selection pressure for antibiotic-resistant pathogens to evolve. Various strategies to fight these pathogens have been introduced including new antibiotics, naturally-derived enzymes/peptides that specifically target pathogens and bacteriophages that lyse these pathogens. A new tool has recently been introduced in the fight against drug-resistant pathogens-the prokaryotic defense mechanism-clustered regularly interspaced short palindromic repeats-CRISPR associated (CRISPR-Cas) system. The CRISPR-Cas system acts as a nuclease that can be guided to cleave any target DNA, allowing sophisticated, yet feasible, manipulations of pathogens. Here, we review pioneering studies that use the CRISPR-Cas system to specifically edit bacterial populations, eliminate their resistance genes and combine these two strategies in order to produce an artificial selection pressure for antibiotic-sensitive pathogens. We suggest that intelligent design of this system, along with efficient delivery tools into pathogens, may significantly reduce the threat of antibiotic-resistant pathogens. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. Multiplex conditional mutagenesis in zebrafish using the CRISPR/Cas system.

    PubMed

    Yin, L; Maddison, L A; Chen, W

    2016-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas) system is a powerful tool for genome editing in numerous organisms. However, the system is typically used for gene editing throughout the entire organism. Tissue and temporal specific mutagenesis is often desirable to determine gene function in a specific stage or tissue and to bypass undesired consequences of global mutations. We have developed the CRISPR/Cas system for conditional mutagenesis in transgenic zebrafish using tissue-specific and/or inducible expression of Cas9 and U6-driven expression of sgRNA. To allow mutagenesis of multiple targets, we have isolated four distinct U6 promoters and designed Golden Gate vectors to easily assemble transgenes with multiple sgRNAs. We provide experimental details on the reagents and applications for multiplex conditional mutagenesis in zebrafish. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. Cas5d Protein Processes Pre-crRNA and Assembles into a Cascade-like Interference Complex in Subtype I-C/Dvulg CRISPR-Cas System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Ki Hyun; Haitjema, Charles; Liu, Xueqi

    Clustered regularly interspaced short palindromic repeats (CRISPRs), together with an operon of CRISPR-associated (Cas) proteins, form an RNA-based prokaryotic immune system against exogenous genetic elements. Cas5 family proteins are found in several type I CRISPR-Cas systems. Here, we report the molecular function of subtype I-C/Dvulg Cas5d from Bacillus halodurans. We show that Cas5d cleaves pre-crRNA into unit length by recognizing both the hairpin structure and the 3 single stranded sequence in the CRISPR repeat region. Cas5d structure reveals a ferredoxin domain-based architecture and a catalytic triad formed by Y46, K116, and H117 residues. We further show that after pre-crRNA processing,more » Cas5d assembles with crRNA, Csd1, and Csd2 proteins to form a multi-sub-unit interference complex similar to Escherichia coli Cascade (CRISPR-associated complex for antiviral defense) in architecture. Our results suggest that formation of a crRNA-presenting Cascade-like complex is likely a common theme among type I CRISPR subtypes.« less

  18. Artificial Virus Delivers CRISPR-Cas9 System for Genome Editing of Cells in Mice.

    PubMed

    Li, Ling; Song, Linjiang; Liu, Xiaowei; Yang, Xi; Li, Xia; He, Tao; Wang, Ning; Yang, Suleixin; Yu, Chuan; Yin, Tao; Wen, Yanzhu; He, Zhiyao; Wei, Xiawei; Su, Weijun; Wu, Qinjie; Yao, Shaohua; Gong, Changyang; Wei, Yuquan

    2017-01-24

    CRISPR-Cas9 has emerged as a versatile genome-editing platform. However, due to the large size of the commonly used CRISPR-Cas9 system, its effective delivery has been a challenge and limits its utility for basic research and therapeutic applications. Herein, a multifunctional nucleus-targeting "core-shell" artificial virus (RRPHC) was constructed for the delivery of CRISPR-Cas9 system. The artificial virus could efficiently load with the CRISPR-Cas9 system, accelerate the endosomal escape, and promote the penetration into the nucleus without additional nuclear-localization signal, thus enabling targeted gene disruption. Notably, the artificial virus is more efficient than SuperFect, Lipofectamine 2000, and Lipofectamine 3000. When loaded with a CRISPR-Cas9 plasmid, it induced higher targeted gene disruption efficacy than that of Lipofectamine 3000. Furthermore, the artificial virus effectively targets the ovarian cancer via dual-receptor-mediated endocytosis and had minimum side effects. When loaded with the Cas9-hMTH1 system targeting MTH1 gene, RRPHC showed effective disruption of MTH1 in vivo. This strategy could be adapted for delivering CRISPR-Cas9 plasmid or other functional nucleic acids in vivo.

  19. Progress and Prospects of CRISPR/Cas Systems in Insects and Other Arthropods

    PubMed Central

    Sun, Dan; Guo, Zhaojiang; Liu, Yong; Zhang, Youjun

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated gene Cas9 represent an invaluable system for the precise editing of genes in diverse species. The CRISPR/Cas9 system is an adaptive mechanism that enables bacteria and archaeal species to resist invading viruses and phages or plasmids. Compared with zinc finger nucleases and transcription activator-like effector nucleases, the CRISPR/Cas9 system has the advantage of requiring less time and effort. This efficient technology has been used in many species, including diverse arthropods that are relevant to agriculture, forestry, fisheries, and public health; however, there is no review that systematically summarizes its successful application in the editing of both insect and non-insect arthropod genomes. Thus, this paper seeks to provide a comprehensive and impartial overview of the progress of the CRISPR/Cas9 system in different arthropods, reviewing not only fundamental studies related to gene function exploration and experimental optimization but also applied studies in areas such as insect modification and pest control. In addition, we also describe the latest research advances regarding two novel CRISPR/Cas systems (CRISPR/Cpf1 and CRISPR/C2c2) and discuss their future prospects for becoming crucial technologies in arthropods. PMID:28932198

  20. Progress and Prospects of CRISPR/Cas Systems in Insects and Other Arthropods.

    PubMed

    Sun, Dan; Guo, Zhaojiang; Liu, Yong; Zhang, Youjun

    2017-01-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated gene Cas9 represent an invaluable system for the precise editing of genes in diverse species. The CRISPR/Cas9 system is an adaptive mechanism that enables bacteria and archaeal species to resist invading viruses and phages or plasmids. Compared with zinc finger nucleases and transcription activator-like effector nucleases, the CRISPR/Cas9 system has the advantage of requiring less time and effort. This efficient technology has been used in many species, including diverse arthropods that are relevant to agriculture, forestry, fisheries, and public health; however, there is no review that systematically summarizes its successful application in the editing of both insect and non-insect arthropod genomes. Thus, this paper seeks to provide a comprehensive and impartial overview of the progress of the CRISPR/Cas9 system in different arthropods, reviewing not only fundamental studies related to gene function exploration and experimental optimization but also applied studies in areas such as insect modification and pest control. In addition, we also describe the latest research advances regarding two novel CRISPR/Cas systems (CRISPR/Cpf1 and CRISPR/C2c2) and discuss their future prospects for becoming crucial technologies in arthropods.

  1. Efficient engineering of a bacteriophage genome using the type I-E CRISPR-Cas system.

    PubMed

    Kiro, Ruth; Shitrit, Dror; Qimron, Udi

    2014-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system has recently been used to engineer genomes of various organisms, but surprisingly, not those of bacteriophages (phages). Here we present a method to genetically engineer the Escherichia coli phage T7 using the type I-E CRISPR-Cas system. T7 phage genome is edited by homologous recombination with a DNA sequence flanked by sequences homologous to the desired location. Non-edited genomes are targeted by the CRISPR-Cas system, thus enabling isolation of the desired recombinant phages. This method broadens CRISPR Cas-based editing to phages and uses a CRISPR-Cas type other than type II. The method may be adjusted to genetically engineer any bacteriophage genome.

  2. CRISPR-Cas9 systems: versatile cancer modelling platforms and promising therapeutic strategies.

    PubMed

    Wen, Wan-Shun; Yuan, Zhi-Min; Ma, Shi-Jie; Xu, Jiang; Yuan, Dong-Tang

    2016-03-15

    The RNA-guided nuclease CRISPR-Cas9 (clustered regularly interspaced short palindromic repeats-CRISPR associated nuclease 9) and its variants such as nickase Cas9, dead Cas9, guide RNA scaffolds and RNA-targeting Cas9 are convenient and versatile platforms for site-specific genome editing and epigenome modulation. They are easy-to-use, simple-to-design and capable of targeting multiple loci simultaneously. Given that cancer develops from cumulative genetic and epigenetic alterations, CRISPR-Cas9 and its variants (hereafter referred to as CRISPR-Cas9 systems) hold extensive application potentials in cancer modeling and therapy. To date, they have already been applied to model oncogenic mutations in cell lines (e.g., Choi and Meyerson, Nat Commun 2014;5:3728) and in adult animals (e.g., Xue et al., Nature 2014;514:380-4), as well as to combat cancer by disabling oncogenic viruses (e.g., Hu et al., Biomed Res Int 2014;2014:612823) or by manipulating cancer genome (e.g., Liu et al., Nat Commun 2014;5:5393). Given the importance of epigenome and transcriptome in tumourigenesis, manipulation of cancer epigenome and transcriptome for cancer modeling and therapy is a promising area in the future. Whereas (epi)genetic modifications of cancer microenvironment with CRISPR-Cas9 systems for therapeutic purposes represent another promising area in cancer research. Herein, we introduce the functions and mechanisms of CRISPR-Cas9 systems in genome editing and epigenome modulation, retrospect their applications in cancer modelling and therapy, discuss limitations and possible solutions and propose future directions, in hope of providing concise and enlightening information for readers interested in this area. © 2015 UICC.

  3. Spacer capture and integration by a type I-F Cas1-Cas2-3 CRISPR adaptation complex.

    PubMed

    Fagerlund, Robert D; Wilkinson, Max E; Klykov, Oleg; Barendregt, Arjan; Pearce, F Grant; Kieper, Sebastian N; Maxwell, Howard W R; Capolupo, Angela; Heck, Albert J R; Krause, Kurt L; Bostina, Mihnea; Scheltema, Richard A; Staals, Raymond H J; Fineran, Peter C

    2017-06-27

    CRISPR-Cas adaptive immune systems capture DNA fragments from invading bacteriophages and plasmids and integrate them as spacers into bacterial CRISPR arrays. In type I-E and II-A CRISPR-Cas systems, this adaptation process is driven by Cas1-Cas2 complexes. Type I-F systems, however, contain a unique fusion of Cas2, with the type I effector helicase and nuclease for invader destruction, Cas3. By using biochemical, structural, and biophysical methods, we present a structural model of the 400-kDa Cas1 4 -Cas2-3 2 complex from Pectobacterium atrosepticum with bound protospacer substrate DNA. Two Cas1 dimers assemble on a Cas2 domain dimeric core, which is flanked by two Cas3 domains forming a groove where the protospacer binds to Cas1-Cas2. We developed a sensitive in vitro assay and demonstrated that Cas1-Cas2-3 catalyzed spacer integration into CRISPR arrays. The integrase domain of Cas1 was necessary, whereas integration was independent of the helicase or nuclease activities of Cas3. Integration required at least partially duplex protospacers with free 3'-OH groups, and leader-proximal integration was stimulated by integration host factor. In a coupled capture and integration assay, Cas1-Cas2-3 processed and integrated protospacers independent of Cas3 activity. These results provide insight into the structure of protospacer-bound type I Cas1-Cas2-3 adaptation complexes and their integration mechanism.

  4. The Self-Inactivating KamiCas9 System for the Editing of CNS Disease Genes.

    PubMed

    Merienne, Nicolas; Vachey, Gabriel; de Longprez, Lucie; Meunier, Cécile; Zimmer, Virginie; Perriard, Guillaume; Canales, Mathieu; Mathias, Amandine; Herrgott, Lucas; Beltraminelli, Tim; Maulet, Axelle; Dequesne, Thomas; Pythoud, Catherine; Rey, Maria; Pellerin, Luc; Brouillet, Emmanuel; Perrier, Anselme L; du Pasquier, Renaud; Déglon, Nicole

    2017-09-19

    Neurodegenerative disorders are a major public health problem because of the high frequency of these diseases. Genome editing with the CRISPR/Cas9 system is making it possible to modify the sequence of genes linked to these disorders. We designed the KamiCas9 self-inactivating editing system to achieve transient expression of the Cas9 protein and high editing efficiency. In the first application, the gene responsible for Huntington's disease (HD) was targeted in adult mouse neuronal and glial cells. Mutant huntingtin (HTT) was efficiently inactivated in mouse models of HD, leading to an improvement in key markers of the disease. Sequencing of potential off-targets with the constitutive Cas9 system in differentiated human iPSC revealed a very low incidence with only one site above background level. This off-target frequency was significantly reduced with the KamiCas9 system. These results demonstrate the potential of the self-inactivating CRISPR/Cas9 editing for applications in the context of neurodegenerative diseases. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  5. Establishment of a highly efficient virus-inducible CRISPR/Cas9 system in insect cells.

    PubMed

    Dong, Zhan-Qi; Chen, Ting-Ting; Zhang, Jun; Hu, Nan; Cao, Ming-Ya; Dong, Fei-Fan; Jiang, Ya-Ming; Chen, Peng; Lu, Cheng; Pan, Min-Hui

    2016-06-01

    Although current antiviral strategies can inhibit baculovirus infection and decrease viral DNA replication to a certain extent, novel tools are required for specific and accurate elimination of baculovirus genomes from infected insects. Using the newly developed clustered regularly interspaced short palindromic repeats/associated protein 9 nuclease (CRISPR/Cas9) technology, we disrupted a viral genome in infected insect cells in vitro as a defense against viral infection. We optimized the CRISPR/Cas9 system to edit foreign and viral genome in insect cells. Using Bombyx mori nucleopolyhedrovirus (BmNPV) as a model, we found that the CRISPR/Cas9 system was capable of cleaving the replication key factor ie-1 in BmNPV thus effectively inhibiting virus proliferation. Furthermore, we constructed a virus-inducible CRISPR/Cas9 editing system, which minimized the probability of off-target effects and was rapidly activated after viral infection. This is the first report describing the application of the CRISPR/Cas9 system in insect antiviral research. Establishment of a highly efficient virus-inducible CRISPR/Cas9 system in insect cells provides insights to produce virus-resistant transgenic strains for future. Copyright © 2016 Elsevier B.V. All rights reserved.

  6. System-level perturbations of cell metabolism using CRISPR/Cas9

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jakočiūnas, Tadas; Jensen, Michael K.; Keasling, Jay D.

    CRISPR/Cas9 (clustered regularly interspaced palindromic repeats and the associated protein Cas9) techniques have made genome engineering and transcriptional reprogramming studies much more advanced and cost-effective. For metabolic engineering purposes, the CRISPR-based tools have been applied to single and multiplex pathway modifications and transcriptional regulations. The effectiveness of these tools allows researchers to implement genome-wide perturbations, test model-guided genome editing strategies, and perform transcriptional reprogramming perturbations in a more advanced manner than previously possible. In this mini-review we highlight recent studies adopting CRISPR/Cas9 for systems-level perturbations and model-guided metabolic engineering.

  7. Fragmentation of the CRISPR-Cas Type I-B signature protein Cas8b.

    PubMed

    Richter, Hagen; Rompf, Judith; Wiegel, Julia; Rau, Kristina; Randau, Lennart

    2017-11-01

    CRISPR arrays are transcribed into long precursor RNA species, which are further processed into mature CRISPR RNAs (crRNAs). Cas proteins utilize these crRNAs, which contain spacer sequences that can be derived from mobile genetic elements, to mediate immunity during a reoccurring virus infection. Type I CRISPR-Cas systems are defined by the presence of different Cascade interference complexes containing large and small subunits that play major roles during target DNA selection. Here, we produce the protein and crRNA components of the Type I-B CRISPR-Cas complex of Clostridium thermocellum and Methanococcus maripaludis. The C. thermocellum Cascade complexes were reconstituted and analyzed via size-exclusion chromatography. Activity of the heterologous M. maripaludis CRISPR-Cas system was followed using phage lambda plaques assays. The reconstituted Type-I-B Cascade complex contains Cas7, Cas5, Cas6b and the large subunit Cas8b. Cas6b can be omitted from the reconstitution protocol. The large subunit Cas8b was found to be represented by two tightly associated protein fragments and a small C-terminal Cas8b segment was identified in recombinant complexes and C. thermocellum cell lysate. Production of Cas8b generates a small C-terminal fragment, which is suggested to fulfill the role of the missing small subunit. A heterologous, synthetic M. maripaludis Type I-B system is active in E. coli against phage lambda, highlighting a potential for genome editing using endogenous Type-I-B CRISPR-Cas machineries. This article is part of a Special Issue entitled "Biochemistry of Synthetic Biology - Recent Developments" Guest Editor: Dr. Ilka Heinemann and Dr. Patrick O'Donoghue. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Mobile Genetic Elements and Evolution of CRISPR-Cas Systems: All the Way There and Back.

    PubMed

    Koonin, Eugene V; Makarova, Kira S

    2017-10-01

    The Clustered Regularly Interspaced Palindromic Repeats (CRISPR)-CRISPR-associated proteins (Cas) systems of bacterial and archaeal adaptive immunity show multifaceted evolutionary relationships with at least five classes of mobile genetic elements (MGE). First, the adaptation module of CRISPR-Cas that is responsible for the formation of the immune memory apparently evolved from a Casposon, a self-synthesizing transposon that employs the Cas1 protein as the integrase and might have brought additional cas genes to the emerging immunity loci. Second, a large subset of type III CRISPR-Cas systems recruited a reverse transcriptase from a Group II intron, providing for spacer acquisition from RNA. Third, effector nucleases of Class 2 CRISPR-Cas systems that are responsible for the recognition and cleavage of the target DNA were derived from transposon-encoded TnpB nucleases, most likely, on several independent occasions. Fourth, accessory nucleases in some variants of types I and III toxin and type VI effectors RNases appear to be ultimately derived from toxin nucleases of microbial toxin-antitoxin modules. Fifth, the opposite direction of evolution is manifested in the recruitment of CRISPR-Cas systems by a distinct family of Tn7-like transposons that probably exploit the capacity of CRISPR-Cas to recognize unique DNA sites to facilitate transposition as well as by bacteriophages that employ them to cope with host defense. Additionally, individual Cas proteins, such as the Cas4 nuclease, were recruited by bacteriophages and transposons. The two-sided evolutionary connection between CRISPR-Cas and MGE fits the "guns for hire" paradigm whereby homologous enzymatic machineries, in particular nucleases, are shuttled between MGE and defense systems and are used alternately as means of offense or defense. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution 2017. This work is written by US Government employees and is in the public

  9. Mobile Genetic Elements and Evolution of CRISPR-Cas Systems: All the Way There and Back

    PubMed Central

    Makarova, Kira S.

    2017-01-01

    Abstract The Clustered Regularly Interspaced Palindromic Repeats (CRISPR)-CRISPR-associated proteins (Cas) systems of bacterial and archaeal adaptive immunity show multifaceted evolutionary relationships with at least five classes of mobile genetic elements (MGE). First, the adaptation module of CRISPR-Cas that is responsible for the formation of the immune memory apparently evolved from a Casposon, a self-synthesizing transposon that employs the Cas1 protein as the integrase and might have brought additional cas genes to the emerging immunity loci. Second, a large subset of type III CRISPR-Cas systems recruited a reverse transcriptase from a Group II intron, providing for spacer acquisition from RNA. Third, effector nucleases of Class 2 CRISPR-Cas systems that are responsible for the recognition and cleavage of the target DNA were derived from transposon-encoded TnpB nucleases, most likely, on several independent occasions. Fourth, accessory nucleases in some variants of types I and III toxin and type VI effectors RNases appear to be ultimately derived from toxin nucleases of microbial toxin–antitoxin modules. Fifth, the opposite direction of evolution is manifested in the recruitment of CRISPR-Cas systems by a distinct family of Tn7-like transposons that probably exploit the capacity of CRISPR-Cas to recognize unique DNA sites to facilitate transposition as well as by bacteriophages that employ them to cope with host defense. Additionally, individual Cas proteins, such as the Cas4 nuclease, were recruited by bacteriophages and transposons. The two-sided evolutionary connection between CRISPR-Cas and MGE fits the “guns for hire” paradigm whereby homologous enzymatic machineries, in particular nucleases, are shuttled between MGE and defense systems and are used alternately as means of offense or defense. PMID:28985291

  10. Application of CRISPR/Cas9 system in breeding of new antiviral plant germplasm.

    PubMed

    Zhang, Dao-wei; Zhang, Chao-fan; Dong, Fang; Huang, Yan-lan; Zhang, Ya; Zhou, Hong

    2016-09-01

    With the development and improvement of CRISPR/Cas9 system in genomic editing technology, the system has been applied to the prevention and control of animal viral infectious diseases, which has made considerable achievements. It has also been applied to the study of highly efficient gene targeting editing in plant virus genomes. The CRISPR/Cas9-mediated targeted gene modification has not only achieved the genome editing of plant DNA virus, but also showed the genome editing potential of plant RNA virus. In addition, the CRISPR/Cas9 system functions at the gene transcriptional and post-transcriptional level, indicating that the system could regulate the replication of plant viruses through different ways. Compared with other plant viral disease control strategies, this system is more accurate in genome editing, more stable in gene expression regulation, and has broader spectrum of resistance to virus disease. In this review, we summarized the advantages, main problems and development tendency of CRISPR/cas9 system in breeding of new antiviral plant germplasms.

  11. Repurposing endogenous type I CRISPR-Cas systems for programmable gene repression

    PubMed Central

    Luo, Michelle L.; Mullis, Adam S.; Leenay, Ryan T.; Beisel, Chase L.

    2015-01-01

    CRISPR-Cas systems have shown tremendous promise as heterologous tools for genome editing and transcriptional regulation. Because these RNA-directed immune systems are found in most prokaryotes, an opportunity exists to harness the endogenous systems as convenient tools in these organisms. Here, we report that the Type I-E CRISPR-Cas system in Escherichia coli can be co-opted for programmable transcriptional repression. We found that deletion of the signature cas3 gene converted this immune system into a programmable gene regulator capable of reversible gene silencing of heterologous and endogenous genes. Targeting promoter regions yielded the strongest repression, whereas targeting coding regions showed consistent strand bias. Furthermore, multi-targeting CRISPR arrays could generate complex phenotypes. This strategy offers a simple approach to convert many endogenous Type I systems into transcriptional regulators, thereby expanding the available toolkit for CRISPR-mediated genetic control while creating new opportunities for genome-wide screens and pathway engineering. PMID:25326321

  12. Targeted activation of diverse CRISPR-Cas systems for mammalian genome editing via proximal CRISPR targeting.

    PubMed

    Chen, Fuqiang; Ding, Xiao; Feng, Yongmei; Seebeck, Timothy; Jiang, Yanfang; Davis, Gregory D

    2017-04-07

    Bacterial CRISPR-Cas systems comprise diverse effector endonucleases with different targeting ranges, specificities and enzymatic properties, but many of them are inactive in mammalian cells and are thus precluded from genome-editing applications. Here we show that the type II-B FnCas9 from Francisella novicida possesses novel properties, but its nuclease function is frequently inhibited at many genomic loci in living human cells. Moreover, we develop a proximal CRISPR (termed proxy-CRISPR) targeting method that restores FnCas9 nuclease activity in a target-specific manner. We further demonstrate that this proxy-CRISPR strategy is applicable to diverse CRISPR-Cas systems, including type II-C Cas9 and type V Cpf1 systems, and can facilitate precise gene editing even between identical genomic sites within the same genome. Our findings provide a novel strategy to enable use of diverse otherwise inactive CRISPR-Cas systems for genome-editing applications and a potential path to modulate the impact of chromatin microenvironments on genome modification.

  13. Targeted activation of diverse CRISPR-Cas systems for mammalian genome editing via proximal CRISPR targeting

    PubMed Central

    Chen, Fuqiang; Ding, Xiao; Feng, Yongmei; Seebeck, Timothy; Jiang, Yanfang; Davis, Gregory D.

    2017-01-01

    Bacterial CRISPR–Cas systems comprise diverse effector endonucleases with different targeting ranges, specificities and enzymatic properties, but many of them are inactive in mammalian cells and are thus precluded from genome-editing applications. Here we show that the type II-B FnCas9 from Francisella novicida possesses novel properties, but its nuclease function is frequently inhibited at many genomic loci in living human cells. Moreover, we develop a proximal CRISPR (termed proxy-CRISPR) targeting method that restores FnCas9 nuclease activity in a target-specific manner. We further demonstrate that this proxy-CRISPR strategy is applicable to diverse CRISPR–Cas systems, including type II-C Cas9 and type V Cpf1 systems, and can facilitate precise gene editing even between identical genomic sites within the same genome. Our findings provide a novel strategy to enable use of diverse otherwise inactive CRISPR–Cas systems for genome-editing applications and a potential path to modulate the impact of chromatin microenvironments on genome modification. PMID:28387220

  14. Advances in Engineering the Fly Genome with the CRISPR-Cas System

    PubMed Central

    Bier, Ethan; Harrison, Melissa M.; O’Connor-Giles, Kate M.; Wildonger, Jill

    2018-01-01

    Drosophila has long been a premier model for the development and application of cutting-edge genetic approaches. The CRISPR-Cas system now adds the ability to manipulate the genome with ease and precision, providing a rich toolbox to interrogate relationships between genotype and phenotype, to delineate and visualize how the genome is organized, to illuminate and manipulate RNA, and to pioneer new gene drive technologies. Myriad transformative approaches have already originated from the CRISPR-Cas system, which will likely continue to spark the creation of tools with diverse applications. Here, we provide an overview of how CRISPR-Cas gene editing has revolutionized genetic analysis in Drosophila and highlight key areas for future advances. PMID:29301946

  15. RNA virus interference via CRISPR/Cas13a system in plants.

    PubMed

    Aman, Rashid; Ali, Zahir; Butt, Haroon; Mahas, Ahmed; Aljedaani, Fatimah; Khan, Muhammad Zuhaib; Ding, Shouwei; Mahfouz, Magdy

    2018-01-04

    CRISPR/Cas systems confer immunity against invading nucleic acids and phages in bacteria and archaea. CRISPR/Cas13a (known previously as C2c2) is a class 2 type VI-A ribonuclease capable of targeting and cleaving single-stranded RNA (ssRNA) molecules of the phage genome. Here, we employ CRISPR/Cas13a to engineer interference with an RNA virus, Turnip Mosaic Virus (TuMV), in plants. CRISPR/Cas13a produces interference against green fluorescent protein (GFP)-expressing TuMV in transient assays and stable overexpression lines of Nicotiana benthamiana. CRISPR RNA (crRNAs) targeting the HC-Pro and GFP sequences exhibit better interference than those targeting other regions such as coat protein (CP) sequence. Cas13a can also process pre-crRNAs into functional crRNAs. Our data indicate that CRISPR/Cas13a can be used for engineering interference against RNA viruses, providing a potential novel mechanism for RNA-guided immunity against RNA viruses and for other RNA manipulations in plants.

  16. Targeted mutagenesis in Zea mays using TALENs and the CRISPR/Cas system.

    PubMed

    Liang, Zhen; Zhang, Kang; Chen, Kunling; Gao, Caixia

    2014-02-20

    Transcription activator-like effector nucleases (TALENs) and clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) systems have emerged as powerful tools for genome editing in a variety of species. Here, we report, for the first time, targeted mutagenesis in Zea mays using TALENs and the CRISPR/Cas system. We designed five TALENs targeting 4 genes, namely ZmPDS, ZmIPK1A, ZmIPK, ZmMRP4, and obtained targeting efficiencies of up to 23.1% in protoplasts, and about 13.3% to 39.1% of the transgenic plants were somatic mutations. Also, we constructed two gRNAs targeting the ZmIPK gene in maize protoplasts, at frequencies of 16.4% and 19.1%, respectively. In addition, the CRISPR/Cas system induced targeted mutations in Z. mays protoplasts with efficiencies (13.1%) similar to those obtained with TALENs (9.1%). Our results show that both TALENs and the CRISPR/Cas system can be used for genome modification in maize. Copyright © 2013. Published by Elsevier Ltd.

  17. Multiple homologous genes knockout (KO) by CRISPR/Cas9 system in rabbit.

    PubMed

    Liu, Huan; Sui, Tingting; Liu, Di; Liu, Tingjun; Chen, Mao; Deng, Jichao; Xu, Yuanyuan; Li, Zhanjun

    2018-03-20

    The CRISPR/Cas9 system is a highly efficient and convenient genome editing tool, which has been widely used for single or multiple gene mutation in a variety of organisms. Disruption of multiple homologous genes, which have similar DNA sequences and gene function, is required for the study of the desired phenotype. In this study, to test whether the CRISPR/Cas9 system works on the mutation of multiple homologous genes, a single guide RNA (sgRNA) targeting three fucosyltransferases encoding genes (FUT1, FUT2 and SEC1) was designed. As expected, triple gene mutation of FUT1, FUT2 and SEC1 could be achieved simultaneously via a sgRNA mediated CRISPR/Cas9 system. Besides, significantly reduced serum fucosyltransferases enzymes activity was also determined in those triple gene mutation rabbits. Thus, we provide the first evidence that multiple homologous genes knockout (KO) could be achieved efficiently by a sgRNA mediated CRISPR/Cas9 system in mammals, which could facilitate the genotype to phenotype studies of homologous genes in future. Copyright © 2018 Elsevier B.V. All rights reserved.

  18. The role of CRISPR-Cas systems in virulence of pathogenic bacteria.

    PubMed

    Louwen, Rogier; Staals, Raymond H J; Endtz, Hubert P; van Baarlen, Peter; van der Oost, John

    2014-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) genes are present in many bacterial and archaeal genomes. Since the discovery of the typical CRISPR loci in the 1980s, well before their physiological role was revealed, their variable sequences have been used as a complementary typing tool in diagnostic, epidemiologic, and evolutionary analyses of prokaryotic strains. The discovery that CRISPR spacers are often identical to sequence fragments of mobile genetic elements was a major breakthrough that eventually led to the elucidation of CRISPR-Cas as an adaptive immunity system. Key elements of this unique prokaryotic defense system are small CRISPR RNAs that guide nucleases to complementary target nucleic acids of invading viruses and plasmids, generally followed by the degradation of the invader. In addition, several recent studies have pointed at direct links of CRISPR-Cas to regulation of a range of stress-related phenomena. An interesting example concerns a pathogenic bacterium that possesses a CRISPR-associated ribonucleoprotein complex that may play a dual role in defense and/or virulence. In this review, we describe recently reported cases of potential involvement of CRISPR-Cas systems in bacterial stress responses in general and bacterial virulence in particular.

  19. The CRISPR/Cas9 system sheds new lights on the biology of protozoan parasites.

    PubMed

    Grzybek, Maciej; Golonko, Aleksandra; Górska, Aleksandra; Szczepaniak, Klaudiusz; Strachecka, Aneta; Lass, Anna; Lisowski, Paweł

    2018-06-01

    The CRISPR/Cas9 system, a natural defence system of bacterial organisms, has recently been used to modify genomes of the most important protozoa parasites. Successful genome manipulations with the CRISPR/Cas9 system are changing the present view of genetics in parasitology. The application of this system offers a major chance to overcome the current restriction in culturing, maintaining and analysing protozoan parasites, and allows dynamic analysis of parasite genes functions, leading to a better understanding of pathogenesis. CRISPR/Cas9 system will have a significant influence on the process of developing novel drugs and treatment strategies against protozoa parasites.

  20. A novel sgRNA selection system for CRISPR-Cas9 in mammalian cells.

    PubMed

    Zhang, Haiwei; Zhang, Xixi; Fan, Cunxian; Xie, Qun; Xu, Chengxian; Zhao, Qun; Liu, Yongbo; Wu, Xiaoxia; Zhang, Haibing

    2016-03-18

    CRISPR-Cas9 mediated genome editing system has been developed as a powerful tool for elucidating the function of genes through genetic engineering in multiple cells and organisms. This system takes advantage of a single guide RNA (sgRNA) to direct the Cas9 endonuclease to a specific DNA site to generate mutant alleles. Since the targeting efficiency of sgRNAs to distinct DNA loci can vary widely, there remains a need for a rapid, simple and efficient sgRNA selection method to overcome this limitation of the CRISPR-Cas9 system. Here we report a novel system to select sgRNA with high efficacy for DNA sequence modification by a luciferase assay. Using this sgRNAs selection system, we further demonstrated successful examples of one sgRNA for generating one gene knockout cell lines where the targeted genes are shown to be functionally defective. This system provides a potential application to optimize the sgRNAs in different species and to generate a powerful CRISPR-Cas9 genome-wide screening system with minimum amounts of sgRNAs. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. The Role of CRISPR-Cas Systems in Virulence of Pathogenic Bacteria

    PubMed Central

    Staals, Raymond H. J.; Endtz, Hubert P.; van Baarlen, Peter; van der Oost, John

    2014-01-01

    SUMMARY Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) genes are present in many bacterial and archaeal genomes. Since the discovery of the typical CRISPR loci in the 1980s, well before their physiological role was revealed, their variable sequences have been used as a complementary typing tool in diagnostic, epidemiologic, and evolutionary analyses of prokaryotic strains. The discovery that CRISPR spacers are often identical to sequence fragments of mobile genetic elements was a major breakthrough that eventually led to the elucidation of CRISPR-Cas as an adaptive immunity system. Key elements of this unique prokaryotic defense system are small CRISPR RNAs that guide nucleases to complementary target nucleic acids of invading viruses and plasmids, generally followed by the degradation of the invader. In addition, several recent studies have pointed at direct links of CRISPR-Cas to regulation of a range of stress-related phenomena. An interesting example concerns a pathogenic bacterium that possesses a CRISPR-associated ribonucleoprotein complex that may play a dual role in defense and/or virulence. In this review, we describe recently reported cases of potential involvement of CRISPR-Cas systems in bacterial stress responses in general and bacterial virulence in particular. PMID:24600041

  2. An Attenuated CRISPR-Cas System in Enterococcus faecalis Permits DNA Acquisition

    PubMed Central

    Hullahalli, Karthik; Rodrigues, Marinelle; Nguyen, Uyen Thy

    2018-01-01

    ABSTRACT Antibiotic-resistant bacteria are critical public health concerns. Among the prime causative factors for the spread of antibiotic resistance is horizontal gene transfer (HGT). A useful model organism for investigating the relationship between HGT and antibiotic resistance is the opportunistic pathogen Enterococcus faecalis, since the species possesses highly conjugative plasmids that readily disseminate antibiotic resistance genes and virulence factors in nature. Unlike many commensal E. faecalis strains, the genomes of multidrug-resistant (MDR) E. faecalis clinical isolates are enriched for mobile genetic elements (MGEs) and lack clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated protein (Cas) genome defense systems. CRISPR-Cas systems cleave foreign DNA in a programmable, sequence-specific manner and are disadvantageous for MGE-derived genome expansion. An unexplored facet of CRISPR biology in E. faecalis is that MGEs that are targeted by native CRISPR-Cas systems can be maintained transiently. Here, we investigate the basis for this “CRISPR tolerance.” We observe that E. faecalis can maintain self-targeting constructs that direct Cas9 to cleave the chromosome, but at a fitness cost. Interestingly, DNA repair genes were not upregulated during self-targeting, but integrated prophages were strongly induced. We determined that low cas9 expression contributes to this transient nonlethality and used this knowledge to develop a robust CRISPR-assisted genome-editing scheme. Our results suggest that E. faecalis has maximized the potential for DNA acquisition by attenuating its CRISPR machinery, thereby facilitating the acquisition of potentially beneficial MGEs that may otherwise be restricted by genome defense. PMID:29717009

  3. Type III CRISPR-Cas systems can provide redundancy to counteract viral escape from type I systems.

    PubMed

    Silas, Sukrit; Lucas-Elio, Patricia; Jackson, Simon A; Aroca-Crevillén, Alejandra; Hansen, Loren L; Fineran, Peter C; Fire, Andrew Z; Sánchez-Amat, Antonio

    2017-08-17

    CRISPR-Cas-mediated defense utilizes information stored as spacers in CRISPR arrays to defend against genetic invaders. We define the mode of target interference and role in antiviral defense for two CRISPR-Cas systems in Marinomonas mediterranea . One system (type I-F) targets DNA. A second system (type III-B) is broadly capable of acquiring spacers in either orientation from RNA and DNA, and exhibits transcription-dependent DNA interference. Examining resistance to phages isolated from Mediterranean seagrass meadows, we found that the type III-B machinery co-opts type I-F CRISPR-RNAs. Sequencing and infectivity assessments of related bacterial and phage strains suggests an 'arms race' in which phage escape from the type I-F system can be overcome through use of type I-F spacers by a horizontally-acquired type III-B system. We propose that the phage-host arms race can drive selection for horizontal uptake and maintenance of promiscuous type III interference modules that supplement existing host type I CRISPR-Cas systems.

  4. Naturally occurring off-switches for CRISPR-Cas9

    PubMed Central

    Pawluk, April; Amrani, Nadia; Zhang, Yan; Garcia, Bianca; Hidalgo-Reyes, Yurima; Lee, Jooyoung; Edraki, Alireza; Shah, Megha; Sontheimer, Erik J.; Maxwell, Karen L.; Davidson, Alan R.

    2017-01-01

    Summary CRISPR-Cas9 technology would be enhanced by the ability to inhibit Cas9 function spatially, temporally, or conditionally. Previously, we discovered small proteins encoded by bacteriophages that inhibit the CRISPR-Cas systems of their host bacteria. These “anti-CRISPRs” were specific to type I CRISPR-Cas systems that do not employ the Cas9 protein. We posited that nature would also yield Cas9 inhibitors in response to the evolutionary arms race between bacteriophages and their hosts. Here, we report the discovery of three distinct families of anti-CRISPRs that specifically inhibit the CRISPR-Cas9 system of Neisseria meningitidis. We show that these proteins bind directly to N. meningitidis Cas9 (NmeCas9), and can be used as potent inhibitors of genome editing by this system in human cells. These anti-CRISPR proteins now enable “off-switches” for CRISPR-Cas9 activity, and provide a genetically-encodable means to inhibit CRISPR-Cas9 genome editing in eukaryotes. PMID:27984730

  5. CRISPR-Cas: Adapting to change.

    PubMed

    Jackson, Simon A; McKenzie, Rebecca E; Fagerlund, Robert D; Kieper, Sebastian N; Fineran, Peter C; Brouns, Stan J J

    2017-04-07

    Bacteria and archaea are engaged in a constant arms race to defend against the ever-present threats of viruses and invasion by mobile genetic elements. The most flexible weapons in the prokaryotic defense arsenal are the CRISPR-Cas adaptive immune systems. These systems are capable of selective identification and neutralization of foreign DNA and/or RNA. CRISPR-Cas systems rely on stored genetic memories to facilitate target recognition. Thus, to keep pace with a changing pool of hostile invaders, the CRISPR memory banks must be regularly updated with new information through a process termed CRISPR adaptation. In this Review, we outline the recent advances in our understanding of the molecular mechanisms governing CRISPR adaptation. Specifically, the conserved protein machinery Cas1-Cas2 is the cornerstone of adaptive immunity in a range of diverse CRISPR-Cas systems. Copyright © 2017, American Association for the Advancement of Science.

  6. A newly discovered Bordetella species carries a transcriptionally active CRISPR-Cas with a small Cas9 endonuclease.

    PubMed

    Ivanov, Yury V; Shariat, Nikki; Register, Karen B; Linz, Bodo; Rivera, Israel; Hu, Kai; Dudley, Edward G; Harvill, Eric T

    2015-10-26

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated genes (cas) are widely distributed among bacteria. These systems provide adaptive immunity against mobile genetic elements specified by the spacer sequences stored within the CRISPR. The CRISPR-Cas system has been identified using Basic Local Alignment Search Tool (BLAST) against other sequenced and annotated genomes and confirmed via CRISPRfinder program. Using Polymerase Chain Reactions (PCR) and Sanger DNA sequencing, we discovered CRISPRs in additional bacterial isolates of the same species of Bordetella. Transcriptional activity and processing of the CRISPR have been assessed via RT-PCR. Here we describe a novel Type II-C CRISPR and its associated genes-cas1, cas2, and cas9-in several isolates of a newly discovered Bordetella species. The CRISPR-cas locus, which is absent in all other Bordetella species, has a significantly lower GC-content than the genome-wide average, suggesting acquisition of this locus via horizontal gene transfer from a currently unknown source. The CRISPR array is transcribed and processed into mature CRISPR RNAs (crRNA), some of which have homology to prophages found in closely related species B. hinzii. Expression of the CRISPR-Cas system and processing of crRNAs with perfect homology to prophages present in closely related species, but absent in that containing this CRISPR-Cas system, suggest it provides protection against phage predation. The 3,117-bp cas9 endonuclease gene from this novel CRISPR-Cas system is 990 bp smaller than that of Streptococcus pyogenes, the 4,017-bp allele currently used for genome editing, and which may make it a useful tool in various CRISPR-Cas technologies.

  7. [Chromosomal large fragment deletion induced by CRISPR/Cas9 gene editing system].

    PubMed

    Cheng, L H; Liu, Y; Niu, T

    2017-05-14

    Objective: Using CRISPR-Cas9 gene editing technology to achieve a number of genes co-deletion on the same chromosome. Methods: CRISPR-Cas9 lentiviral plasmid that could induce deletion of Aloxe3-Alox12b-Alox8 cluster genes located on mouse 11B3 chromosome was constructed via molecular clone. HEK293T cells were transfected to package lentivirus of CRISPR or Cas9 cDNA, then mouse NIH3T3 cells were infected by lentivirus and genomic DNA of these cells was extracted. The deleted fragment was amplified by PCR, TA clone, Sanger sequencing and other techniques were used to confirm the deletion of Aloxe3-Alox12b-Alox8 cluster genes. Results: The CRISPR-Cas9 lentiviral plasmid, which could induce deletion of Aloxe3-Alox12b-Alox8 cluster genes, was successfully constructed. Deletion of target chromosome fragment (Aloxe3-Alox12b-Alox8 cluster genes) was verified by PCR. The deletion of Aloxe3-Alox12b-Alox8 cluster genes was affirmed by TA clone, Sanger sequencing, and the breakpoint junctions of the CRISPR-Cas9 system mediate cutting events were accurately recombined, insertion mutation did not occur between two cleavage sites at all. Conclusion: Large fragment deletion of Aloxe3-Alox12b-Alox8 cluster genes located on mouse chromosome 11B3 was successfully induced by CRISPR-Cas9 gene editing system.

  8. Therapeutic genome engineering via CRISPR-Cas systems.

    PubMed

    Moreno, Ana M; Mali, Prashant

    2017-07-01

    Differences in genomes underlie most organismal diversity, and aberrations in genomes underlie many disease states. With the growing knowledge of the genetic and pathogenic basis of human disease, development of safe and efficient platforms for genome and epigenome engineering will transform our ability to therapeutically target human diseases and also potentially engineer disease resistance. In this regard, the recent advent of clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) RNA-guided nuclease systems have transformed our ability to target nucleic acids. Here we review therapeutic genome engineering applications with a specific focus on the CRISPR-Cas toolsets. We summarize past and current work, and also outline key challenges and future directions. WIREs Syst Biol Med 2017, 9:e1380. doi: 10.1002/wsbm.1380 For further resources related to this article, please visit the WIREs website. © 2017 Wiley Periodicals, Inc.

  9. Chromosomal targeting by CRISPR-Cas systems can contribute to genome plasticity in bacteria

    PubMed Central

    Dy, Ron L; Pitman, Andrew R; Fineran, Peter C

    2013-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) and their associated (Cas) proteins form adaptive immune systems in bacteria to combat phage and other foreign genetic elements. Typically, short spacer sequences are acquired from the invader DNA and incorporated into CRISPR arrays in the bacterial genome. Small RNAs are generated that contain these spacer sequences and enable sequence-specific destruction of the foreign nucleic acids. Occasionally, spacers are acquired from the chromosome, which instead leads to targeting of the host genome. Chromosomal targeting is highly toxic to the bacterium, providing a strong selective pressure for a variety of evolutionary routes that enable host cell survival. Mutations that inactivate the CRISPR-Cas functionality, such as within the cas genes, CRISPR repeat, protospacer adjacent motifs (PAM), and target sequence, mediate escape from toxicity. This self-targeting might provide some explanation for the incomplete distribution of CRISPR-Cas systems in less than half of sequenced bacterial genomes. More importantly, self-genome targeting can cause large-scale genomic alterations, including remodeling or deletion of pathogenicity islands and other non-mobile chromosomal regions. While control of horizontal gene transfer is perceived as their main function, our recent work illuminates an alternative role of CRISPR-Cas systems in causing host genomic changes and influencing bacterial evolution. PMID:24251073

  10. Chromosomal Targeting by the Type III-A CRISPR-Cas System Can Reshape Genomes in Staphylococcus aureus

    PubMed Central

    Guan, Jing; Wang, Wanying

    2017-01-01

    ABSTRACT CRISPR-Cas (clustered regularly interspaced short palindromic repeat [CRISPR]-CRISPR-associated protein [Cas]) systems can provide protection against invading genetic elements by using CRISPR RNAs (crRNAs) as a guide to locate and degrade the target DNA. CRISPR-Cas systems have been classified into two classes and five types according to the content of cas genes. Previous studies have indicated that CRISPR-Cas systems can avoid viral infection and block plasmid transfer. Here we show that chromosomal targeting by the Staphylococcus aureus type III-A CRISPR-Cas system can drive large-scale genome deletion and alteration within integrated staphylococcal cassette chromosome mec (SCCmec). The targeting activity of the CRISPR-Cas system is associated with the complementarity between crRNAs and protospacers, and 10- to 13-nucleotide truncations of spacers partially block CRISPR attack and more than 13-nucleotide truncation can fully abolish targeting, suggesting that a minimal length is required to license cleavage. Avoiding base pairings in the upstream region of protospacers is also necessary for CRISPR targeting. Successive trinucleotide complementarity between the 5′ tag of crRNAs and protospacers can disrupt targeting. Our findings reveal that type III-A CRISPR-Cas systems can modulate bacterial genome stability and may serve as a high-efficiency tool for deleting resistance or virulence genes in bacteria. IMPORTANCE Staphylococcus aureus is a pathogen that can cause a wide range of infections in humans. Studies have suggested that CRISPR-Cas systems can drive the loss of integrated mobile genetic elements (MGEs) by chromosomal targeting. Here we demonstrate that CRISPR-mediated cleavage contributes to the partial deletion of integrated SCCmec in methicillin-resistant S. aureus (MRSA), which provides a strategy for the treatment of MRSA infections. The spacer within artificial CRISPR arrays should contain more than 25 nucleotides for immunity, and

  11. Chromosomal Targeting by the Type III-A CRISPR-Cas System Can Reshape Genomes in Staphylococcus aureus.

    PubMed

    Guan, Jing; Wang, Wanying; Sun, Baolin

    2017-01-01

    CRISPR-Cas (clustered regularly interspaced short palindromic repeat [CRISPR]-CRISPR-associated protein [Cas]) systems can provide protection against invading genetic elements by using CRISPR RNAs (crRNAs) as a guide to locate and degrade the target DNA. CRISPR-Cas systems have been classified into two classes and five types according to the content of cas genes. Previous studies have indicated that CRISPR-Cas systems can avoid viral infection and block plasmid transfer. Here we show that chromosomal targeting by the Staphylococcus aureus type III-A CRISPR-Cas system can drive large-scale genome deletion and alteration within integrated staphylococcal cassette chromosome mec (SCC mec ). The targeting activity of the CRISPR-Cas system is associated with the complementarity between crRNAs and protospacers, and 10- to 13-nucleotide truncations of spacers partially block CRISPR attack and more than 13-nucleotide truncation can fully abolish targeting, suggesting that a minimal length is required to license cleavage. Avoiding base pairings in the upstream region of protospacers is also necessary for CRISPR targeting. Successive trinucleotide complementarity between the 5' tag of crRNAs and protospacers can disrupt targeting. Our findings reveal that type III-A CRISPR-Cas systems can modulate bacterial genome stability and may serve as a high-efficiency tool for deleting resistance or virulence genes in bacteria. IMPORTANCE Staphylococcus aureus is a pathogen that can cause a wide range of infections in humans. Studies have suggested that CRISPR-Cas systems can drive the loss of integrated mobile genetic elements (MGEs) by chromosomal targeting. Here we demonstrate that CRISPR-mediated cleavage contributes to the partial deletion of integrated SCC mec in methicillin-resistant S. aureus (MRSA), which provides a strategy for the treatment of MRSA infections. The spacer within artificial CRISPR arrays should contain more than 25 nucleotides for immunity, and consecutive

  12. Naturally Occurring Off-Switches for CRISPR-Cas9.

    PubMed

    Pawluk, April; Amrani, Nadia; Zhang, Yan; Garcia, Bianca; Hidalgo-Reyes, Yurima; Lee, Jooyoung; Edraki, Alireza; Shah, Megha; Sontheimer, Erik J; Maxwell, Karen L; Davidson, Alan R

    2016-12-15

    CRISPR-Cas9 technology would be enhanced by the ability to inhibit Cas9 function spatially, temporally, or conditionally. Previously, we discovered small proteins encoded by bacteriophages that inhibit the CRISPR-Cas systems of their host bacteria. These "anti-CRISPRs" were specific to type I CRISPR-Cas systems that do not employ the Cas9 protein. We posited that nature would also yield Cas9 inhibitors in response to the evolutionary arms race between bacteriophages and their hosts. Here, we report the discovery of three distinct families of anti-CRISPRs that specifically inhibit the CRISPR-Cas9 system of Neisseria meningitidis. We show that these proteins bind directly to N. meningitidis Cas9 (NmeCas9) and can be used as potent inhibitors of genome editing by this system in human cells. These anti-CRISPR proteins now enable "off-switches" for CRISPR-Cas9 activity and provide a genetically encodable means to inhibit CRISPR-Cas9 genome editing in eukaryotes. VIDEO ABSTRACT. Copyright © 2016 Elsevier Inc. All rights reserved.

  13. Gene Editing and Crop Improvement Using CRISPR-Cas9 System

    PubMed Central

    Arora, Leena; Narula, Alka

    2017-01-01

    Advancements in Genome editing technologies have revolutionized the fields of functional genomics and crop improvement. CRISPR/Cas9 (clustered regularly interspaced short palindromic repeat)-Cas9 is a multipurpose technology for genetic engineering that relies on the complementarity of the guideRNA (gRNA) to a specific sequence and the Cas9 endonuclease activity. It has broadened the agricultural research area, bringing in new opportunities to develop novel plant varieties with deletion of detrimental traits or addition of significant characters. This RNA guided genome editing technology is turning out to be a groundbreaking innovation in distinct branches of plant biology. CRISPR technology is constantly advancing including options for various genetic manipulations like generating knockouts; making precise modifications, multiplex genome engineering, and activation and repression of target genes. The review highlights the progression throughout the CRISPR legacy. We have studied the rapid evolution of CRISPR/Cas9 tools with myriad functionalities, capabilities, and specialized applications. Among varied diligences, plant nutritional improvement, enhancement of plant disease resistance and production of drought tolerant plants are reviewed. The review also includes some information on traditional delivery methods of Cas9-gRNA complexes into plant cells and incorporates the advent of CRISPR ribonucleoproteins (RNPs) that came up as a solution to various limitations that prevailed with plasmid-based CRISPR system. PMID:29167680

  14. Gene Editing and Crop Improvement Using CRISPR-Cas9 System.

    PubMed

    Arora, Leena; Narula, Alka

    2017-01-01

    Advancements in Genome editing technologies have revolutionized the fields of functional genomics and crop improvement. CRISPR/Cas9 (clustered regularly interspaced short palindromic repeat)-Cas9 is a multipurpose technology for genetic engineering that relies on the complementarity of the guideRNA (gRNA) to a specific sequence and the Cas9 endonuclease activity. It has broadened the agricultural research area, bringing in new opportunities to develop novel plant varieties with deletion of detrimental traits or addition of significant characters. This RNA guided genome editing technology is turning out to be a groundbreaking innovation in distinct branches of plant biology. CRISPR technology is constantly advancing including options for various genetic manipulations like generating knockouts; making precise modifications, multiplex genome engineering, and activation and repression of target genes. The review highlights the progression throughout the CRISPR legacy. We have studied the rapid evolution of CRISPR/Cas9 tools with myriad functionalities, capabilities, and specialized applications. Among varied diligences, plant nutritional improvement, enhancement of plant disease resistance and production of drought tolerant plants are reviewed. The review also includes some information on traditional delivery methods of Cas9-gRNA complexes into plant cells and incorporates the advent of CRISPR ribonucleoproteins (RNPs) that came up as a solution to various limitations that prevailed with plasmid-based CRISPR system.

  15. Multiplex gene editing of the Yarrowia lipolytica genome using the CRISPR-Cas9 system.

    PubMed

    Gao, Shuliang; Tong, Yangyang; Wen, Zhiqiang; Zhu, Li; Ge, Mei; Chen, Daijie; Jiang, Yu; Yang, Sheng

    2016-08-01

    Yarrowia lipolytica is categorized as a generally recognized as safe (GRAS) organism and is a heavily documented, unconventional yeast that has been widely incorporated into multiple industrial fields to produce valuable biochemicals. This study describes the construction of a CRISPR-Cas9 system for genome editing in Y. lipolytica using a single plasmid (pCAS1yl or pCAS2yl) to transport Cas9 and relevant guide RNA expression cassettes, with or without donor DNA, to target genes. Two Cas9 target genes, TRP1 and PEX10, were repaired by non-homologous end-joining (NHEJ) or homologous recombination, with maximal efficiencies in Y. lipolytica of 85.6 % for the wild-type strain and 94.1 % for the ku70/ku80 double-deficient strain, within 4 days. Simultaneous double and triple multigene editing was achieved with pCAS1yl by NHEJ, with efficiencies of 36.7 or 19.3 %, respectively, and the pCASyl system was successfully expanded to different Y. lipolytica breeding strains. This timesaving method will enable and improve synthetic biology, metabolic engineering and functional genomic studies of Y. lipolytica.

  16. Efficient gene editing in Corynebacterium glutamicum using the CRISPR/Cas9 system.

    PubMed

    Peng, Feng; Wang, Xinyue; Sun, Yang; Dong, Guibin; Yang, Yankun; Liu, Xiuxia; Bai, Zhonghu

    2017-11-14

    Corynebacterium glutamicum (C. glutamicum) has traditionally been used as a microbial cell factory for the industrial production of many amino acids and other industrially important commodities. C. glutamicum has recently been established as a host for recombinant protein expression; however, some intrinsic disadvantages could be improved by genetic modification. Gene editing techniques, such as deletion, insertion, or replacement, are important tools for modifying chromosomes. In this research, we report a CRISPR/Cas9 system in C. glutamicum for rapid and efficient genome editing, including gene deletion and insertion. The system consists of two plasmids: one containing a target-specific guide RNA and a homologous sequence to a target gene, the other expressing Cas9 protein. With high efficiency (up to 100%), this system was used to disrupt the porB, mepA, clpX and Ncgl0911 genes, which affect the ability to express proteins. The porB- and mepA-deletion strains had enhanced expression of green fluorescent protein, compared with the wild-type stain. This system can also be used to engineer point mutations and gene insertions. In this study, we adapted the CRISPR/Cas9 system from S. pyogens to gene deletion, point mutations and insertion in C. glutamicum. Compared with published genome modification methods, methods based on the CRISPR/Cas9 system can rapidly and efficiently achieve genome editing. Our research provides a powerful tool for facilitating the study of gene function, metabolic pathways, and enhanced productivity in C. glutamicum.

  17. Function of the CRISPR-Cas System of the Human Pathogen Clostridium difficile

    PubMed Central

    Boudry, Pierre; Semenova, Ekaterina; Monot, Marc; Datsenko, Kirill A.; Lopatina, Anna; Sekulovic, Ognjen; Ospina-Bedoya, Maicol; Fortier, Louis-Charles; Severinov, Konstantin; Dupuy, Bruno

    2015-01-01

    ABSTRACT Clostridium difficile is the cause of most frequently occurring nosocomial diarrhea worldwide. As an enteropathogen, C. difficile must be exposed to multiple exogenous genetic elements in bacteriophage-rich gut communities. CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems allow bacteria to adapt to foreign genetic invaders. Our recent data revealed active expression and processing of CRISPR RNAs from multiple type I-B CRISPR arrays in C. difficile reference strain 630. Here, we demonstrate active expression of CRISPR arrays in strain R20291, an epidemic C. difficile strain. Through genome sequencing and host range analysis of several new C. difficile phages and plasmid conjugation experiments, we provide evidence of defensive function of the CRISPR-Cas system in both C. difficile strains. We further demonstrate that C. difficile Cas proteins are capable of interference in a heterologous host, Escherichia coli. These data set the stage for mechanistic and physiological analyses of CRISPR-Cas-mediated interactions of important global human pathogen with its genetic parasites. PMID:26330515

  18. A ‘suicide’ CRISPR-Cas9 system to promote gene deletion and restoration by electroporation in Cryptococcus neoformans

    PubMed Central

    Wang, Yu; Wei, Dongsheng; Zhu, Xiangyang; Pan, Jiao; Zhang, Ping; Huo, Liang; Zhu, Xudong

    2016-01-01

    Loss-of-function mutagenesis is an important tool used to characterize gene functions, and the CRISPR-Cas9 system is a powerful method for performing targeted mutagenesis in organisms that present low recombination frequencies, such as the serotype D strains of Cryptococcus neoformans. However, when the CRISPR-Cas9 system persists in the host cells, off-target effects and Cas9 cytotoxicity may occur, which might block subsequent genetic manipulation. Here, we report a method of spontaneously eliminating the CRISPR-Cas9 system without impairing its robust editing function. We successfully expressed single guide RNA under the driver of an endogenous U6 promoter and the human codon-optimized Cas9 endonuclease with an ACT1 promoter. This system can effectively generate an indel mutation and efficiently perform targeted gene disruption via homology-directed repair by electroporation in yeast. We then demonstrated the spontaneous elimination of the system via a cis arrangement of the CRISPR-Cas9 expression cassettes to the recombination construct. After a system-mediated double crossover, the CRISPR-Cas9 cassettes were cleaved and degraded, which was validated by Southern blotting. This ‘suicide’ CRISPR-Cas9 system enables the validation of gene functions by subsequent complementation and has the potential to minimize off-target effects. Thus, this technique has the potential for use in functional genomics studies of C. neoformans. PMID:27503169

  19. Design of a CRISPR-Cas system to increase resistance of Bacillus subtilis to bacteriophage SPP1.

    PubMed

    Jakutyte-Giraitiene, Lina; Gasiunas, Giedrius

    2016-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR) together with CRISPR-associated (cas) genes form an adaptive prokaryotic immune system which provides acquired resistance against viruses and plasmids. Bacillus subtilis presently is the best-characterized laboratory model for Gram-positive bacteria and also widely used for industrial production of enzymes, vitamins and antibiotics. In this study, we show that type II-A CRISPR-Cas system from Streptococcus thermophilus can be transferred into B. subtilis and provides heterologous protection against phage infection. We engineered a heterologous host by cloning S. thermophilus Cas9 and a spacer targeting bacteriophage SPP1 into the chromosome of B. subtilis, which does not harbor its own CRISPR-Cas systems. We found that the heterologous CRISPR-Cas system is functionally active in B. subtilis and provides resistance against bacteriophage SPP1 infection. The high efficiency of the acquired immunity against phage could be useful in generation of biotechnologically important B. subtilis strains with engineered chromosomes.

  20. Major bacterial lineages are essentially devoid of CRISPR-Cas viral defence systems

    DOE PAGES

    Burstein, David; Sun, Christine L.; Brown, Christopher T.; ...

    2016-02-03

    Here, current understanding of microorganism–virus interactions, which shape the evolution and functioning of Earth’s ecosystems, is based primarily on cultivated organisms. Here we investigate thousands of viral and microbial genomes recovered using a cultivation independent approach to study the frequency, variety and taxonomic distribution of viral defence mechanisms. CRISPR-Cas systems that confer microorganisms with immunity to viruses are present in only 10% of 1,724 sampled microorganisms, compared with previous reports of 40% occurrence in bacteria and 81% in archaea. We attribute this large difference to the lack of CRISPR-Cas systems across major bacterial lineages that have no cultivated representatives. Wemore » correlate absence of CRISPR-Cas with lack of nucleotide biosynthesis capacity and a symbiotic lifestyle. Restriction systems are well represented in these lineages and might provide both non-specific viral defence and access to nucleotides.« less

  1. Major bacterial lineages are essentially devoid of CRISPR-Cas viral defence systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burstein, David; Sun, Christine L.; Brown, Christopher T.

    Here, current understanding of microorganism–virus interactions, which shape the evolution and functioning of Earth’s ecosystems, is based primarily on cultivated organisms. Here we investigate thousands of viral and microbial genomes recovered using a cultivation independent approach to study the frequency, variety and taxonomic distribution of viral defence mechanisms. CRISPR-Cas systems that confer microorganisms with immunity to viruses are present in only 10% of 1,724 sampled microorganisms, compared with previous reports of 40% occurrence in bacteria and 81% in archaea. We attribute this large difference to the lack of CRISPR-Cas systems across major bacterial lineages that have no cultivated representatives. Wemore » correlate absence of CRISPR-Cas with lack of nucleotide biosynthesis capacity and a symbiotic lifestyle. Restriction systems are well represented in these lineages and might provide both non-specific viral defence and access to nucleotides.« less

  2. Type III CRISPR-Cas systems can provide redundancy to counteract viral escape from type I systems

    PubMed Central

    Silas, Sukrit; Lucas-Elio, Patricia; Jackson, Simon A; Aroca-Crevillén, Alejandra; Hansen, Loren L; Fineran, Peter C

    2017-01-01

    CRISPR-Cas-mediated defense utilizes information stored as spacers in CRISPR arrays to defend against genetic invaders. We define the mode of target interference and role in antiviral defense for two CRISPR-Cas systems in Marinomonas mediterranea. One system (type I-F) targets DNA. A second system (type III-B) is broadly capable of acquiring spacers in either orientation from RNA and DNA, and exhibits transcription-dependent DNA interference. Examining resistance to phages isolated from Mediterranean seagrass meadows, we found that the type III-B machinery co-opts type I-F CRISPR-RNAs. Sequencing and infectivity assessments of related bacterial and phage strains suggests an ‘arms race’ in which phage escape from the type I-F system can be overcome through use of type I-F spacers by a horizontally-acquired type III-B system. We propose that the phage-host arms race can drive selection for horizontal uptake and maintenance of promiscuous type III interference modules that supplement existing host type I CRISPR-Cas systems. PMID:28826484

  3. Subtyping of the Legionella pneumophila "Ulm" outbreak strain using the CRISPR-Cas system.

    PubMed

    Lück, Christian; Brzuszkiewicz, Elzbieta; Rydzewski, Kerstin; Koshkolda, Tetyana; Sarnow, Katharina; Essig, Andreas; Heuner, Klaus

    2015-12-01

    In 2009/2010 an outbreak of Legionnaires' disease with 64 cases including four fatalities took place in the city of Ulm/Neu-Ulm in Germany. L. pneumophila serogroup 1, mAb type Knoxville, sequence type (ST) 62 was identified as the epidemic strain. This strain was isolated from eight patients and from a cooling tower in the city of Ulm. Based on whole genome sequencing data from one patient strain, we identified an Lvh type IV secretion system containing a CRISPR-Cas system. The CRISPR sequence contains 38 spacer DNA sequences. We used these variable DNA spacers to further subtype the outbreak strain as well as six epidemiologically unrelated strains of CRISPR-Cas positive ST62 strains isolated at various regions in Germany. The first 12 spacer DNAs of eight patient isolates and three environmental isolates from the suspected source of infection were analyzed and found to be identical. Spacer DNAs were identified in further six epidemiologically unrelated patient isolates of L. pneumophila of ST62 in addition to the 12 "core" spacers. The presence of new spacer DNAs at the 5' site downstream of the first repeat indicates that these CRISPR-Cas systems seem to be functional. PCR analysis revealed that not all L. pneumophila sg1 ST62 strains investigated exhibited a CRISPR-Cas system. In addition, we could demonstrate that the CRISPR-Cas system is localized on a genomic island (LpuGI-Lvh) which can be excised from the chromosome and therefore may be transferable horizontally to other L. pneumophila strains. Copyright © 2015 Elsevier GmbH. All rights reserved.

  4. An Attenuated CRISPR-Cas System in Enterococcus faecalis Permits DNA Acquisition.

    PubMed

    Hullahalli, Karthik; Rodrigues, Marinelle; Nguyen, Uyen Thy; Palmer, Kelli

    2018-05-01

    Antibiotic-resistant bacteria are critical public health concerns. Among the prime causative factors for the spread of antibiotic resistance is horizontal gene transfer (HGT). A useful model organism for investigating the relationship between HGT and antibiotic resistance is the opportunistic pathogen Enterococcus faecalis , since the species possesses highly conjugative plasmids that readily disseminate antibiotic resistance genes and virulence factors in nature. Unlike many commensal E. faecalis strains, the genomes of multidrug-resistant (MDR) E. faecalis clinical isolates are enriched for mobile genetic elements (MGEs) and lack c lustered r egularly i nterspaced s hort p alindromic r epeats (CRISPR) and C RISPR- as sociated protein (Cas) genome defense systems. CRISPR-Cas systems cleave foreign DNA in a programmable, sequence-specific manner and are disadvantageous for MGE-derived genome expansion. An unexplored facet of CRISPR biology in E. faecalis is that MGEs that are targeted by native CRISPR-Cas systems can be maintained transiently. Here, we investigate the basis for this "CRISPR tolerance." We observe that E. faecalis can maintain self-targeting constructs that direct Cas9 to cleave the chromosome, but at a fitness cost. Interestingly, DNA repair genes were not upregulated during self-targeting, but integrated prophages were strongly induced. We determined that low cas9 expression contributes to this transient nonlethality and used this knowledge to develop a robust CRISPR-assisted genome-editing scheme. Our results suggest that E. faecalis has maximized the potential for DNA acquisition by attenuating its CRISPR machinery, thereby facilitating the acquisition of potentially beneficial MGEs that may otherwise be restricted by genome defense. IMPORTANCE CRISPR-Cas has provided a powerful toolkit to manipulate bacteria, resulting in improved genetic manipulations and novel antimicrobials. These powerful applications rely on the premise that CRISPR-Cas

  5. Use of the CRISPR/Cas9 system as an intracellular defense against HIV-1 infection in human cells.

    PubMed

    Liao, Hsin-Kai; Gu, Ying; Diaz, Arturo; Marlett, John; Takahashi, Yuta; Li, Mo; Suzuki, Keiichiro; Xu, Ruo; Hishida, Tomoaki; Chang, Chan-Jung; Esteban, Concepcion Rodriguez; Young, John; Izpisua Belmonte, Juan Carlos

    2015-03-10

    To combat hostile viruses, bacteria and archaea have evolved a unique antiviral defense system composed of clustered regularly interspaced short palindromic repeats (CRISPRs), together with CRISPR-associated genes (Cas). The CRISPR/Cas9 system develops an adaptive immune resistance to foreign plasmids and viruses by creating site-specific DNA double-stranded breaks (DSBs). Here we adapt the CRISPR/Cas9 system to human cells for intracellular defense against foreign DNA and viruses. Using HIV-1 infection as a model, our results demonstrate that the CRISPR/Cas9 system disrupts latently integrated viral genome and provides long-term adaptive defense against new viral infection, expression and replication in human cells. We show that engineered human-induced pluripotent stem cells stably expressing HIV-targeted CRISPR/Cas9 can be efficiently differentiated into HIV reservoir cell types and maintain their resistance to HIV-1 challenge. These results unveil the potential of the CRISPR/Cas9 system as a new therapeutic strategy against viral infections.

  6. CRISPR-Cas systems exploit viral DNA injection to establish and maintain adaptive immunity.

    PubMed

    Modell, Joshua W; Jiang, Wenyan; Marraffini, Luciano A

    2017-04-06

    Clustered regularly interspaced short palindromic repeats (CRISPR)-Cas systems provide protection against viral and plasmid infection by capturing short DNA sequences from these invaders and integrating them into the CRISPR locus of the prokaryotic host. These sequences, known as spacers, are transcribed into short CRISPR RNA guides that specify the cleavage site of Cas nucleases in the genome of the invader. It is not known when spacer sequences are acquired during viral infection. Here, to investigate this, we tracked spacer acquisition in Staphylococcus aureus cells harbouring a type II CRISPR-Cas9 system after infection with the staphylococcal bacteriophage ϕ12. We found that new spacers were acquired immediately after infection preferentially from the cos site, the viral free DNA end that is first injected into the cell. Analysis of spacer acquisition after infection with mutant phages demonstrated that most spacers are acquired during DNA injection, but not during other stages of the viral cycle that produce free DNA ends, such as DNA replication or packaging. Finally, we showed that spacers acquired from early-injected genomic regions, which direct Cas9 cleavage of the viral DNA immediately after infection, provide better immunity than spacers acquired from late-injected regions. Our results reveal that CRISPR-Cas systems exploit the phage life cycle to generate a pattern of spacer acquisition that ensures a successful CRISPR immune response.

  7. Using the CRISPR/Cas9 system to eliminate native plasmids of Zymomonas mobilis ZM4.

    PubMed

    Cao, Qing-Hua; Shao, Huan-Huan; Qiu, Hui; Li, Tao; Zhang, Yi-Zheng; Tan, Xue-Mei

    2017-03-01

    The CRISPR/Cas system can be used to simply and efficiently edit the genomes of various species, including animals, plants, and microbes. Zymomonas mobilis ZM4 is a highly efficient, ethanol-producing bacterium that contains five native plasmids. Here, we constructed the pSUZM2a-Cas9 plasmid and a single-guide RNA expression plasmid. The pSUZM2a-Cas9 plasmid was used to express the Cas9 gene cloned from Streptococcus pyogenes CICC 10464. The single-guide RNA expression plasmid pUC-T7sgRNA, with a T7 promoter, can be used for the in vitro synthesis of single-guide RNAs. This system was successfully employed to knockout the upp gene of Escherichia coli and the replicase genes of native Z. mobilis plasmids. This is the first study to apply the CRISPR/Cas9 system of S. pyogenes to eliminate native plasmids in Z. mobilis. It provides a new method for plasmid curing and paves the way for the genomic engineering of Z. mobilis.

  8. Applications of the CRISPR-Cas9 system in cancer biology

    PubMed Central

    Sánchez-Rivera, Francisco J.; Jacks, Tyler

    2015-01-01

    Preface The prokaryotic type II clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system is rapidly revolutionizing the field of genetic engineering, allowing researchers to alter the genomes of a large variety of organisms with relative ease. Experimental approaches based on this versatile technology have the potential to transform the field of cancer genetics. Here we review current approaches based on CRISPR-Cas9 for functional studies of cancer genes, with emphasis on its applicability for the development of the next-generation models of human cancer. PMID:26040603

  9. RNA Editing with CRISPR-Cas13

    PubMed Central

    Cox, David B.T.; Gootenberg, Jonathan S.; Abudayyeh, Omar O.; Franklin, Brian; Kellner, Max J.; Joung, Julia; Zhang, Feng

    2017-01-01

    Nucleic acid editing holds promise for treating genetic disease, particularly at the RNA level, where disease-relevant sequences can be rescued to yield functional protein products. Type VI CRISPR-Cas systems contain the programmable single-effector RNA-guided RNases Cas13. Here, we profile Type VI systems to engineer a Cas13 ortholog capable of robust knockdown and demonstrate RNA editing by using catalytically-inactive Cas13 (dCas13) to direct adenosine to inosine deaminase activity by ADAR2 to transcripts in mammalian cells. This system, referred to as RNA Editing for Programmable A to I Replacement (REPAIR), has no strict sequence constraints, can be used to edit full-length transcripts containing pathogenic mutations. We further engineer this system to create a high specificity variant, REPAIRv2, that is 919 times more specific than REPAIRv1 as well as minimize the system to ease viral delivery. REPAIR presents a promising RNA editing platform with broad applicability for research, therapeutics, and biotechnology. PMID:29070703

  10. The molecular mechanism of CRISPR/Cas9 system and its application in gene therapy of human diseases.

    PubMed

    Qu, Liang; Li, Hua-shan; Jiang, Yun-han; Dong, Chun-sheng

    2015-10-01

    CRISPR/Cas system is an adaptive immune system that confers resistance to exogenous virus or plasmid in bacteria and archaea. In recent years, the booming CRISPR/Cas9 genome editing technology modified from type2 CRISPR/Cas adaptive immune system has been widely applied to various research fields of life science and led to revolutionary changes. In this review, we summarize the origin and development of CRISPR/Cas9 genome editing technology as well as its applications in life science research. We focus on the latest application of this system in gene therapy of human diseases and the associated side/off-target effects, which may provide references for researchers in related areas.

  11. Exploiting the CRISPR/Cas9 System for Targeted Genome Mutagenesis in Petunia.

    PubMed

    Zhang, Bin; Yang, Xia; Yang, Chunping; Li, Mingyang; Guo, Yulong

    2016-02-03

    Recently, CRISPR/Cas9 technology has emerged as a powerful approach for targeted genome modification in eukaryotic organisms from yeast to human cell lines. Its successful application in several plant species promises enormous potential for basic and applied plant research. However, extensive studies are still needed to assess this system in other important plant species, to broaden its fields of application and to improve methods. Here we showed that the CRISPR/Cas9 system is efficient in petunia (Petunia hybrid), an important ornamental plant and a model for comparative research. When PDS was used as target gene, transgenic shoot lines with albino phenotype accounted for 55.6%-87.5% of the total regenerated T0 Basta-resistant lines. A homozygous deletion close to 1 kb in length can be readily generated and identified in the first generation. A sequential transformation strategy--introducing Cas9 and sgRNA expression cassettes sequentially into petunia--can be used to make targeted mutations with short indels or chromosomal fragment deletions. Our results present a new plant species amenable to CRIPR/Cas9 technology and provide an alternative procedure for its exploitation.

  12. Exploiting the CRISPR/Cas9 System for Targeted Genome Mutagenesis in Petunia

    PubMed Central

    Zhang, Bin; Yang, Xia; Yang, Chunping; Li, Mingyang; Guo, Yulong

    2016-01-01

    Recently, CRISPR/Cas9 technology has emerged as a powerful approach for targeted genome modification in eukaryotic organisms from yeast to human cell lines. Its successful application in several plant species promises enormous potential for basic and applied plant research. However, extensive studies are still needed to assess this system in other important plant species, to broaden its fields of application and to improve methods. Here we showed that the CRISPR/Cas9 system is efficient in petunia (Petunia hybrid), an important ornamental plant and a model for comparative research. When PDS was used as target gene, transgenic shoot lines with albino phenotype accounted for 55.6%–87.5% of the total regenerated T0 Basta-resistant lines. A homozygous deletion close to 1 kb in length can be readily generated and identified in the first generation. A sequential transformation strategy—introducing Cas9 and sgRNA expression cassettes sequentially into petunia—can be used to make targeted mutations with short indels or chromosomal fragment deletions. Our results present a new plant species amenable to CRIPR/Cas9 technology and provide an alternative procedure for its exploitation. PMID:26837606

  13. 48 CFR 30.201-1 - CAS applicability.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 1 2011-10-01 2011-10-01 false CAS applicability. 30.201-1 Section 30.201-1 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION GENERAL CONTRACTING REQUIREMENTS COST ACCOUNTING STANDARDS ADMINISTRATION CAS Program Requirements 30.201-1 CAS...

  14. Inhibition of CRISPR-Cas9 with Bacteriophage Proteins.

    PubMed

    Rauch, Benjamin J; Silvis, Melanie R; Hultquist, Judd F; Waters, Christopher S; McGregor, Michael J; Krogan, Nevan J; Bondy-Denomy, Joseph

    2017-01-12

    Bacterial CRISPR-Cas systems utilize sequence-specific RNA-guided nucleases to defend against bacteriophage infection. As a countermeasure, numerous phages are known that produce proteins to block the function of class 1 CRISPR-Cas systems. However, currently no proteins are known to inhibit the widely used class 2 CRISPR-Cas9 system. To find these inhibitors, we searched cas9-containing bacterial genomes for the co-existence of a CRISPR spacer and its target, a potential indicator for CRISPR inhibition. This analysis led to the discovery of four unique type II-A CRISPR-Cas9 inhibitor proteins encoded by Listeria monocytogenes prophages. More than half of L. monocytogenes strains with cas9 contain at least one prophage-encoded inhibitor, suggesting widespread CRISPR-Cas9 inactivation. Two of these inhibitors also blocked the widely used Streptococcus pyogenes Cas9 when assayed in Escherichia coli and human cells. These natural Cas9-specific "anti-CRISPRs" present tools that can be used to regulate the genome engineering activities of CRISPR-Cas9. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. The CRISPR/Cas revolution reaches the RNA world: Cas13, a new Swiss Army knife for plant biologists.

    PubMed

    Wolter, Felix; Puchta, Holger

    2018-06-01

    Application of the bacterial CRISPR/Cas systems to eukaryotes is revolutionizing biology. Cas9 and Cas12 (previously called Cpf1) are widely used as DNA nucleases for inducing site-specific DNA breaks for different kinds of genome engineering applications, and in their mutated forms as DNA-binding proteins to modify gene expression. Moreover, histone modifications, as well as cytosine methylation or base editing, were achieved with these systems in plants. Recently, with the discovery of the nuclease Cas13a (previously called C2c2), molecular biologists have obtained a system that enables sequence-specific cleavage of single-stranded RNA molecules. The latest experiments with this and also the alternative Cas13b system demonstrate that these proteins can be used in a similar manner in eukaryotes for RNA manipulation as Cas9 and Cas12 for DNA manipulations. The first application of Cas13a for post-transcriptional regulation of gene expression in plants has been reported. Recent results show that the system is also applicable for combating viral infection in plants. As single-stranded RNA viruses are by far the most abundant class of viruses in plants, the application of this system is of special promise for crops. More interesting applications are imminent for plant biologists, with nuclease dead versions of Cas13 enabling the ability to visualize RNA molecules in vivo, as well as to edit different kinds of RNA molecules at specific bases by deamination or to modify them by conjugation. Moreover, by combining DNA- and RNA-directed systems, the most complex of changes in plant metabolism might be achievable. © 2018 The Authors The Plant Journal © 2018 John Wiley & Sons Ltd.

  16. Adaptation in CRISPR-Cas Systems.

    PubMed

    Sternberg, Samuel H; Richter, Hagen; Charpentier, Emmanuelle; Qimron, Udi

    2016-03-17

    Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) proteins constitute an adaptive immune system in prokaryotes. The system preserves memories of prior infections by integrating short segments of foreign DNA, termed spacers, into the CRISPR array in a process termed adaptation. During the past 3 years, significant progress has been made on the genetic requirements and molecular mechanisms of adaptation. Here we review these recent advances, with a focus on the experimental approaches that have been developed, the insights they generated, and a proposed mechanism for self- versus non-self-discrimination during the process of spacer selection. We further describe the regulation of adaptation and the protein players involved in this fascinating process that allows bacteria and archaea to harbor adaptive immunity. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. CRISPR-Cas: biology, mechanisms and relevance

    PubMed Central

    Hille, Frank

    2016-01-01

    Prokaryotes have evolved several defence mechanisms to protect themselves from viral predators. Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated proteins (Cas) display a prokaryotic adaptive immune system that memorizes previous infections by integrating short sequences of invading genomes—termed spacers—into the CRISPR locus. The spacers interspaced with repeats are expressed as small guide CRISPR RNAs (crRNAs) that are employed by Cas proteins to target invaders sequence-specifically upon a reoccurring infection. The ability of the minimal CRISPR-Cas9 system to target DNA sequences using programmable RNAs has opened new avenues in genome editing in a broad range of cells and organisms with high potential in therapeutical applications. While numerous scientific studies have shed light on the biochemical processes behind CRISPR-Cas systems, several aspects of the immunity steps, however, still lack sufficient understanding. This review summarizes major discoveries in the CRISPR-Cas field, discusses the role of CRISPR-Cas in prokaryotic immunity and other physiological properties, and describes applications of the system as a DNA editing technology and antimicrobial agent. This article is part of the themed issue ‘The new bacteriology’. PMID:27672148

  18. CRISPR-Cas: biology, mechanisms and relevance.

    PubMed

    Hille, Frank; Charpentier, Emmanuelle

    2016-11-05

    Prokaryotes have evolved several defence mechanisms to protect themselves from viral predators. Clustered regularly interspaced short palindromic repeats (CRISPR) and their associated proteins (Cas) display a prokaryotic adaptive immune system that memorizes previous infections by integrating short sequences of invading genomes-termed spacers-into the CRISPR locus. The spacers interspaced with repeats are expressed as small guide CRISPR RNAs (crRNAs) that are employed by Cas proteins to target invaders sequence-specifically upon a reoccurring infection. The ability of the minimal CRISPR-Cas9 system to target DNA sequences using programmable RNAs has opened new avenues in genome editing in a broad range of cells and organisms with high potential in therapeutical applications. While numerous scientific studies have shed light on the biochemical processes behind CRISPR-Cas systems, several aspects of the immunity steps, however, still lack sufficient understanding. This review summarizes major discoveries in the CRISPR-Cas field, discusses the role of CRISPR-Cas in prokaryotic immunity and other physiological properties, and describes applications of the system as a DNA editing technology and antimicrobial agent.This article is part of the themed issue 'The new bacteriology'. © 2016 The Authors.

  19. Genetic engineering of a temperate phage-based delivery system for CRISPR/Cas9 antimicrobials against Staphylococcus aureus

    PubMed Central

    Park, Joo Youn; Moon, Bo Youn; Park, Juw Won; Thornton, Justin A.; Park, Yong Ho; Seo, Keun Seok

    2017-01-01

    Discovery of clustered, regularly interspaced, short palindromic repeats and the Cas9 RNA-guided nuclease (CRISPR/Cas9) system provides a new opportunity to create programmable gene-specific antimicrobials that are far less likely to drive resistance than conventional antibiotics. However, the practical therapeutic use of CRISPR/Cas9 is still questionable due to current shortcomings in phage-based delivery systems such as inefficient delivery, narrow host range, and potential transfer of virulence genes by generalized transduction. In this study, we demonstrate genetic engineering strategies to overcome these shortcomings by integrating CRISPR/Cas9 system into a temperate phage genome, removing major virulence genes from the host chromosome, and expanding host specificity of the phage by complementing tail fiber protein. This significantly improved the efficacy and safety of CRISPR/Cas9 antimicrobials to therapeutic levels in both in vitro and in vivo assays. The genetic engineering tools and resources established in this study are expected to provide an efficacious and safe CRISPR/Cas9 antimicrobial, broadly applicable to Staphylococcus aureus. PMID:28322317

  20. Genetic engineering of a temperate phage-based delivery system for CRISPR/Cas9 antimicrobials against Staphylococcus aureus.

    PubMed

    Park, Joo Youn; Moon, Bo Youn; Park, Juw Won; Thornton, Justin A; Park, Yong Ho; Seo, Keun Seok

    2017-03-21

    Discovery of clustered, regularly interspaced, short palindromic repeats and the Cas9 RNA-guided nuclease (CRISPR/Cas9) system provides a new opportunity to create programmable gene-specific antimicrobials that are far less likely to drive resistance than conventional antibiotics. However, the practical therapeutic use of CRISPR/Cas9 is still questionable due to current shortcomings in phage-based delivery systems such as inefficient delivery, narrow host range, and potential transfer of virulence genes by generalized transduction. In this study, we demonstrate genetic engineering strategies to overcome these shortcomings by integrating CRISPR/Cas9 system into a temperate phage genome, removing major virulence genes from the host chromosome, and expanding host specificity of the phage by complementing tail fiber protein. This significantly improved the efficacy and safety of CRISPR/Cas9 antimicrobials to therapeutic levels in both in vitro and in vivo assays. The genetic engineering tools and resources established in this study are expected to provide an efficacious and safe CRISPR/Cas9 antimicrobial, broadly applicable to Staphylococcus aureus.

  1. Elimination of the cryptic plasmid in Leuconostoc citreum by CRISPR/Cas9 system.

    PubMed

    Jang, Ye-Ji; Seo, Seung-Oh; Kim, Seul-Ah; Li, Ling; Kim, Tae-Jip; Kim, Sun Chang; Jin, Yong-Su; Han, Nam Soo

    2017-06-10

    Leuconostoc spp. are important lactic acid bacteria for the fermentation of foods. In particular, L. citreum strains isolated from various foods have been used as host strains for genetic and metabolic engineering studies. In order to develop a food-grade genetic engineering system, L. citreum CB2567 was isolated from Kimchi. However, the isolated bacterium contained a cryptic plasmid which was difficult to eliminate. As the existence of the plasmid might hinder strain engineering, we eliminated the plasmid using an RNA-guided DNA endonuclease CRISPR/Cas9 system. We demonstrated that a plasmid-free L. citreum CB2567 host strain could be efficiently constructed through a two-step procedure: 1) transformation of the "killer" plasmid expressing Cas9 endonuclease and a guide RNA (gRNA) targeting for a specific sequence in the cryptic plasmid, and 2) serial subculture without antibiotics for curing the killer plasmid. When the crude extract of L. citreum expressing Cas9 and the guide RNA was incubated with a PCR fragment containing the specific sequence recognized by the guide RNA, the PCR fragment was cleaved. Also, the cryptic plasmid pCB42 was successfully eliminated from the host strain after transforming the plasmid harboring Cas9 and the guide RNA. The Cas9 and gRNA expression plasmid used in this study can be applied for genome engineering purposes by additionally introducing an editing DNA template to repair the double strand DNA breakage caused by Cas9 in the genome of L. citreum. This study demonstrates the feasibility of developing CRISPR/Cas9-based genetic engineering tools to develop a safe host strain and construct food-grade lactic acid bacteria without residual antibiotic markers. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. RNA editing with CRISPR-Cas13.

    PubMed

    Cox, David B T; Gootenberg, Jonathan S; Abudayyeh, Omar O; Franklin, Brian; Kellner, Max J; Joung, Julia; Zhang, Feng

    2017-11-24

    Nucleic acid editing holds promise for treating genetic disease, particularly at the RNA level, where disease-relevant sequences can be rescued to yield functional protein products. Type VI CRISPR-Cas systems contain the programmable single-effector RNA-guided ribonuclease Cas13. We profiled type VI systems in order to engineer a Cas13 ortholog capable of robust knockdown and demonstrated RNA editing by using catalytically inactive Cas13 (dCas13) to direct adenosine-to-inosine deaminase activity by ADAR2 (adenosine deaminase acting on RNA type 2) to transcripts in mammalian cells. This system, referred to as RNA Editing for Programmable A to I Replacement (REPAIR), which has no strict sequence constraints, can be used to edit full-length transcripts containing pathogenic mutations. We further engineered this system to create a high-specificity variant and minimized the system to facilitate viral delivery. REPAIR presents a promising RNA-editing platform with broad applicability for research, therapeutics, and biotechnology. Copyright © 2017, American Association for the Advancement of Science.

  3. CRISPR/Cas9 system and its applications in human hematopoietic cells.

    PubMed

    Hu, Xiaotang

    2016-11-01

    Since 2012, the CRISPR-Cas9 system has been quickly and successfully tested in a broad range of organisms and cells including hematopoietic cells. The application of CRISPR-Cas9 in human hematopoietic cells mainly involves the genes responsible for HIV infection, β-thalassemia and sickle cell disease (SCD). The successful disruption of CCR5 and CXCR4 genes in T cells by CRISPR-Cas9 promotes the prospect of the technology in the functional cure of HIV. More recently, eliminating CCR5 and CXCR4 in induced pluripotent stem cells (iPSCs) derived from patients and targeting the HIV genome have been successfully carried out in several laboratories. The outcome from these approaches bring us closer to the goal of eradicating HIV infection. For hemoglobinopathies the ability to produce iPSC-derived from patients with the correction of hemoglobin (HBB) mutations by CRISPR-Cas9 has been tested in a number of laboratories. These corrected iPSCs also show the potential to differentiate into mature erythrocytes expressing high-level and normal HBB. In light of the initial success of CRESPR-Cas9 in target mutated gene(s) in the iPSCs, a combination of genomic editing and autogenetic stem cell transplantation would be the best strategy for root treatment of the diseases, which could replace traditional allogeneic stem cell transplantation. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. On the Integration of Computer Algebra Systems (CAS) by Canadian Mathematicians: Results of a National Survey

    ERIC Educational Resources Information Center

    Buteau, Chantal; Jarvis, Daniel H.; Lavicza, Zsolt

    2014-01-01

    In this article, we outline the findings of a Canadian survey study (N = 302) that focused on the extent of computer algebra systems (CAS)-based technology use in postsecondary mathematics instruction. Results suggest that a considerable number of Canadian mathematicians use CAS in research and teaching. CAS use in research was found to be the…

  5. Targeted mutagenesis in cotton (Gossypium hirsutum L.) using the CRISPR/Cas9 system.

    PubMed

    Chen, Xiugui; Lu, Xuke; Shu, Na; Wang, Shuai; Wang, Junjuan; Wang, Delong; Guo, Lixue; Ye, Wuwei

    2017-03-13

    The CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)/Cas9 system has been widely used for genome editing in various plants because of its simplicity, high efficiency and design flexibility. However, to our knowledge, there is no report on the application of CRISPR/Cas9-mediated targeted mutagenesis in cotton. Here, we report the genome editing and targeted mutagenesis in upland cotton (Gossypium hirsutum L., hereafter cotton) using the CRISPR/Cas9 system. We designed two guide RNAs to target distinct sites of the cotton Cloroplastos alterados 1 (GhCLA1) and vacuolar H + -pyrophosphatase (GhVP) genes. Mutations in these two genes were detected in cotton protoplasts. Most of the mutations were nucleotide substitutions, with one nucleotide insertion and one substitution found in GhCLA1 and one deletion found in GhVP in cotton protoplasts. Subsequently, the two vectors were transformed into cotton shoot apexes through Agrobacterium-mediated transformation, resulting in efficient target gene editing. Most of the mutations were nucleotide deletions, and the mutation efficiencies were 47.6-81.8% in transgenic cotton plants. Evaluation using restriction-enzyme-PCR assay and sequence analysis detected no off-target mutations. Our results indicated that the CRISPR/Cas9 system was an efficient and specific tool for targeted mutagenesis of the cotton genome.

  6. [Clustered regularly interspaced short palindromic repeat associated protein genes cas1 and cas2 in Shigella].

    PubMed

    Xue, Zerun; Wang, Yingfang; Duan, Guangcai; Wang, Pengfei; Wang, Linlin; Guo, Xiangjiao; Xi, Yuanlin

    2014-05-01

    To detect the distribution of clustered regularly interspaced short palindromic repeat (CRISPR) associated protein genes cas1 and cas2 in Shigella and to understand the characteristics of CRISPR with relationship between CRISPR and related characteristics on drug resistance. CRISPR associated protein genes cas1 and cas2 in Shigella were detected by PCR, with its products sequenced and compared. The CRISPR-associated protein genes cas1 and cas2 were found in all the 196 Shigella isolates which were isolated at different times and locations in China. Consistencies showed through related sequencing appeared as follows: cas2, cas1 (a) and cas1 (b) were 96.44%, 97.61% and 96.97%, respectively. There were two mutations including 3177129 site(C→G)and 3177126 site (G→C) of cas1 (b) gene in 2003135 strain which were not found in the corresponding sites of Z23 and 2008113. showed that in terms of both susceptibility and antibiotic-resistance, strain 2003135 was stronger than Z23 and 2008113. CRISPR system widely existed in Shigella, with the level of drug resistance in cas1 (b) gene mutant strains higher than in wild strains. Cas1 (b) gene mutation might be one of the reasons causing the different levels of resistance.

  7. Programmable RNA Cleavage and Recognition by a Natural CRISPR-Cas9 System from Neisseria meningitidis.

    PubMed

    Rousseau, Beth A; Hou, Zhonggang; Gramelspacher, Max J; Zhang, Yan

    2018-03-01

    The microbial CRISPR systems enable adaptive defense against mobile elements and also provide formidable tools for genome engineering. The Cas9 proteins are type II CRISPR-associated, RNA-guided DNA endonucleases that identify double-stranded DNA targets by sequence complementarity and protospacer adjacent motif (PAM) recognition. Here we report that the type II-C CRISPR-Cas9 from Neisseria meningitidis (Nme) is capable of programmable, RNA-guided, site-specific cleavage and recognition of single-stranded RNA targets and that this ribonuclease activity is independent of the PAM sequence. We define the mechanistic feature and specificity constraint for RNA cleavage by NmeCas9 and also show that nuclease null dNmeCas9 binds to RNA target complementary to CRISPR RNA. Finally, we demonstrate that NmeCas9-catalyzed RNA cleavage can be blocked by three families of type II-C anti-CRISPR proteins. These results fundamentally expand the targeting capacities of CRISPR-Cas9 and highlight the potential utility of NmeCas9 as a single platform to target both RNA and DNA. Copyright © 2018 Elsevier Inc. All rights reserved.

  8. The action of Escherichia coli CRISPR–Cas system on lytic bacteriophages with different lifestyles and development strategies

    PubMed Central

    Strotskaya, Alexandra; Savitskaya, Ekaterina; Metlitskaya, Anastasia; Morozova, Natalia; Datsenko, Kirill A.; Semenova, Ekaterina

    2017-01-01

    Abstract CRISPR–Cas systems provide prokaryotes with adaptive defense against bacteriophage infections. Given an enormous variety of strategies used by phages to overcome their hosts, one can expect that the efficiency of protective action of CRISPR–Cas systems against different viruses should vary. Here, we created a collection of Escherichia coli strains with type I-E CRISPR–Cas system targeting various positions in the genomes of bacteriophages λ, T5, T7, T4 and R1-37 and investigated the ability of these strains to resist the infection and acquire additional CRISPR spacers from the infecting phage. We find that the efficiency of CRISPR–Cas targeting by the host is determined by phage life style, the positions of the targeted protospacer within the genome, and the state of phage DNA. The results also suggest that during infection by lytic phages that are susceptible to CRISPR interference, CRISPR–Cas does not act as a true immunity system that saves the infected cell but rather enforces an abortive infection pathway leading to infected cell death with no phage progeny release. PMID:28130424

  9. CRISPR/Cas9-mediated gene editing in human zygotes using Cas9 protein.

    PubMed

    Tang, Lichun; Zeng, Yanting; Du, Hongzi; Gong, Mengmeng; Peng, Jin; Zhang, Buxi; Lei, Ming; Zhao, Fang; Wang, Weihua; Li, Xiaowei; Liu, Jianqiao

    2017-06-01

    Previous works using human tripronuclear zygotes suggested that the clustered regularly interspaced short palindromic repeat (CRISPR)/Cas9 system could be a tool in correcting disease-causing mutations. However, whether this system was applicable in normal human (dual pronuclear, 2PN) zygotes was unclear. Here we demonstrate that CRISPR/Cas9 is also effective as a gene-editing tool in human 2PN zygotes. By injection of Cas9 protein complexed with the appropriate sgRNAs and homology donors into one-cell human embryos, we demonstrated efficient homologous recombination-mediated correction of point mutations in HBB and G6PD. However, our results also reveal limitations of this correction procedure and highlight the need for further research.

  10. Analysis of the type II-A CRISPR-Cas system of Streptococcus agalactiae reveals distinctive features according to genetic lineages

    PubMed Central

    Lier, Clément; Baticle, Elodie; Horvath, Philippe; Haguenoer, Eve; Valentin, Anne-Sophie; Glaser, Philippe; Mereghetti, Laurent; Lanotte, Philippe

    2015-01-01

    CRISPR-Cas systems (clustered regularly interspaced short palindromic repeats/CRISPR-associated proteins) are found in 90% of archaea and about 40% of bacteria. In this original system, CRISPR arrays comprise short, almost unique sequences called spacers that are interspersed with conserved palindromic repeats. These systems play a role in adaptive immunity and participate to fight non-self DNA such as integrative and conjugative elements, plasmids, and phages. In Streptococcus agalactiae, a bacterium implicated in colonization and infections in humans since the 1960s, two CRISPR-Cas systems have been described. A type II-A system, characterized by proteins Cas9, Cas1, Cas2, and Csn2, is ubiquitous, and a type I–C system, with the Cas8c signature protein, is present in about 20% of the isolates. Unlike type I–C, which appears to be non-functional, type II-A appears fully functional. Here we studied type II-A CRISPR-cas loci from 126 human isolates of S. agalactiae belonging to different clonal complexes that represent the diversity of the species and that have been implicated in colonization or infection. The CRISPR-cas locus was analyzed both at spacer and repeat levels. Major distinctive features were identified according to the phylogenetic lineages previously defined by multilocus sequence typing, especially for the sequence type (ST) 17, which is considered hypervirulent. Among other idiosyncrasies, ST-17 shows a significantly lower number of spacers in comparison with other lineages. This characteristic could reflect the peculiar virulence or colonization specificities of this lineage. PMID:26124774

  11. Adaptation of the Haloarcula hispanica CRISPR-Cas system to a purified virus strictly requires a priming process

    PubMed Central

    Li, Ming; Wang, Rui; Zhao, Dahe; Xiang, Hua

    2014-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)-Cas system mediates adaptive immunity against foreign nucleic acids in prokaryotes. However, efficient adaptation of a native CRISPR to purified viruses has only been observed for the type II-A system from a Streptococcus thermophilus industry strain, and rarely reported for laboratory strains. Here, we provide a second native system showing efficient adaptation. Infected by a newly isolated virus HHPV-2, Haloarcula hispanica type I-B CRISPR system acquired spacers discriminatively from viral sequences. Unexpectedly, in addition to Cas1, Cas2 and Cas4, this process also requires Cas3 and at least partial Cascade proteins, which are involved in interference and/or CRISPR RNA maturation. Intriguingly, a preexisting spacer partially matching a viral sequence is also required, and spacer acquisition from upstream and downstream sequences of its target sequence (i.e. priming protospacer) shows different strand bias. These evidences strongly indicate that adaptation in this system strictly requires a priming process. This requirement, if validated also true for other CRISPR systems as implied by our bioinformatic analysis, may help to explain failures to observe efficient adaptation to purified viruses in many laboratory strains, and the discrimination mechanism at the adaptation level that has confused scientists for years. PMID:24265226

  12. Cytotoxic chromosomal targeting by CRISPR/Cas systems can reshape bacterial genomes and expel or remodel pathogenicity islands.

    PubMed

    Vercoe, Reuben B; Chang, James T; Dy, Ron L; Taylor, Corinda; Gristwood, Tamzin; Clulow, James S; Richter, Corinna; Przybilski, Rita; Pitman, Andrew R; Fineran, Peter C

    2013-04-01

    In prokaryotes, clustered regularly interspaced short palindromic repeats (CRISPRs) and their associated (Cas) proteins constitute a defence system against bacteriophages and plasmids. CRISPR/Cas systems acquire short spacer sequences from foreign genetic elements and incorporate these into their CRISPR arrays, generating a memory of past invaders. Defence is provided by short non-coding RNAs that guide Cas proteins to cleave complementary nucleic acids. While most spacers are acquired from phages and plasmids, there are examples of spacers that match genes elsewhere in the host bacterial chromosome. In Pectobacterium atrosepticum the type I-F CRISPR/Cas system has acquired a self-complementary spacer that perfectly matches a protospacer target in a horizontally acquired island (HAI2) involved in plant pathogenicity. Given the paucity of experimental data about CRISPR/Cas-mediated chromosomal targeting, we examined this process by developing a tightly controlled system. Chromosomal targeting was highly toxic via targeting of DNA and resulted in growth inhibition and cellular filamentation. The toxic phenotype was avoided by mutations in the cas operon, the CRISPR repeats, the protospacer target, and protospacer-adjacent motif (PAM) beside the target. Indeed, the natural self-targeting spacer was non-toxic due to a single nucleotide mutation adjacent to the target in the PAM sequence. Furthermore, we show that chromosomal targeting can result in large-scale genomic alterations, including the remodelling or deletion of entire pre-existing pathogenicity islands. These features can be engineered for the targeted deletion of large regions of bacterial chromosomes. In conclusion, in DNA-targeting CRISPR/Cas systems, chromosomal interference is deleterious by causing DNA damage and providing a strong selective pressure for genome alterations, which may have consequences for bacterial evolution and pathogenicity.

  13. Cas4 Facilitates PAM-Compatible Spacer Selection during CRISPR Adaptation.

    PubMed

    Kieper, Sebastian N; Almendros, Cristóbal; Behler, Juliane; McKenzie, Rebecca E; Nobrega, Franklin L; Haagsma, Anna C; Vink, Jochem N A; Hess, Wolfgang R; Brouns, Stan J J

    2018-03-27

    CRISPR-Cas systems adapt their immunological memory against their invaders by integrating short DNA fragments into clustered regularly interspaced short palindromic repeat (CRISPR) loci. While Cas1 and Cas2 make up the core machinery of the CRISPR integration process, various class I and II CRISPR-Cas systems encode Cas4 proteins for which the role is unknown. Here, we introduced the CRISPR adaptation genes cas1, cas2, and cas4 from the type I-D CRISPR-Cas system of Synechocystis sp. 6803 into Escherichia coli and observed that cas4 is strictly required for the selection of targets with protospacer adjacent motifs (PAMs) conferring I-D CRISPR interference in the native host Synechocystis. We propose a model in which Cas4 assists the CRISPR adaptation complex Cas1-2 by providing DNA substrates tailored for the correct PAM. Introducing functional spacers that target DNA sequences with the correct PAM is key to successful CRISPR interference, providing a better chance of surviving infection by mobile genetic elements. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  14. Targeted mutagenesis in cotton (Gossypium hirsutum L.) using the CRISPR/Cas9 system

    PubMed Central

    Chen, Xiugui; Lu, Xuke; Shu, Na; Wang, Shuai; Wang, Junjuan; Wang, Delong; Guo, Lixue; Ye, Wuwei

    2017-01-01

    The CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)/Cas9 system has been widely used for genome editing in various plants because of its simplicity, high efficiency and design flexibility. However, to our knowledge, there is no report on the application of CRISPR/Cas9-mediated targeted mutagenesis in cotton. Here, we report the genome editing and targeted mutagenesis in upland cotton (Gossypium hirsutum L., hereafter cotton) using the CRISPR/Cas9 system. We designed two guide RNAs to target distinct sites of the cotton Cloroplastos alterados 1 (GhCLA1) and vacuolar H+-pyrophosphatase (GhVP) genes. Mutations in these two genes were detected in cotton protoplasts. Most of the mutations were nucleotide substitutions, with one nucleotide insertion and one substitution found in GhCLA1 and one deletion found in GhVP in cotton protoplasts. Subsequently, the two vectors were transformed into cotton shoot apexes through Agrobacterium-mediated transformation, resulting in efficient target gene editing. Most of the mutations were nucleotide deletions, and the mutation efficiencies were 47.6–81.8% in transgenic cotton plants. Evaluation using restriction-enzyme-PCR assay and sequence analysis detected no off-target mutations. Our results indicated that the CRISPR/Cas9 system was an efficient and specific tool for targeted mutagenesis of the cotton genome. PMID:28287154

  15. What Does the Cognitive Assessment System (CAS) Measure? Joint Confirmatory Factor Analysis of the CAS and the Woodcock-Johnson Tests of Cognitive Ability (3rd Edition).

    ERIC Educational Resources Information Center

    Keith, Timothy Z.; Kranzler, John H.; Flanagan, Dawn P.

    2001-01-01

    Reports the results of the first joint confirmatory factor analysis (CFA) of the Cognitive Assessment System (CAS) and the Woodcock-Johnson Tests of Cognitive Abilities-3rd Edition (WJ III). Results of these analyses do not support the construct validity of the CAS as a measure of the PASS (planning, attention, simultaneous, and sequential)…

  16. Targeting CDK11 in osteosarcoma cells using the CRISPR-Cas9 system.

    PubMed

    Feng, Yong; Sassi, Slim; Shen, Jacson K; Yang, Xiaoqian; Gao, Yan; Osaka, Eiji; Zhang, Jianming; Yang, Shuhua; Yang, Cao; Mankin, Henry J; Hornicek, Francis J; Duan, Zhenfeng

    2015-02-01

    Osteosarcoma is the most common type primary malignant tumor of bone. Patients with regional osteosarcoma are routinely treated with surgery and chemotherapy. In addition, many patients with metastatic or recurrent osteosarcoma show poor prognosis with current chemotherapy agents. Therefore, it is important to improve the general condition and the overall survival rate of patients with osteosarcoma by identifying novel therapeutic strategies. Recent studies have revealed that CDK11 is essential in osteosarcoma cell growth and survival by inhibiting CDK11 mRNA expression with RNAi. Here, we apply the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-Cas9 system, a robust and highly efficient novel genome editing tool, to determine the effect of targeting endogenous CDK11 gene at the DNA level in osteosarcoma cell lines. We show that CDK11 can be efficiently silenced by CRISPR-Cas9. Inhibition of CDK11 is associated with decreased cell proliferation and viability, and induces cell death in osteosarcoma cell lines KHOS and U-2OS. Furthermore, the migration and invasion activities are also markedly reduced by CDK11 knockout. These results demonstrate that CRISPR-Cas9 system is a useful tool for the modification of endogenous CDK11 gene expression, and CRISPR-Cas9 targeted CDK11 knockout may be a promising therapeutic regimen for the treatment of osteosarcoma. © 2014 Orthopaedic Research Society. Published by Wiley Periodicals, Inc.

  17. CAS-Induced Difficulties in Learning Mathematics?

    ERIC Educational Resources Information Center

    Jankvist, Uffe Thomas; Misfeldt, Morten

    2015-01-01

    In recent years computer algebra systems (CAS) have become an integrated part of the upper secondary school mathematics program. Despite the many positive possibilities of CAS, there also seems to be a flip side of the coin in relation to actual difficulties in learning mathematics, not least because a strong dependence on CAS for mathematical…

  18. The action of Escherichia coli CRISPR-Cas system on lytic bacteriophages with different lifestyles and development strategies.

    PubMed

    Strotskaya, Alexandra; Savitskaya, Ekaterina; Metlitskaya, Anastasia; Morozova, Natalia; Datsenko, Kirill A; Semenova, Ekaterina; Severinov, Konstantin

    2017-02-28

    CRISPR-Cas systems provide prokaryotes with adaptive defense against bacteriophage infections. Given an enormous variety of strategies used by phages to overcome their hosts, one can expect that the efficiency of protective action of CRISPR-Cas systems against different viruses should vary. Here, we created a collection of Escherichia coli strains with type I-E CRISPR-Cas system targeting various positions in the genomes of bacteriophages λ, T5, T7, T4 and R1-37 and investigated the ability of these strains to resist the infection and acquire additional CRISPR spacers from the infecting phage. We find that the efficiency of CRISPR-Cas targeting by the host is determined by phage life style, the positions of the targeted protospacer within the genome, and the state of phage DNA. The results also suggest that during infection by lytic phages that are susceptible to CRISPR interference, CRISPR-Cas does not act as a true immunity system that saves the infected cell but rather enforces an abortive infection pathway leading to infected cell death with no phage progeny release. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  19. Scarless Cas9 Assisted Recombineering (no-SCAR) in Escherichia coli, an Easy-to-Use System for Genome Editing.

    PubMed

    Reisch, Christopher R; Prather, Kristala L J

    2017-01-05

    The discovery and development of genome editing systems that leverage the site-specific DNA endonuclease system CRISPR/Cas9 has fundamentally changed the ease and speed of genome editing in many organisms. In eukaryotes, the CRISPR/Cas9 system utilizes a "guide" RNA to enable the Cas9 nuclease to make a double-strand break at a particular genome locus, which is repaired by non-homologous end joining (NHEJ) repair enzymes, often generating random mutations in the process. A specific alteration of the target genome can also be generated by supplying a DNA template in vivo with a desired mutation, which is incorporated by homology-directed repair. However, E. coli lacks robust systems for double-strand break repair. Thus, in contrast to eukaryotes, targeting E. coli chromosomal DNA with Cas9 causes cell death. However, Cas9-mediated killing of bacteria can be exploited to select against cells with a specified genotype within a mixed population. In combination with the well described λ-Red system for recombination in E. coli, we created a highly efficient system for marker-free and scarless genome editing. © 2017 by John Wiley & Sons, Inc. Copyright © 2017 John Wiley & Sons, Inc.

  20. Presence of Type I-F CRISPR/Cas systems is associated with antimicrobial susceptibility in Escherichia coli.

    PubMed

    Aydin, Seyid; Personne, Yoann; Newire, Enas; Laverick, Rebecca; Russell, Oliver; Roberts, Adam P; Enne, Virve I

    2017-08-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and their associated cas genes are sequence-specific DNA nuclease systems found in bacteria and archaea. CRISPR/Cas systems use RNA transcripts of previously acquired DNA (spacers) to target invading genetic elements with the same sequence, including plasmids. In this research we studied the relationship between CRISPR/Cas systems and multidrug resistance in Escherichia coli . The presence of Type I-E and Type I-F CRISPR systems was investigated among 82 antimicrobial-susceptible and 96 MDR clinical E. coli isolates by PCR and DNA sequencing. Phylogrouping and MLST were performed to determine relatedness of isolates. RT-PCR was performed to ascertain the expression of associated cas genes. Type I-F CRISPR was associated with the B2 phylogroup and was significantly overrepresented in the susceptible group (22.0%) compared with the MDR group (2.1%). The majority of CRISPR I-F-containing isolates had spacer sequences that matched IncF and IncI plasmids. RT-PCR demonstrated that Type I-F cas genes were expressed and therefore potentially functional. The CRISPR I-F system is more likely to be found in antimicrobial-susceptible E. coli . Given that the Type I-F system is expressed in WT isolates, we suggest that this difference could be due to the CRISPR system potentially interfering with the acquisition of antimicrobial resistance plasmids, maintaining susceptibility in these isolates. © The Author 2017. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  1. Highly efficient targeted mutagenesis in one-cell mouse embryos mediated by the TALEN and CRISPR/Cas systems.

    PubMed

    Yasue, Akihiro; Mitsui, Silvia Naomi; Watanabe, Takahito; Sakuma, Tetsushi; Oyadomari, Seiichi; Yamamoto, Takashi; Noji, Sumihare; Mito, Taro; Tanaka, Eiji

    2014-07-16

    Since the establishment of embryonic stem (ES) cell lines, the combined use of gene targeting with homologous recombination has aided in elucidating the functions of various genes. However, the ES cell technique is inefficient and time-consuming. Recently, two new gene-targeting technologies have been developed: the transcription activator-like effector nuclease (TALEN) system, and the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas) system. In addition to aiding researchers in solving conventional problems, these technologies can be used to induce site-specific mutations in various species for which ES cells have not been established. Here, by targeting the Fgf10 gene through RNA microinjection in one-cell mouse embryos with the TALEN and CRISPR/Cas systems, we produced the known limb-defect phenotypes of Fgf10-deficient embryos at the F0 generation. Compared to the TALEN system, the CRISPR/Cas system induced the limb-defect phenotypes with a strikingly higher efficiency. Our results demonstrate that although both gene-targeting technologies are useful, the CRISPR/Cas system more effectively elicits single-step biallelic mutations in mice.

  2. Chronic arsenic intoxication diagnostic score (CAsIDS).

    PubMed

    Dani, Sergio Ulhoa; Walter, Gerhard Franz

    2018-01-01

    Arsenic and its compounds are well-established, potent, environmentally widespread and persistent toxicants with metabolic, genotoxic, mutagenic, teratogenic, epigenetic and carcinogenic effects. Arsenic occurs naturally in the Earth's crust, but anthropogenic arsenic emissions have surmounted the emissions from important natural sources such as volcanism. Inorganic arsenicals exhibit acute and chronic toxicities in virtually all cell types and tissues, and hence arsenic intoxication affects multiple systems. Whereas acute arsenic intoxication is rare and relatively easy to diagnose, chronic arsenic intoxication (CAsI) is common but goes often misdiagnosed. Based on a review of the literature as well as our own clinical experience, we propose a chronic arsenic intoxication diagnostic score (CAsIDS). A distinctive feature of CAsIDS is the use of bone arsenic load as an essential criterion for the individual risk assessment of chronic arsenic intoxication, combined with a systemic clinical assessment. We present clinical examples where CAsIDS is applied for the diagnosis of CAsI, review the main topics of the toxicity of arsenic in different cell and organ systems and discuss the therapy and prevention of disease caused or aggravated by chronic arsenic intoxication. CAsIDS can help physicians establish the diagnosis of CAsI and associated conditions. Copyright © 2017 John Wiley & Sons, Ltd.

  3. Description and Evaluation of IAP-AACM: A Global-regional Aerosol Chemistry Model for the Earth System Model CAS-ESM

    NASA Astrophysics Data System (ADS)

    Wei, Y.; Chen, X.

    2017-12-01

    We present a first description and evaluation of the IAP Atmospheric Aerosol Chemistry Model (IAP-AACM) which has been integrated into the earth system model CAS-ESM. In this way it is possible to research into interaction of clouds and aerosol by its two-way coupling with the IAP Atmospheric General Circulation Model (IAP-AGCM). The model has a nested global-regional grid based on the Global Environmental Atmospheric Transport Model (GEATM) and the Nested Air Quality Prediction Modeling System (NAQPMS). The AACM provides two optional gas chemistry schemes, the CBM-Z gas chemistry as well as a sulfur oxidize box designed specifically for the CAS-ESM. Now the model driven by AGCM has been applied to a 1-year simulation of tropospheric chemistry both on global and regional scales for 2014, and been evaluated against various observation datasets, including aerosol precursor gas concentration, aerosol mass and number concentrations. Furthermore, global budgets in AACM are compared with other global aerosol models. Generally, the AACM simulations are within the range of other global aerosol model predictions, and the model has a reasonable agreement with observations of gases and particles concentration both on global and regional scales.

  4. The host-encoded RNase E endonuclease as the crRNA maturation enzyme in a CRISPR-Cas subtype III-Bv system.

    PubMed

    Behler, Juliane; Sharma, Kundan; Reimann, Viktoria; Wilde, Annegret; Urlaub, Henning; Hess, Wolfgang R

    2018-03-01

    Specialized RNA endonucleases for the maturation of clustered regularly interspaced short palindromic repeat (CRISPR)-derived RNAs (crRNAs) are critical in CRISPR-CRISPR-associated protein (Cas) defence mechanisms. The Cas6 and Cas5d enzymes are the RNA endonucleases in many class 1 CRISPR-Cas systems. In some class 2 systems, maturation and effector functions are combined within a single enzyme or maturation proceeds through the combined actions of RNase III and trans-activating CRISPR RNAs (tracrRNAs). Three separate CRISPR-Cas systems exist in the cyanobacterium Synechocystis sp. PCC 6803. Whereas Cas6-type enzymes act in two of these systems, the third, which is classified as subtype III-B variant (III-Bv), lacks cas6 homologues. Instead, the maturation of crRNAs proceeds through the activity of endoribonuclease E, leaving unusual 13- and 14-nucleotide-long 5'-handles. Overexpression of RNase E leads to overaccumulation and knock-down to the reduced accumulation of crRNAs in vivo, suggesting that RNase E is the limiting factor for CRISPR complex formation. Recognition by RNase E depends on a stem-loop in the CRISPR repeat, whereas base substitutions at the cleavage site trigger the appearance of secondary products, consistent with a two-step recognition and cleavage mechanism. These results suggest the adaptation of an otherwise very conserved housekeeping enzyme to accommodate new substrates and illuminate the impressive plasticity of CRISPR-Cas systems that enables them to function in particular genomic environments.

  5. Priming in the Type I-F CRISPR-Cas system triggers strand-independent spacer acquisition, bi-directionally from the primed protospacer.

    PubMed

    Richter, Corinna; Dy, Ron L; McKenzie, Rebecca E; Watson, Bridget N J; Taylor, Corinda; Chang, James T; McNeil, Matthew B; Staals, Raymond H J; Fineran, Peter C

    2014-07-01

    Clustered regularly interspaced short palindromic repeats (CRISPR), in combination with CRISPR associated (cas) genes, constitute CRISPR-Cas bacterial adaptive immune systems. To generate immunity, these systems acquire short sequences of nucleic acids from foreign invaders and incorporate these into their CRISPR arrays as spacers. This adaptation process is the least characterized step in CRISPR-Cas immunity. Here, we used Pectobacterium atrosepticum to investigate adaptation in Type I-F CRISPR-Cas systems. Pre-existing spacers that matched plasmids stimulated hyperactive primed acquisition and resulted in the incorporation of up to nine new spacers across all three native CRISPR arrays. Endogenous expression of the cas genes was sufficient, yet required, for priming. The new spacers inhibited conjugation and transformation, and interference was enhanced with increasing numbers of new spacers. We analyzed ∼ 350 new spacers acquired in priming events and identified a 5'-protospacer-GG-3' protospacer adjacent motif. In contrast to priming in Type I-E systems, new spacers matched either plasmid strand and a biased distribution, including clustering near the primed protospacer, suggested a bi-directional translocation model for the Cas1:Cas2-3 adaptation machinery. Taken together these results indicate priming adaptation occurs in different CRISPR-Cas systems, that it can be highly active in wild-type strains and that the underlying mechanisms vary. © The Author(s) 2014. Published by Oxford University Press on behalf of Nucleic Acids Research.

  6. Excision of Nucleopolyhedrovirus Form Transgenic Silkworm Using the CRISPR/Cas9 System.

    PubMed

    Dong, Zhanqi; Dong, Feifan; Yu, Xinbo; Huang, Liang; Jiang, Yaming; Hu, Zhigang; Chen, Peng; Lu, Cheng; Pan, Minhui

    2018-01-01

    The CRISPR/Cas9-mediated genome engineering has been shown to efficiently suppress infection by disrupting genes of the pathogen. We recently constructed transgenic lines expressing CRISPR/Cas9 and the double sgRNA target Bombyx mori nucleopolyhedrovirus (BmNPV) immediate early-1 ( ie-1 ) gene in the silkworm, respectively, and obtained four transgenic hybrid lines by G1 generation hybridization: Cas9(-)/sgRNA(-), Cas9(+)/sgRNA(-), Cas9(-)/sgRNA(+), and Cas9(+)/sgRNA(+). We demonstrated that the Cas9(+)/sgRNA(+) transgenic lines effectively edited the target site of the BmNPV genome, and large fragment deletion was observed after BmNPV infection. Further antiviral analysis of the Cas9(+)/sgRNA(+) transgenic lines shows that the median lethal dose (LD50) is 1,000-fold higher than the normal lines after inoculation with occlusion bodies. The analysis of economic characters and off-target efficiency of Cas9(+)/sgRNA(+) transgenic hybrid line showed no significant difference compared with the normal lines. Our findings indicate that CRISPR/Cas9-mediated genome engineering more effectively targets the BmNPV genomes and could be utilized as an insect antiviral treatment.

  7. Excision of Nucleopolyhedrovirus Form Transgenic Silkworm Using the CRISPR/Cas9 System

    PubMed Central

    Dong, Zhanqi; Dong, Feifan; Yu, Xinbo; Huang, Liang; Jiang, Yaming; Hu, Zhigang; Chen, Peng; Lu, Cheng; Pan, Minhui

    2018-01-01

    The CRISPR/Cas9-mediated genome engineering has been shown to efficiently suppress infection by disrupting genes of the pathogen. We recently constructed transgenic lines expressing CRISPR/Cas9 and the double sgRNA target Bombyx mori nucleopolyhedrovirus (BmNPV) immediate early-1 (ie-1) gene in the silkworm, respectively, and obtained four transgenic hybrid lines by G1 generation hybridization: Cas9(-)/sgRNA(-), Cas9(+)/sgRNA(-), Cas9(-)/sgRNA(+), and Cas9(+)/sgRNA(+). We demonstrated that the Cas9(+)/sgRNA(+) transgenic lines effectively edited the target site of the BmNPV genome, and large fragment deletion was observed after BmNPV infection. Further antiviral analysis of the Cas9(+)/sgRNA(+) transgenic lines shows that the median lethal dose (LD50) is 1,000-fold higher than the normal lines after inoculation with occlusion bodies. The analysis of economic characters and off-target efficiency of Cas9(+)/sgRNA(+) transgenic hybrid line showed no significant difference compared with the normal lines. Our findings indicate that CRISPR/Cas9-mediated genome engineering more effectively targets the BmNPV genomes and could be utilized as an insect antiviral treatment. PMID:29503634

  8. Editing plants for virus resistance using CRISPR-Cas.

    PubMed

    Green, J C; Hu, J S

    This minireview summarizes recent advancements using the clustered regularly interspaced palindromic repeats-associated nuclease systems (CRISPR-Cas) derived from prokaryotes to breed plants resistant to DNA and RNA viruses. The CRISPR-Cas system represents a powerful tool able to edit and insert novel traits into plants precisely at chosen loci offering enormous advantages to classical breeding. Approaches to engineering plant virus resistance in both transgenic and non-transgenic plants are discussed. Iterations of the CRISPR-Cas system, FnCas9 and C2c2 capable of editing RNA in eukaryotic cells offer a particular advantage for providing resistance to RNA viruses which represent the great majority of known plant viruses. Scientists have obtained conflicting results using gene silencing technology to produce transgenic plants resistant to geminiviruses. CRISPR-Cas systems engineered in plants to target geminiviruses have consistently reduced virus accumulation providing increased resistance to virus infection. CRISPR-Cas may provide novel and reliable approaches to control geminiviruses and other ssDNA viruses such as Banana bunchy top virus (BBTV).

  9. Turboprop aircraft against terrorism: a SWOT analysis of turboprop aircraft in CAS operations

    NASA Astrophysics Data System (ADS)

    Yavuz, Murat; Akkas, Ali; Aslan, Yavuz

    2012-06-01

    Today, the threat perception is changing. Not only for countries but also for defence organisations like NATO, new threat perception is pointing terrorism. Many countries' air forces become responsible of fighting against terorism or Counter-Insurgency (COIN) Operations. Different from conventional warfare, alternative weapon or weapon systems are required for such operatioins. In counter-terrorism operations modern fighter jets are used as well as helicopters, subsonic jets, Unmanned Aircraft Systems (UAS), turboprop aircraft, baloons and similar platforms. Succes and efficiency of the use of these platforms can be determined by evaluating the conditions, the threats and the area together. Obviously, each platform has advantages and disadvantages for different cases. In this research, examples of turboprop aircraft usage against terrorism and with a more general approach, turboprop aircraft for Close Air Support (CAS) missions from all around the world are reviewed. In this effort, a closer look is taken at the countries using turboprop aircraft in CAS missions while observing the fields these aircraft are used in, type of operations, specifications of the aircraft, cost and the maintenance factors. Thus, an idea about the convenience of using these aircraft in such operations can be obtained. A SWOT analysis of turboprop aircraft in CAS operations is performed. This study shows that turboprop aircraft are suitable to be used in counter-terrorism and COIN operations in low threat environment and is cost benefical compared to jets.

  10. Recent Advances in Genome Editing Using CRISPR/Cas9.

    PubMed

    Ding, Yuduan; Li, Hong; Chen, Ling-Ling; Xie, Kabin

    2016-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system is a versatile tool for genome engineering that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This simple RNA-guided genome-editing technology has become a revolutionary tool in biology and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing method, summarize the recent advances in CRISPR/Cas9 technology, and discuss their implications for plant research. To date, targeted gene knockout using the Cas9/gRNA system has been established in many plant species, and the targeting efficiency and capacity of Cas9 has been improved by optimizing its expression and that of its gRNA. The CRISPR/Cas9 system can also be used for sequence-specific mutagenesis/integration and transcriptional control of target genes. We also discuss off-target effects and the constraint that the protospacer-adjacent motif (PAM) puts on CRISPR/Cas9 genome engineering. To address these problems, a number of bioinformatic tools are available to help design specific gRNAs, and new Cas9 variants and orthologs with high fidelity and alternative PAM specificities have been engineered. Owing to these recent efforts, the CRISPR/Cas9 system is becoming a revolutionary and flexible tool for genome engineering. Adoption of the CRISPR/Cas9 technology in plant research would enable the investigation of plant biology at an unprecedented depth and create innovative applications in precise crop breeding.

  11. Recent Advances in Genome Editing Using CRISPR/Cas9

    PubMed Central

    Ding, Yuduan; Li, Hong; Chen, Ling-Ling; Xie, Kabin

    2016-01-01

    The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system is a versatile tool for genome engineering that uses a guide RNA (gRNA) to target Cas9 to a specific sequence. This simple RNA-guided genome-editing technology has become a revolutionary tool in biology and has many innovative applications in different fields. In this review, we briefly introduce the Cas9-mediated genome-editing method, summarize the recent advances in CRISPR/Cas9 technology, and discuss their implications for plant research. To date, targeted gene knockout using the Cas9/gRNA system has been established in many plant species, and the targeting efficiency and capacity of Cas9 has been improved by optimizing its expression and that of its gRNA. The CRISPR/Cas9 system can also be used for sequence-specific mutagenesis/integration and transcriptional control of target genes. We also discuss off-target effects and the constraint that the protospacer-adjacent motif (PAM) puts on CRISPR/Cas9 genome engineering. To address these problems, a number of bioinformatic tools are available to help design specific gRNAs, and new Cas9 variants and orthologs with high fidelity and alternative PAM specificities have been engineered. Owing to these recent efforts, the CRISPR/Cas9 system is becoming a revolutionary and flexible tool for genome engineering. Adoption of the CRISPR/Cas9 technology in plant research would enable the investigation of plant biology at an unprecedented depth and create innovative applications in precise crop breeding. PMID:27252719

  12. A CRISPR-Cas9 sex-ratio distortion system for genetic control

    PubMed Central

    Galizi, Roberto; Hammond, Andrew; Kyrou, Kyros; Taxiarchi, Chrysanthi; Bernardini, Federica; O’Loughlin, Samantha M.; Papathanos, Philippos-Aris; Nolan, Tony; Windbichler, Nikolai; Crisanti, Andrea

    2016-01-01

    Genetic control aims to reduce the ability of insect pest populations to cause harm via the release of modified insects. One strategy is to bias the reproductive sex ratio towards males so that a population decreases in size or is eliminated altogether due to a lack of females. We have shown previously that sex ratio distortion can be generated synthetically in the main human malaria vector Anopheles gambiae, by selectively destroying the X-chromosome during spermatogenesis, through the activity of a naturally-occurring endonuclease that targets a repetitive rDNA sequence highly-conserved in a wide range of organisms. Here we describe a CRISPR-Cas9 sex distortion system that targets ribosomal sequences restricted to the member species of the Anopheles gambiae complex. Expression of Cas9 during spermatogenesis resulted in RNA-guided shredding of the X-chromosome during male meiosis and produced extreme male bias among progeny in the absence of any significant reduction in fertility. The flexibility of CRISPR-Cas9 combined with the availability of genomic data for a range of insects renders this strategy broadly applicable for the species-specific control of any pest or vector species with an XY sex-determination system by targeting sequences exclusive to the female sex chromosome. PMID:27484623

  13. Engineered CRISPR/Cas9 system for multiplex genome engineering of polyploid industrial yeast strains

    DOE PAGES

    Lian, Jiazhang; Bao, Zehua; Hu, Sumeng; ...

    2018-02-20

    The CRISPR/Cas9 system has been widely used for multiplex genome engineering of Saccharomyces cerevisiae. Furthermore, its application in manipulating industrial yeast strains is less successful, probably due to the genome complexity and low copy numbers of gRNA expression plasmids. Here we developed an efficient CRISPR/Cas9 system for industrial yeast strain engineering by using our previously engineered plasmids with increased copy numbers. Four genes in both a diploid strain (Ethanol Red, 8 alleles in total) and a triploid strain (ATCC 4124, 12 alleles in total) were knocked out in a single step with 100% efficiency. This system was used to constructmore » xylose-fermenting, lactate-producing industrial yeast strains, in which ALD6, PHO13, LEU2, and URA3 were disrupted in a single step followed by the introduction of a xylose utilization pathway and a lactate biosynthetic pathway on auxotrophic marker plasmids. The optimized CRISPR/Cas9 system provides a powerful tool for the development of industrial yeast based microbial cell factories.« less

  14. Engineered CRISPR/Cas9 system for multiplex genome engineering of polyploid industrial yeast strains

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lian, Jiazhang; Bao, Zehua; Hu, Sumeng

    The CRISPR/Cas9 system has been widely used for multiplex genome engineering of Saccharomyces cerevisiae. Furthermore, its application in manipulating industrial yeast strains is less successful, probably due to the genome complexity and low copy numbers of gRNA expression plasmids. Here we developed an efficient CRISPR/Cas9 system for industrial yeast strain engineering by using our previously engineered plasmids with increased copy numbers. Four genes in both a diploid strain (Ethanol Red, 8 alleles in total) and a triploid strain (ATCC 4124, 12 alleles in total) were knocked out in a single step with 100% efficiency. This system was used to constructmore » xylose-fermenting, lactate-producing industrial yeast strains, in which ALD6, PHO13, LEU2, and URA3 were disrupted in a single step followed by the introduction of a xylose utilization pathway and a lactate biosynthetic pathway on auxotrophic marker plasmids. The optimized CRISPR/Cas9 system provides a powerful tool for the development of industrial yeast based microbial cell factories.« less

  15. Engineered CRISPR/Cas9 system for multiplex genome engineering of polyploid industrial yeast strains.

    PubMed

    Lian, Jiazhang; Bao, Zehua; Hu, Sumeng; Zhao, Huimin

    2018-06-01

    The CRISPR/Cas9 system has been widely used for multiplex genome engineering of Saccharomyces cerevisiae. However, its application in manipulating industrial yeast strains is less successful, probably due to the genome complexity and low copy numbers of gRNA expression plasmids. Here we developed an efficient CRISPR/Cas9 system for industrial yeast strain engineering by using our previously engineered plasmids with increased copy numbers. Four genes in both a diploid strain (Ethanol Red, 8 alleles in total) and a triploid strain (ATCC 4124, 12 alleles in total) were knocked out in a single step with 100% efficiency. This system was used to construct xylose-fermenting, lactate-producing industrial yeast strains, in which ALD6, PHO13, LEU2, and URA3 were disrupted in a single step followed by the introduction of a xylose utilization pathway and a lactate biosynthetic pathway on auxotrophic marker plasmids. The optimized CRISPR/Cas9 system provides a powerful tool for the development of industrial yeast based microbial cell factories. © 2018 Wiley Periodicals, Inc.

  16. RNA-dependent DNA endonuclease Cas9 of the CRISPR system: Holy Grail of genome editing?

    PubMed

    Gasiunas, Giedrius; Siksnys, Virginijus

    2013-11-01

    Tailor-made nucleases for precise genome modification, such as zinc finger or TALE nucleases, currently represent the state-of-the-art for genome editing. These nucleases combine a programmable protein module which guides the enzyme to the target site with a nuclease domain which cuts DNA at the addressed site. Reprogramming of these nucleases to cut genomes at specific locations requires major protein engineering efforts. RNA-guided DNA endonuclease Cas9 of the type II (clustered regularly interspaced short palindromic repeat) CRISPR-Cas system uses CRISPR RNA (crRNA) as a guide to locate the DNA target and the Cas9 protein to cut DNA. Easy programmability of the Cas9 endonuclease using customizable RNAs brings unprecedented flexibility and versatility for targeted genome modification. We highlight the potential of the Cas9 RNA-guided DNA endonuclease as a novel tool for genome surgery, and discuss possible constraints and future prospects. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. All-in-One CRISPR-Cas9/FokI-dCas9 Vector-Mediated Multiplex Genome Engineering in Cultured Cells.

    PubMed

    Sakuma, Tetsushi; Sakamoto, Takuya; Yamamoto, Takashi

    2017-01-01

    CRISPR-Cas9 enables highly convenient multiplex genome engineering in cultured cells, because it utilizes generic Cas9 nuclease and an easily customizable single-guide RNA (sgRNA) for site-specific DNA double-strand break induction. We previously established a multiplex CRISPR-Cas9 assembly system for constructing an all-in-one vector simultaneously expressing multiple sgRNAs and Cas9 nuclease or other Cas9 variants including FokI-dCas9, which supersedes the wild-type Cas9 with regard to high specificity. In this chapter, we describe a streamlined protocol to design and construct multiplex CRISPR-Cas9 or FokI-dCas9 vectors, to introduce them into cultured cells by lipofection or electroporation, to enrich the genomically edited cells with a transient puromycin selection, to validate the mutation efficiency by Surveyor nuclease assay, and to perform off-target analyses. We show that our protocol enables highly efficient multiplex genome engineering even in hard-to-transfect HepG2 cells.

  18. Comparison of Various Nuclear Localization Signal-Fused Cas9 Proteins and Cas9 mRNA for Genome Editing in Zebrafish.

    PubMed

    Hu, Peinan; Zhao, Xueying; Zhang, Qinghua; Li, Weiming; Zu, Yao

    2018-03-02

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 system has been proven to be an efficient and precise genome editing technology in various organisms. However, the gene editing efficiencies of Cas9 proteins with a nuclear localization signal (NLS) fused to different termini and Cas9 mRNA have not been systematically compared. Here, we compared the ability of Cas9 proteins with NLS fused to the N-, C-, or both the N- and C-termini and N-NLS-Cas9-NLS-C mRNA to target two sites in the tyr gene and two sites in the gol gene related to pigmentation in zebrafish. Phenotypic analysis revealed that all types of Cas9 led to hypopigmentation in similar proportions of injected embryos. Genome analysis by T7 Endonuclease I (T7E1) assays demonstrated that all types of Cas9 similarly induced mutagenesis in four target sites. Sequencing results further confirmed that a high frequency of indels occurred in the target sites ( tyr1 > 66%, tyr2 > 73%, gol1 > 50%, and gol2 > 35%), as well as various types (more than six) of indel mutations observed in all four types of Cas9-injected embryos. Furthermore, all types of Cas9 showed efficient targeted mutagenesis on multiplex genome editing, resulting in multiple phenotypes simultaneously. Collectively, we conclude that various NLS-fused Cas9 proteins and Cas9 mRNAs have similar genome editing efficiencies on targeting single or multiple genes, suggesting that the efficiency of CRISPR/Cas9 genome editing is highly dependent on guide RNAs (gRNAs) and gene loci. These findings may help to simplify the selection of Cas9 for gene editing using the CRISPR/Cas9 system. Copyright © 2018 Hu et al.

  19. Comparison of Various Nuclear Localization Signal-Fused Cas9 Proteins and Cas9 mRNA for Genome Editing in Zebrafish

    PubMed Central

    Hu, Peinan; Zhao, Xueying; Zhang, Qinghua; Li, Weiming; Zu, Yao

    2018-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 system has been proven to be an efficient and precise genome editing technology in various organisms. However, the gene editing efficiencies of Cas9 proteins with a nuclear localization signal (NLS) fused to different termini and Cas9 mRNA have not been systematically compared. Here, we compared the ability of Cas9 proteins with NLS fused to the N-, C-, or both the N- and C-termini and N-NLS-Cas9-NLS-C mRNA to target two sites in the tyr gene and two sites in the gol gene related to pigmentation in zebrafish. Phenotypic analysis revealed that all types of Cas9 led to hypopigmentation in similar proportions of injected embryos. Genome analysis by T7 Endonuclease I (T7E1) assays demonstrated that all types of Cas9 similarly induced mutagenesis in four target sites. Sequencing results further confirmed that a high frequency of indels occurred in the target sites (tyr1 > 66%, tyr2 > 73%, gol1 > 50%, and gol2 > 35%), as well as various types (more than six) of indel mutations observed in all four types of Cas9-injected embryos. Furthermore, all types of Cas9 showed efficient targeted mutagenesis on multiplex genome editing, resulting in multiple phenotypes simultaneously. Collectively, we conclude that various NLS-fused Cas9 proteins and Cas9 mRNAs have similar genome editing efficiencies on targeting single or multiple genes, suggesting that the efficiency of CRISPR/Cas9 genome editing is highly dependent on guide RNAs (gRNAs) and gene loci. These findings may help to simplify the selection of Cas9 for gene editing using the CRISPR/Cas9 system. PMID:29295818

  20. Rational Design of Mini-Cas9 for Transcriptional Activation.

    PubMed

    Ma, Dacheng; Peng, Shuguang; Huang, Weiren; Cai, Zhiming; Xie, Zhen

    2018-04-20

    Nuclease dead Cas9 (dCas9) has been widely used for modulating gene expression by fusing with different activation or repression domains. However, delivery of the CRISPR/Cas system fused with various effector domains in a single adeno-associated virus (AAV) remains challenging due to the payload limit. Here, we engineered a set of downsized variants of Cas9 including Staphylococcus aureus Cas9 (SaCas9) that retained DNA binding activity by deleting conserved functional domains. We demonstrated that fusing FokI nuclease domain to the N-terminal of the minimal SaCas9 (mini-SaCas9) or to the middle of the split mini-SaCas9 can trigger efficient DNA cleavage. In addition, we constructed a set of compact transactivation domains based on the tripartite VPR activation domain and self-assembled arrays of split SpyTag:SpyCatch peptides, which are suitable for fusing to the mini-SaCas9. Lastly, we produced a single AAV containing the mini-SaCas9 fused with a downsized transactivation domain along with an optimized gRNA expression cassette, which showed efficient transactivation activity. Our results highlighted a practical approach to generate down-sized CRISPR/Cas9 and gene activation systems for in vivo applications.

  1. CRISPR/Cas9-mediated correction of human genetic disease.

    PubMed

    Men, Ke; Duan, Xingmei; He, Zhiyao; Yang, Yang; Yao, Shaohua; Wei, Yuquan

    2017-05-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) protein 9 system (CRISPR/Cas9) provides a powerful tool for targeted genetic editing. Directed by programmable sequence-specific RNAs, this system introduces cleavage and double-stranded breaks at target sites precisely. Compared to previously developed targeted nucleases, the CRISPR/Cas9 system demonstrates several promising advantages, including simplicity, high specificity, and efficiency. Several broad genome-editing studies with the CRISPR/Cas9 system in different species in vivo and ex vivo have indicated its strong potential, raising hopes for therapeutic genome editing in clinical settings. Taking advantage of non-homologous end-joining (NHEJ) and homology directed repair (HDR)-mediated DNA repair, several studies have recently reported the use of CRISPR/Cas9 to successfully correct disease-causing alleles ranging from single base mutations to large insertions. In this review, we summarize and discuss recent preclinical studies involving the CRISPR/Cas9-mediated correction of human genetic diseases.

  2. Construction of an easy-to-use CRISPR-Cas9 system by patching a newly designed EXIT circuit.

    PubMed

    Tang, Qiang; Lou, Chunbo; Liu, Shuang-Jiang

    2017-01-01

    Plasmid-borne genetic editing tools, including the widely used CRISPR-Cas9 system, have greatly facilitated bacterial programming to obtain novel functionalities. However, the lack of effective post-editing plasmid elimination methods impedes follow-up genetic manipulation or application. Conventional strategies including exposure to physical and chemical treatments, or exploiting temperature-sensitive replication origins have several drawbacks (e.g., they are limited for efficiency and are time-consuming). Therefore, the demand is apparent for easy and rapid elimination of the tool plasmids from their bacterial hosts after genetic manipulation. To bridge this gap, we designed a novel EXIT circuit with the homing endonuclease, which can be exploited for rapid and efficient elimination of various plasmids with diverse replication origins. As a proof of concept, we validated the EXIT circuit in Escherichia coli by harnessing homing endonuclease I- Sce I and its cleavage site. When integrated into multiple plasmids with different origins, the EXIT circuit allowed them to be eliminated from the host cells, simultaneously. By combining the widely used plasmid-borne CRISPR-Cas9 system and the EXIT circuit, we constructed an easy-to-use CRISPR-Cas9 system that eliminated the Cas9- and the single-guide RNA (sgRNA)-encoding plasmids in one-step. Within 3 days, we successfully constructed an atrazine-degrading E. coli strain, thus further demonstrating the advantage of this new CRISPR-Cas9 system for bacterial genome editing. Our novel EXIT circuit, which exploits the homing endonuclease I- Sce I, enables plasmid(s) with different replication origins to be eliminated from their host cells rapidly and efficiently. We also developed an easy-to-use CRISPR-Cas9 system with the EXIT circuit, and this new system can be widely applied to bacterial genome editing.

  3. Cas9 specifies functional viral targets during CRISPR-Cas adaptation.

    PubMed

    Heler, Robert; Samai, Poulami; Modell, Joshua W; Weiner, Catherine; Goldberg, Gregory W; Bikard, David; Marraffini, Luciano A

    2015-03-12

    Clustered regularly interspaced short palindromic repeat (CRISPR) loci and their associated (Cas) proteins provide adaptive immunity against viral infection in prokaryotes. Upon infection, short phage sequences known as spacers integrate between CRISPR repeats and are transcribed into small RNA molecules that guide the Cas9 nuclease to the viral targets (protospacers). Streptococcus pyogenes Cas9 cleavage of the viral genome requires the presence of a 5'-NGG-3' protospacer adjacent motif (PAM) sequence immediately downstream of the viral target. It is not known whether and how viral sequences flanked by the correct PAM are chosen as new spacers. Here we show that Cas9 selects functional spacers by recognizing their PAM during spacer acquisition. The replacement of cas9 with alleles that lack the PAM recognition motif or recognize an NGGNG PAM eliminated or changed PAM specificity during spacer acquisition, respectively. Cas9 associates with other proteins of the acquisition machinery (Cas1, Cas2 and Csn2), presumably to provide PAM-specificity to this process. These results establish a new function for Cas9 in the genesis of prokaryotic immunological memory.

  4. A lentivirus-free inducible CRISPR-Cas9 system for efficient targeting of human genes.

    PubMed

    Bisht, Kamlesh; Grill, Sherilyn; Graniel, Jacqueline; Nandakumar, Jayakrishnan

    2017-08-01

    CRISPR-Cas9 is a cutting-edge tool for modifying genomes. The efficacy with which Cas9 recognizes its target has revolutionized the engineering of knockouts. However this efficacy complicates the knocking out of important genes in cultured cells. Unedited cells holding a survival advantage within an edited population can confound the knockout phenotype. Here we develop a HeLa-based system that overcomes this limitation, incorporating several attractive features. First, we use Flp-recombinase to generate clones stably integrated for Cas9 and guide RNAs, eliminating the possibility of unedited cells. Second, Cas9 can be induced uniformly in the clonal cultures using doxycycline to measure the knockout phenotype. Third, two genes can be simultaneously knocked out using this approach. Finally, by not involving lentiviruses, our method is appealing to a broad research audience. Using this methodology we generated an inducible AGO2-knockout cell line showing normal RNA interference in the absence of doxycycline. Upon induction of Cas9, the AGO2 locus was cleaved, the AGO2 protein was depleted, and RNA interference was compromised. In addition to generating inducible knockouts, our technology can be adapted to improve other applications of Cas9, including transcriptional/epigenetic modulation and visualization of cellular DNA loci. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  5. Structure Basis for Directional R-loop Formation and Substrate Handover Mechanisms in Type I CRISPR-Cas System.

    PubMed

    Xiao, Yibei; Luo, Min; Hayes, Robert P; Kim, Jonathan; Ng, Sherwin; Ding, Fang; Liao, Maofu; Ke, Ailong

    2017-06-29

    Type I CRISPR systems feature a sequential dsDNA target searching and degradation process, by crRNA-displaying Cascade and nuclease-helicase fusion enzyme Cas3, respectively. Here we present two cryo-EM snapshots of the Thermobifida fusca type I-E Cascade: (1) unwinding 11 bp of dsDNA at the seed-sequence region to scout for sequence complementarity, and (2) further unwinding of the entire protospacer to form a full R-loop. These structures provide the much-needed temporal and spatial resolution to resolve key mechanistic steps leading to Cas3 recruitment. In the early steps, PAM recognition causes severe DNA bending, leading to spontaneous DNA unwinding to form a seed-bubble. The full R-loop formation triggers conformational changes in Cascade, licensing Cas3 to bind. The same process also generates a bulge in the non-target DNA strand, enabling its handover to Cas3 for cleavage. The combination of both negative and positive checkpoints ensures stringent yet efficient target degradation in type I CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. Multiple mechanisms for CRISPR-Cas inhibition by anti-CRISPR proteins.

    PubMed

    Bondy-Denomy, Joseph; Garcia, Bianca; Strum, Scott; Du, Mingjian; Rollins, MaryClare F; Hidalgo-Reyes, Yurima; Wiedenheft, Blake; Maxwell, Karen L; Davidson, Alan R

    2015-10-01

    The battle for survival between bacteria and the viruses that infect them (phages) has led to the evolution of many bacterial defence systems and phage-encoded antagonists of these systems. Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR-associated (cas) genes comprise an adaptive immune system that is one of the most widespread means by which bacteria defend themselves against phages. We identified the first examples of proteins produced by phages that inhibit a CRISPR-Cas system. Here we performed biochemical and in vivo investigations of three of these anti-CRISPR proteins, and show that each inhibits CRISPR-Cas activity through a distinct mechanism. Two block the DNA-binding activity of the CRISPR-Cas complex, yet do this by interacting with different protein subunits, and using steric or non-steric modes of inhibition. The third anti-CRISPR protein operates by binding to the Cas3 helicase-nuclease and preventing its recruitment to the DNA-bound CRISPR-Cas complex. In vivo, this anti-CRISPR can convert the CRISPR-Cas system into a transcriptional repressor, providing the first example-to our knowledge-of modulation of CRISPR-Cas activity by a protein interactor. The diverse sequences and mechanisms of action of these anti-CRISPR proteins imply an independent evolution, and foreshadow the existence of other means by which proteins may alter CRISPR-Cas function.

  7. A Broad-Spectrum Inhibitor of CRISPR-Cas9.

    PubMed

    Harrington, Lucas B; Doxzen, Kevin W; Ma, Enbo; Liu, Jun-Jie; Knott, Gavin J; Edraki, Alireza; Garcia, Bianca; Amrani, Nadia; Chen, Janice S; Cofsky, Joshua C; Kranzusch, Philip J; Sontheimer, Erik J; Davidson, Alan R; Maxwell, Karen L; Doudna, Jennifer A

    2017-09-07

    CRISPR-Cas9 proteins function within bacterial immune systems to target and destroy invasive DNA and have been harnessed as a robust technology for genome editing. Small bacteriophage-encoded anti-CRISPR proteins (Acrs) can inactivate Cas9, providing an efficient off switch for Cas9-based applications. Here, we show that two Acrs, AcrIIC1 and AcrIIC3, inhibit Cas9 by distinct strategies. AcrIIC1 is a broad-spectrum Cas9 inhibitor that prevents DNA cutting by multiple divergent Cas9 orthologs through direct binding to the conserved HNH catalytic domain of Cas9. A crystal structure of an AcrIIC1-Cas9 HNH domain complex shows how AcrIIC1 traps Cas9 in a DNA-bound but catalytically inactive state. By contrast, AcrIIC3 blocks activity of a single Cas9 ortholog and induces Cas9 dimerization while preventing binding to the target DNA. These two orthogonal mechanisms allow for separate control of Cas9 target binding and cleavage and suggest applications to allow DNA binding while preventing DNA cutting by Cas9. Copyright © 2017 Elsevier Inc. All rights reserved.

  8. Targeted genome editing in a quail cell line using a customized CRISPR/Cas9 system.

    PubMed

    Ahn, Jinsoo; Lee, Joonbum; Park, Ju Yeon; Oh, Keon Bong; Hwang, Seongsoo; Lee, Chang-Won; Lee, Kichoon

    2017-05-01

    Soon after RNA-guided Cas9 (CRISPR-associated protein 9) endonuclease opened a new era of targeted genome editing, the CRISPR/Cas9 platform began to be extensively used to modify genes in various types of cells and organisms. However, successful CRISPR/Cas9-mediated insertion/deletion (indel) mutation remains to be demonstrated in avian cell lines. The objective of this study was to design a poultry-specific CRISPR/Cas9 system to efficiently introduce targeted deletion mutation in chromosomes of the quail muscle clone 7 (QM7) cell line using a customized quail CRISPR vector. In this study, two avian-specific promoters, quail 7SK (q7SK) promoter and CBh promoter, the hybrid form of cytomegalovirus and chicken β-actin promoters, were cloned into a CRISPR vector for the expression of guide RNA and Cas9 protein, respectively. Then, guide RNA, which was designed to target 20-base pair (bp) nucleotides in the quail melanophilin (MLPH) locus, was ligated to the modified CRISPR vector and transfected to QM7 cells. Our results showed multiple indel mutations in the quail MLPH locus in nearly half of the alleles being tested, suggesting the high efficiency of the system for targeted gene modification. The new CRISPR vector developed from this study has the potential application to generate knockout avian cell lines and knockout poultry. © 2016 Poultry Science Association Inc.

  9. Cytotoxic Chromosomal Targeting by CRISPR/Cas Systems Can Reshape Bacterial Genomes and Expel or Remodel Pathogenicity Islands

    PubMed Central

    Vercoe, Reuben B.; Chang, James T.; Dy, Ron L.; Taylor, Corinda; Gristwood, Tamzin; Clulow, James S.; Richter, Corinna; Przybilski, Rita; Pitman, Andrew R.; Fineran, Peter C.

    2013-01-01

    In prokaryotes, clustered regularly interspaced short palindromic repeats (CRISPRs) and their associated (Cas) proteins constitute a defence system against bacteriophages and plasmids. CRISPR/Cas systems acquire short spacer sequences from foreign genetic elements and incorporate these into their CRISPR arrays, generating a memory of past invaders. Defence is provided by short non-coding RNAs that guide Cas proteins to cleave complementary nucleic acids. While most spacers are acquired from phages and plasmids, there are examples of spacers that match genes elsewhere in the host bacterial chromosome. In Pectobacterium atrosepticum the type I-F CRISPR/Cas system has acquired a self-complementary spacer that perfectly matches a protospacer target in a horizontally acquired island (HAI2) involved in plant pathogenicity. Given the paucity of experimental data about CRISPR/Cas–mediated chromosomal targeting, we examined this process by developing a tightly controlled system. Chromosomal targeting was highly toxic via targeting of DNA and resulted in growth inhibition and cellular filamentation. The toxic phenotype was avoided by mutations in the cas operon, the CRISPR repeats, the protospacer target, and protospacer-adjacent motif (PAM) beside the target. Indeed, the natural self-targeting spacer was non-toxic due to a single nucleotide mutation adjacent to the target in the PAM sequence. Furthermore, we show that chromosomal targeting can result in large-scale genomic alterations, including the remodelling or deletion of entire pre-existing pathogenicity islands. These features can be engineered for the targeted deletion of large regions of bacterial chromosomes. In conclusion, in DNA–targeting CRISPR/Cas systems, chromosomal interference is deleterious by causing DNA damage and providing a strong selective pressure for genome alterations, which may have consequences for bacterial evolution and pathogenicity. PMID:23637624

  10. An Agrobacterium-delivered CRISPR/Cas9 system for high-frequency targeted mutagenesis in maize.

    PubMed

    Char, Si Nian; Neelakandan, Anjanasree K; Nahampun, Hartinio; Frame, Bronwyn; Main, Marcy; Spalding, Martin H; Becraft, Philip W; Meyers, Blake C; Walbot, Virginia; Wang, Kan; Yang, Bing

    2017-02-01

    CRISPR/Cas9 is a powerful genome editing tool in many organisms, including a number of monocots and dicots. Although the design and application of CRISPR/Cas9 is simpler compared to other nuclease-based genome editing tools, optimization requires the consideration of the DNA delivery and tissue regeneration methods for a particular species to achieve accuracy and efficiency. Here, we describe a public sector system, ISU Maize CRISPR, utilizing Agrobacterium-delivered CRISPR/Cas9 for high-frequency targeted mutagenesis in maize. This system consists of an Escherichia coli cloning vector and an Agrobacterium binary vector. It can be used to clone up to four guide RNAs for single or multiplex gene targeting. We evaluated this system for its mutagenesis frequency and heritability using four maize genes in two duplicated pairs: Argonaute 18 (ZmAgo18a and ZmAgo18b) and dihydroflavonol 4-reductase or anthocyaninless genes (a1 and a4). T 0 transgenic events carrying mono- or diallelic mutations of one locus and various combinations of allelic mutations of two loci occurred at rates over 70% mutants per transgenic events in both Hi-II and B104 genotypes. Through genetic segregation, null segregants carrying only the desired mutant alleles without the CRISPR transgene could be generated in T 1 progeny. Inheritance of an active CRISPR/Cas9 transgene leads to additional target-specific mutations in subsequent generations. Duplex infection of immature embryos by mixing two individual Agrobacterium strains harbouring different Cas9/gRNA modules can be performed for improved cost efficiency. Together, the findings demonstrate that the ISU Maize CRISPR platform is an effective and robust tool to targeted mutagenesis in maize. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  11. Enhancing Targeted Genomic DNA Editing in Chicken Cells Using the CRISPR/Cas9 System

    PubMed Central

    Wang, Ling; Yang, Likai; Guo, Yijie; Du, Weili; Yin, Yajun; Zhang, Tao; Lu, Hongzhao

    2017-01-01

    The CRISPR/Cas9 system has enabled highly efficient genome targeted editing for various organisms. However, few studies have focused on CRISPR/Cas9 nuclease-mediated chicken genome editing compared with mammalian genomes. The current study combined CRISPR with yeast Rad52 (yRad52) to enhance targeted genomic DNA editing in chicken DF-1 cells. The efficiency of CRISPR/Cas9 nuclease-induced targeted mutations in the chicken genome was increased to 41.9% via the enrichment of the dual-reporter surrogate system. In addition, the combined effect of CRISPR nuclease and yRad52 dramatically increased the efficiency of the targeted substitution in the myostatin gene using 50-mer oligodeoxynucleotides (ssODN) as the donor DNA, resulting in a 36.7% editing efficiency after puromycin selection. Furthermore, based on the effect of yRad52, the frequency of exogenous gene integration in the chicken genome was more than 3-fold higher than that without yRad52. Collectively, these results suggest that ssODN is an ideal donor DNA for targeted substitution and that CRISPR/Cas9 combined with yRad52 significantly enhances chicken genome editing. These findings could be extensively applied in other organisms. PMID:28068387

  12. Editing of mouse and human immunoglobulin genes by CRISPR-Cas9 system.

    PubMed

    Cheong, Taek-Chin; Compagno, Mara; Chiarle, Roberto

    2016-03-09

    Applications of the CRISPR-Cas9 system to edit the genome have widely expanded to include DNA gene knock-out, deletions, chromosomal rearrangements, RNA editing and genome-wide screenings. Here we show the application of CRISPR-Cas9 technology to edit the mouse and human immunoglobulin (Ig) genes. By delivering Cas9 and guide-RNA (gRNA) with retro- or lenti-virus to IgM(+) mouse B cells and hybridomas, we induce class-switch recombination (CSR) of the IgH chain to the desired subclass. Similarly, we induce CSR in all human B cell lines tested with high efficiency to targeted IgH subclass. Finally, we engineer mouse hybridomas to secrete Fab' fragments instead of the whole Ig. Our results indicate that Ig genes in mouse and human cells can be edited to obtain any desired IgH switching helpful to study the biology of normal and lymphoma B cells. We also propose applications that could transform the technology of antibody production.

  13. Functional Analysis of Porphyromonas gingivalis W83 CRISPR-Cas Systems.

    PubMed

    Burmistrz, Michał; Dudek, Bartosz; Staniec, Dominika; Rodriguez Martinez, Jose Ignacio; Bochtler, Matthias; Potempa, Jan; Pyrc, Krzysztof

    2015-08-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system provides prokaryotic cells with an adaptive and heritable immune response to foreign genetic elements, such as viruses, plasmids, and transposons. It is present in the majority of Archaea and almost half of species of Bacteria. Porphyromonas gingivalis is an important human pathogen that has been proven to be an etiological agent of periodontitis and has been linked to systemic conditions, such as rheumatoid arthritis and cardiovascular disease. At least 95% of clinical strains of P. gingivalis carry CRISPR arrays, suggesting that these arrays play an important function in vivo. Here we show that all four CRISPR arrays present in the P. gingivalis W83 genome are transcribed. For one of the arrays, we demonstrate in vivo activity against double-stranded DNA constructs containing protospacer sequences accompanied at the 3' end by an NGG protospacer-adjacent motif (PAM). Most of the 44 spacers present in the genome of P. gingivalis W83 share no significant similarity with any known sequences, although 4 spacers are similar to sequences from bacteria found in the oral cavity and the gastrointestinal tract. Four spacers match genomic sequences of the host; however, none of these is flanked at its 3' terminus by the appropriate PAM element. The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system is a unique system that provides prokaryotic cells with an adaptive and heritable immunity. In this report, we show that the CRISPR-Cas system of P. gingivalis, an important human pathogen associated with periodontitis and possibly also other conditions, such as rheumatoid arthritis and cardiovascular disease, is active and provides protection from foreign genetic elements. Importantly, the data presented here may be useful for better understanding the communication between cells in larger bacterial communities and

  14. Functional Analysis of Porphyromonas gingivalis W83 CRISPR-Cas Systems

    PubMed Central

    Burmistrz, Michał; Dudek, Bartosz; Staniec, Dominika; Rodriguez Martinez, Jose Ignacio; Bochtler, Matthias; Potempa, Jan

    2015-01-01

    ABSTRACT The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system provides prokaryotic cells with an adaptive and heritable immune response to foreign genetic elements, such as viruses, plasmids, and transposons. It is present in the majority of Archaea and almost half of species of Bacteria. Porphyromonas gingivalis is an important human pathogen that has been proven to be an etiological agent of periodontitis and has been linked to systemic conditions, such as rheumatoid arthritis and cardiovascular disease. At least 95% of clinical strains of P. gingivalis carry CRISPR arrays, suggesting that these arrays play an important function in vivo. Here we show that all four CRISPR arrays present in the P. gingivalis W83 genome are transcribed. For one of the arrays, we demonstrate in vivo activity against double-stranded DNA constructs containing protospacer sequences accompanied at the 3′ end by an NGG protospacer-adjacent motif (PAM). Most of the 44 spacers present in the genome of P. gingivalis W83 share no significant similarity with any known sequences, although 4 spacers are similar to sequences from bacteria found in the oral cavity and the gastrointestinal tract. Four spacers match genomic sequences of the host; however, none of these is flanked at its 3′ terminus by the appropriate PAM element. IMPORTANCE The CRISPR-Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated genes) system is a unique system that provides prokaryotic cells with an adaptive and heritable immunity. In this report, we show that the CRISPR-Cas system of P. gingivalis, an important human pathogen associated with periodontitis and possibly also other conditions, such as rheumatoid arthritis and cardiovascular disease, is active and provides protection from foreign genetic elements. Importantly, the data presented here may be useful for better understanding the communication between cells in larger bacterial

  15. Multigene knockout utilizing off-target mutations of the CRISPR/Cas9 system in rice.

    PubMed

    Endo, Masaki; Mikami, Masafumi; Toki, Seiichi

    2015-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)-associated endonuclease 9 (CRISPR/Cas9) system has been demonstrated to be a robust genome engineering tool in a variety of organisms including plants. However, it has been shown that the CRISPR/Cas9 system cleaves genomic DNA sequences containing mismatches to the guide RNA strand. We expected that this low specificity could be exploited to induce multihomeologous and multiparalogous gene knockouts. In the case of polyploid plants, simultaneous modification of multiple homeologous genes, i.e. genes with similar but not identical DNA sequences, is often needed to obtain a desired phenotype. Even in diploid plants, disruption of multiparalogous genes, which have functional redundancy, is often needed. To validate the applicability of the CRISPR/Cas9 system to target mutagenesis of paralogous genes in rice, we designed a single-guide RNA (sgRNA) that recognized 20 bp sequences of cyclin-dependent kinase B2 (CDKB2) as an on-target locus. These 20 bp possess similarity to other rice CDK genes (CDKA1, CDKA2 and CDKB1) with different numbers of mismatches. We analyzed mutations in these four CDK genes in plants regenerated from Cas9/sgRNA-transformed calli and revealed that single, double and triple mutants of CDKA2, CDKB1 and CDKB2 can be created by a single sgRNA. © The Author 2014. Published by Oxford University Press on behalf of Japanese Society of Plant Physiologists.

  16. Probing the structural dynamics of the CRISPR-Cas9 RNA-guided DNA-cleavage system by coarse-grained modeling.

    PubMed

    Zheng, Wenjun

    2017-02-01

    In the adaptive immune systems of many bacteria and archaea, the Cas9 endonuclease forms a complex with specific guide/scaffold RNA to identify and cleave complementary target sequences in foreign DNA. This DNA targeting machinery has been exploited in numerous applications of genome editing and transcription control. However, the molecular mechanism of the Cas9 system is still obscure. Recently, high-resolution structures have been solved for Cas9 in different structural forms (e.g., unbound forms, RNA-bound binary complexes, and RNA-DNA-bound tertiary complexes, corresponding to an inactive state, a pre-target-bound state, and a cleavage-competent or product state), which offered key structural insights to the Cas9 mechanism. To further probe the structural dynamics of Cas9 interacting with RNA and DNA at the amino-acid level of details, we have performed systematic coarse-grained modeling using an elastic network model and related analyses. Our normal mode analysis predicted a few key modes of collective motions that capture the observed conformational changes featuring large domain motions triggered by binding of RNA and DNA. Our flexibility analysis identified specific regions with high or low flexibility that coincide with key functional sites (such as DNA/RNA-binding sites, nuclease cleavage sites, and key hinges). We also identified a small set of hotspot residues that control the energetics of functional motions, which overlap with known functional sites and offer promising targets for future mutagenesis efforts to improve the specificity of Cas9. Finally, we modeled the conformational transitions of Cas9 from the unbound form to the binary complex and then the tertiary complex, and predicted a distinct sequence of domain motions. In sum, our findings have offered rich structural and dynamic details relevant to the Cas9 machinery, and will guide future investigation and engineering of the Cas9 systems. Proteins 2017; 85:342-353. © 2016 Wiley Periodicals

  17. The role of Cas8 in type I CRISPR interference.

    PubMed

    Cass, Simon D B; Haas, Karina A; Stoll, Britta; Alkhnbashi, Omer S; Sharma, Kundan; Urlaub, Henning; Backofen, Rolf; Marchfelder, Anita; Bolt, Edward L

    2015-05-05

    CRISPR (clustered regularly interspaced short palindromic repeat) systems provide bacteria and archaea with adaptive immunity to repel invasive genetic elements. Type I systems use 'cascade' [CRISPR-associated (Cas) complex for antiviral defence] ribonucleoprotein complexes to target invader DNA, by base pairing CRISPR RNA (crRNA) to protospacers. Cascade identifies PAMs (protospacer adjacent motifs) on invader DNA, triggering R-loop formation and subsequent DNA degradation by Cas3. Cas8 is a candidate PAM recognition factor in some cascades. We analysed Cas8 homologues from type IB CRISPR systems in archaea Haloferax volcanii (Hvo) and Methanothermobacter thermautotrophicus (Mth). Cas8 was essential for CRISPR interference in Hvo and purified Mth Cas8 protein responded to PAM sequence when binding to nucleic acids. Cas8 interacted physically with Cas5-Cas7-crRNA complex, stimulating binding to PAM containing substrates. Mutation of conserved Cas8 amino acid residues abolished interference in vivo and altered catalytic activity of Cas8 protein in vitro. This is experimental evidence that Cas8 is important for targeting Cascade to invader DNA. © 2015 Authors.

  18. Conservation and variability in the structure and function of the Cas5d endoribonuclease in the CRISPR-mediated microbial immune system.

    PubMed

    Koo, Yoon; Ka, Donghyun; Kim, Eun-Jin; Suh, Nayoung; Bae, Euiyoung

    2013-10-23

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins form an RNA-mediated microbial immune system against invading foreign genetic elements. Cas5 proteins constitute one of the most prevalent Cas protein families in CRISPR-Cas systems and are predicted to have RNA recognition motif (RRM) domains. Cas5d is a subtype I-C-specific Cas5 protein that can be divided into two distinct subgroups, one of which has extra C-terminal residues while the other contains a longer insertion in the middle of its N-terminal RRM domain. Here, we report crystal structures of Cas5d from Streptococcus pyogenes and Xanthomonas oryzae, which respectively represent the two Cas5d subgroups. Despite a common domain architecture consisting of an N-terminal RRM domain and a C-terminal β-sheet domain, the structural differences between the two Cas5d proteins are highlighted by the presence of a unique extended helical region protruding from the N-terminal RRM domain of X. oryzae Cas5d. We also demonstrate that Cas5d proteins possess not only specific endoribonuclease activity for CRISPR RNAs but also nonspecific double-stranded DNA binding affinity. These findings suggest that Cas5d may play multiple roles in CRISPR-mediated immunity. Furthermore, the specific RNA processing was also observed between S. pyogenes Cas5d protein and X. oryzae CRISPR RNA and vice versa. This cross-species activity of Cas5d provides a special opportunity for elucidating conserved features of the CRISPR RNA processing event. Copyright © 2013 Elsevier Ltd. All rights reserved.

  19. Assisting Students' Cognitive Strategies with the Use of CAS

    ERIC Educational Resources Information Center

    Sarvari, Csaba; Lavicza, Zsolt; Klincsik, Mihaly

    2010-01-01

    This paper examines various cognitive strategies applied while CAS (Computer Algebra System) are used in undergraduate-level engineering mathematics teaching and learning. We posed some questions in relation to such CAS use: What kind of tools can CAS offer to enhance different cognitive strategies of students? How can the use of CAS widen the…

  20. Sequence features associated with the cleavage efficiency of CRISPR/Cas9 system.

    PubMed

    Liu, Xiaoxi; Homma, Ayaka; Sayadi, Jamasb; Yang, Shu; Ohashi, Jun; Takumi, Toru

    2016-01-27

    The CRISPR-Cas9 system has recently emerged as a versatile tool for biological and medical research. In this system, a single guide RNA (sgRNA) directs the endonuclease Cas9 to a targeted DNA sequence for site-specific manipulation. In addition to this targeting function, the sgRNA has also been shown to play a role in activating the endonuclease activity of Cas9. This dual function of the sgRNA likely underlies observations that different sgRNAs have varying on-target activities. Currently, our understanding of the relationship between sequence features of sgRNAs and their on-target cleavage efficiencies remains limited, largely due to difficulties in assessing the cleavage capacity of a large number of sgRNAs. In this study, we evaluated the cleavage activities of 218 sgRNAs using in vitro Surveyor assays. We found that nucleotides at both PAM-distal and PAM-proximal regions of the sgRNA are significantly correlated with on-target efficiency. Furthermore, we also demonstrated that the genomic context of the targeted DNA, the GC percentage, and the secondary structure of sgRNA are critical factors contributing to cleavage efficiency. In summary, our study reveals important parameters for the design of sgRNAs with high on-target efficiencies, especially in the context of high throughput applications.

  1. Nucleosome breathing and remodeling constrain CRISPR-Cas9 function

    PubMed Central

    Isaac, R Stefan; Jiang, Fuguo; Doudna, Jennifer A; Lim, Wendell A; Narlikar, Geeta J; Almeida, Ricardo

    2016-01-01

    The CRISPR-Cas9 bacterial surveillance system has become a versatile tool for genome editing and gene regulation in eukaryotic cells, yet how CRISPR-Cas9 contends with the barriers presented by eukaryotic chromatin is poorly understood. Here we investigate how the smallest unit of chromatin, a nucleosome, constrains the activity of the CRISPR-Cas9 system. We find that nucleosomes assembled on native DNA sequences are permissive to Cas9 action. However, the accessibility of nucleosomal DNA to Cas9 is variable over several orders of magnitude depending on dynamic properties of the DNA sequence and the distance of the PAM site from the nucleosome dyad. We further find that chromatin remodeling enzymes stimulate Cas9 activity on nucleosomal templates. Our findings imply that the spontaneous breathing of nucleosomal DNA together with the action of chromatin remodelers allow Cas9 to effectively act on chromatin in vivo. DOI: http://dx.doi.org/10.7554/eLife.13450.001 PMID:27130520

  2. Efficient CRISPR/Cas9-based gene knockout in watermelon.

    PubMed

    Tian, Shouwei; Jiang, Linjian; Gao, Qiang; Zhang, Jie; Zong, Mei; Zhang, Haiying; Ren, Yi; Guo, Shaogui; Gong, Guoyi; Liu, Fan; Xu, Yong

    2017-03-01

    CRISPR/Cas9 system can precisely edit genomic sequence and effectively create knockout mutations in T0 generation watermelon plants. Genome editing offers great advantage to reveal gene function and generate agronomically important mutations to crops. Recently, RNA-guided genome editing system using the type II clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (Cas9) has been applied to several plant species, achieving successful targeted mutagenesis. Here, we report the genome of watermelon, an important fruit crop, can also be precisely edited by CRISPR/Cas9 system. ClPDS, phytoene desaturase in watermelon, was selected as the target gene because its mutant bears evident albino phenotype. CRISPR/Cas9 system performed genome editing, such as insertions or deletions at the expected position, in transfected watermelon protoplast cells. More importantly, all transgenic watermelon plants harbored ClPDS mutations and showed clear or mosaic albino phenotype, indicating that CRISPR/Cas9 system has technically 100% of genome editing efficiency in transgenic watermelon lines. Furthermore, there were very likely no off-target mutations, indicated by examining regions that were highly homologous to sgRNA sequences. Our results show that CRISPR/Cas9 system is a powerful tool to effectively create knockout mutations in watermelon.

  3. CRISPR/Cas system for yeast genome engineering: advances and applications

    PubMed Central

    Stovicek, Vratislav; Holkenbrink, Carina

    2017-01-01

    Abstract The methods based on the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) system have quickly gained popularity for genome editing and transcriptional regulation in many organisms, including yeast. This review aims to provide a comprehensive overview of CRISPR application for different yeast species: from basic principles and genetic design to applications. PMID:28505256

  4. Structure and Engineering of Francisella novicida Cas9

    PubMed Central

    Hirano, Hisato; Gootenberg, Jonathan S.; Horii, Takuro; Abudayyeh, Omar O.; Kimura, Mika; Hsu, Patrick D.; Nakane, Takanori; Ishitani, Ryuichiro; Hatada, Izuho; Zhang, Feng; Nishimasu, Hiroshi; Nureki, Osamu

    2016-01-01

    Summary The RNA-guided endonuclease Cas9 cleaves double-stranded DNA targets complementary to the guide RNA, and has been applied to programmable genome editing. Cas9-mediated cleavage requires a protospacer adjacent motif (PAM) juxtaposed with the DNA target sequence, thus constricting the range of targetable sites. Here, we report the 1.7 Å resolution crystal structures of Cas9 from Francisella novicida (FnCas9), one of the largest Cas9 orthologs, in complex with a guide RNA and its PAM-containing DNA targets. A structural comparison of FnCas9 with other Cas9 orthologs revealed striking conserved and divergent features among distantly related CRISPR-Cas9 systems. We found that FnCas9 recognizes the 5′-NGG-3′ PAM, and used the structural information to create a variant that can recognize the more relaxed 5′-YG-3′ PAM. Furthermore, we demonstrated that pre-assembled FnCas9 ribonucleoprotein complexes can be microinjected into mouse zygotes to edit endogenous sites with the 5′-YG-3′ PAMs, thus expanding the target space of the CRISPR-Cas9 toolbox. PMID:26875867

  5. Structure and Engineering of Francisella novicida Cas9.

    PubMed

    Hirano, Hisato; Gootenberg, Jonathan S; Horii, Takuro; Abudayyeh, Omar O; Kimura, Mika; Hsu, Patrick D; Nakane, Takanori; Ishitani, Ryuichiro; Hatada, Izuho; Zhang, Feng; Nishimasu, Hiroshi; Nureki, Osamu

    2016-02-25

    The RNA-guided endonuclease Cas9 cleaves double-stranded DNA targets complementary to the guide RNA and has been applied to programmable genome editing. Cas9-mediated cleavage requires a protospacer adjacent motif (PAM) juxtaposed with the DNA target sequence, thus constricting the range of targetable sites. Here, we report the 1.7 Å resolution crystal structures of Cas9 from Francisella novicida (FnCas9), one of the largest Cas9 orthologs, in complex with a guide RNA and its PAM-containing DNA targets. A structural comparison of FnCas9 with other Cas9 orthologs revealed striking conserved and divergent features among distantly related CRISPR-Cas9 systems. We found that FnCas9 recognizes the 5'-NGG-3' PAM, and used the structural information to create a variant that can recognize the more relaxed 5'-YG-3' PAM. Furthermore, we demonstrated that the FnCas9-ribonucleoprotein complex can be microinjected into mouse zygotes to edit endogenous sites with the 5'-YG-3' PAM, thus expanding the target space of the CRISPR-Cas9 toolbox. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. From Calculus to Dynamical Systems through DGS and CAS

    ERIC Educational Resources Information Center

    García, Jeanett López; Zamudio, Jorge Javier Jiménez

    2015-01-01

    Several factors have motivated the use of CAS or DGS in the teaching-learning process, such as: the development of new technologies, the availability of computers, and the widespread use of the Internet, among others. Even more, the trend to include CAS and DGS in the curricula of some undergraduate studies has resulted in the instruction of the…

  7. Cas4-Dependent Prespacer Processing Ensures High-Fidelity Programming of CRISPR Arrays.

    PubMed

    Lee, Hayun; Zhou, Yi; Taylor, David W; Sashital, Dipali G

    2018-04-05

    CRISPR-Cas immune systems integrate short segments of foreign DNA as spacers into the host CRISPR locus to provide molecular memory of infection. Cas4 proteins are widespread in CRISPR-Cas systems and are thought to participate in spacer acquisition, although their exact function remains unknown. Here we show that Bacillus halodurans type I-C Cas4 is required for efficient prespacer processing prior to Cas1-Cas2-mediated integration. Cas4 interacts tightly with the Cas1 integrase, forming a heterohexameric complex containing two Cas1 dimers and two Cas4 subunits. In the presence of Cas1 and Cas2, Cas4 processes double-stranded substrates with long 3' overhangs through site-specific endonucleolytic cleavage. Cas4 recognizes PAM sequences within the prespacer and prevents integration of unprocessed prespacers, ensuring that only functional spacers will be integrated into the CRISPR array. Our results reveal the critical role of Cas4 in maintaining fidelity during CRISPR adaptation, providing a structural and mechanistic model for prespacer processing and integration. Copyright © 2018 Elsevier Inc. All rights reserved.

  8. CRISPR-Cas Genome Surgery in Ophthalmology

    PubMed Central

    DiCarlo, James E.; Sengillo, Jesse D.; Justus, Sally; Cabral, Thiago; Tsang, Stephen H.; Mahajan, Vinit B.

    2017-01-01

    Genetic disease affecting vision can significantly impact patient quality of life. Gene therapy seeks to slow the progression of these diseases by treating the underlying etiology at the level of the genome. Clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated systems (Cas) represent powerful tools for studying diseases through the creation of model organisms generated by targeted modification and by the correction of disease mutations for therapeutic purposes. CRISPR-Cas systems have been applied successfully to the visual sciences and study of ophthalmic disease – from the modification of zebrafish and mammalian models of eye development and disease, to the correction of pathogenic mutations in patient-derived stem cells. Recent advances in CRISPR-Cas delivery and optimization boast improved functionality that continues to enhance genome-engineering applications in the eye. This review provides a synopsis of the recent implementations of CRISPR-Cas tools in the field of ophthalmology. PMID:28573077

  9. Breaking-Cas-interactive design of guide RNAs for CRISPR-Cas experiments for ENSEMBL genomes.

    PubMed

    Oliveros, Juan C; Franch, Mònica; Tabas-Madrid, Daniel; San-León, David; Montoliu, Lluis; Cubas, Pilar; Pazos, Florencio

    2016-07-08

    The CRISPR/Cas technology is enabling targeted genome editing in multiple organisms with unprecedented accuracy and specificity by using RNA-guided nucleases. A critical point when planning a CRISPR/Cas experiment is the design of the guide RNA (gRNA), which directs the nuclease and associated machinery to the desired genomic location. This gRNA has to fulfil the requirements of the nuclease and lack homology with other genome sites that could lead to off-target effects. Here we introduce the Breaking-Cas system for the design of gRNAs for CRISPR/Cas experiments, including those based in the Cas9 nuclease as well as others recently introduced. The server has unique features not available in other tools, including the possibility of using all eukaryotic genomes available in ENSEMBL (currently around 700), placing variable PAM sequences at 5' or 3' and setting the guide RNA length and the scores per nucleotides. It can be freely accessed at: http://bioinfogp.cnb.csic.es/tools/breakingcas, and the code is available upon request. © The Author(s) 2016. Published by Oxford University Press on behalf of Nucleic Acids Research.

  10. Differential Distribution of Type II CRISPR-Cas Systems in Agricultural and Nonagricultural Campylobacter coli and Campylobacter jejuni Isolates Correlates with Lack of Shared Environments

    PubMed Central

    Pearson, Bruce M.; Louwen, Rogier; van Baarlen, Peter; van Vliet, Arnoud H.M.

    2015-01-01

    CRISPR (clustered regularly interspaced palindromic repeats)-Cas (CRISPR-associated) systems are sequence-specific adaptive defenses against phages and plasmids which are widespread in prokaryotes. Here we have studied whether phylogenetic relatedness or sharing of environmental niches affects the distribution and dissemination of Type II CRISPR-Cas systems, first in 132 bacterial genomes from 15 phylogenetic classes, ranging from Proteobacteria to Actinobacteria. There was clustering of distinct Type II CRISPR-Cas systems in phylogenetically distinct genera with varying G+C%, which share environmental niches. The distribution of CRISPR-Cas within a genus was studied using a large collection of genome sequences of the closely related Campylobacter species Campylobacter jejuni (N = 3,746) and Campylobacter coli (N = 486). The Cas gene cas9 and CRISPR-repeat are almost universally present in C. jejuni genomes (98.0% positive) but relatively rare in C. coli genomes (9.6% positive). Campylobacter jejuni and agricultural C. coli isolates share the C. jejuni CRISPR-Cas system, which is closely related to, but distinct from the C. coli CRISPR-Cas system found in C. coli isolates from nonagricultural sources. Analysis of the genomic position of CRISPR-Cas insertion suggests that the C. jejuni-type CRISPR-Cas has been transferred to agricultural C. coli. Conversely, the absence of the C. coli-type CRISPR-Cas in agricultural C. coli isolates may be due to these isolates not sharing the same environmental niche, and may be affected by farm hygiene and biosecurity practices in the agricultural sector. Finally, many CRISPR spacer alleles were linked with specific multilocus sequence types, suggesting that these can assist molecular epidemiology applications for C. jejuni and C. coli. PMID:26338188

  11. Development of a genome editing technique using the CRISPR/Cas9 system in the industrial filamentous fungus Aspergillus oryzae.

    PubMed

    Katayama, Takuya; Tanaka, Yuki; Okabe, Tomoya; Nakamura, Hidetoshi; Fujii, Wataru; Kitamoto, Katsuhiko; Maruyama, Jun-Ichi

    2016-04-01

    To develop a genome editing method using the CRISPR/Cas9 system in Aspergillus oryzae, the industrial filamentous fungus used in Japanese traditional fermentation and for the production of enzymes and heterologous proteins. To develop the CRISPR/Cas9 system as a genome editing technique for A. oryzae, we constructed plasmids expressing the gene encoding Cas9 nuclease and single guide RNAs for the mutagenesis of target genes. We introduced these into an A. oryzae strain and obtained transformants containing mutations within each target gene that exhibited expected phenotypes. The mutational rates ranged from 10 to 20 %, and 1 bp deletions or insertions were the most commonly induced mutations. We developed a functional and versatile genome editing method using the CRISPR/Cas9 system in A. oryzae. This technique will contribute to the use of efficient targeted mutagenesis in many A. oryzae industrial strains.

  12. An episomal vector-based CRISPR/Cas9 system for highly efficient gene knockout in human pluripotent stem cells.

    PubMed

    Xie, Yifang; Wang, Daqi; Lan, Feng; Wei, Gang; Ni, Ting; Chai, Renjie; Liu, Dong; Hu, Shijun; Li, Mingqing; Li, Dajin; Wang, Hongyan; Wang, Yongming

    2017-05-24

    Human pluripotent stem cells (hPSCs) represent a unique opportunity for understanding the molecular mechanisms underlying complex traits and diseases. CRISPR/Cas9 is a powerful tool to introduce genetic mutations into the hPSCs for loss-of-function studies. Here, we developed an episomal vector-based CRISPR/Cas9 system, which we called epiCRISPR, for highly efficient gene knockout in hPSCs. The epiCRISPR system enables generation of up to 100% Insertion/Deletion (indel) rates. In addition, the epiCRISPR system enables efficient double-gene knockout and genomic deletion. To minimize off-target cleavage, we combined the episomal vector technology with double-nicking strategy and recent developed high fidelity Cas9. Thus the epiCRISPR system offers a highly efficient platform for genetic analysis in hPSCs.

  13. Cas9-mediated targeting of viral RNA in eukaryotic cells.

    PubMed

    Price, Aryn A; Sampson, Timothy R; Ratner, Hannah K; Grakoui, Arash; Weiss, David S

    2015-05-12

    Clustered, regularly interspaced, short palindromic repeats-CRISPR associated (CRISPR-Cas) systems are prokaryotic RNA-directed endonuclease machineries that act as an adaptive immune system against foreign genetic elements. Using small CRISPR RNAs that provide specificity, Cas proteins recognize and degrade nucleic acids. Our previous work demonstrated that the Cas9 endonuclease from Francisella novicida (FnCas9) is capable of targeting endogenous bacterial RNA. Here, we show that FnCas9 can be directed by an engineered RNA-targeting guide RNA to target and inhibit a human +ssRNA virus, hepatitis C virus, within eukaryotic cells. This work reveals a versatile and portable RNA-targeting system that can effectively function in eukaryotic cells and be programmed as an antiviral defense.

  14. Cas9-mediated targeting of viral RNA in eukaryotic cells

    PubMed Central

    Price, Aryn A.; Sampson, Timothy R.; Ratner, Hannah K.; Grakoui, Arash; Weiss, David S.

    2015-01-01

    Clustered, regularly interspaced, short palindromic repeats–CRISPR associated (CRISPR-Cas) systems are prokaryotic RNA-directed endonuclease machineries that act as an adaptive immune system against foreign genetic elements. Using small CRISPR RNAs that provide specificity, Cas proteins recognize and degrade nucleic acids. Our previous work demonstrated that the Cas9 endonuclease from Francisella novicida (FnCas9) is capable of targeting endogenous bacterial RNA. Here, we show that FnCas9 can be directed by an engineered RNA-targeting guide RNA to target and inhibit a human +ssRNA virus, hepatitis C virus, within eukaryotic cells. This work reveals a versatile and portable RNA-targeting system that can effectively function in eukaryotic cells and be programmed as an antiviral defense. PMID:25918406

  15. Predominance of Single Prophage Carrying a CRISPR/cas System in "Candidatus Liberibacter asiaticus" Strains in Southern China.

    PubMed

    Zheng, Zheng; Bao, Minli; Wu, Fengnian; Chen, Jianchi; Deng, Xiaoling

    2016-01-01

    "Candidatus Liberibacter asiaticus" (CLas) is an uncultureable α-proteobacterium associated with citrus Huanglongbing (HLB, yellow shoot disease), a highly destructive disease affecting citrus production worldwide. HLB was observed in Guangdong Province of China over a hundred years ago and remains endemic there. Little is known about CLas biology due to its uncultureable nature. This study began with the genome sequence analysis of CLas Strain A4 from Guangdong in the prophage region. Within the two currently known prophage types, Type 1 (SC1-like) and Type 2 (SC2-like), A4 genome contained only a Type 2 prophage, CGdP2, namely. An analysis on CLas strains collected in Guangdong showed that Type 2 prophage dominated the bacterial population (82.6%, 71/86). An extended survey covering five provinces in southern China also revealed the predominance of single prophage (Type 1 or Type 2) in the CLas population (90.4%, 169/187). CLas strains with two and no prophage types accounted for 7.2% and 2.8%, respectively. In silico analyses on CGdP2 identified a CRISPR (clustered regularly interspaced short palindromic repeats)/cas (CRISPR-associated protein genes) system, consisting of four 22 bp repeats, three 23 bp spacers and 9 predicted cas. Similar CRISPR/cas systems were detected in all 10 published CLas prophages as well as 13 CLas field strains in southern China. Both Type 1 and Type 2 prophages shared almost identical sequences in spacer 1 and 3 but not spacer 2. Considering that the function of a CRISPR/cas system was to destroy invading DNA, it was hypothesized that a pre-established CLas prophage could use its CRISPR/cas system guided by spacer 1 and/or 3 to defeat the invasion of the other phage/prophage. This hypothesis explained the predominance of single prophage type in the CLas population in southern China. This is the first report of CRISPR/cas system in the "Ca. Liberibacter" genera.

  16. Programmable removal of bacterial strains by use of genome-targeting CRISPR-Cas systems.

    PubMed

    Gomaa, Ahmed A; Klumpe, Heidi E; Luo, Michelle L; Selle, Kurt; Barrangou, Rodolphe; Beisel, Chase L

    2014-01-28

    CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems in bacteria and archaea employ CRISPR RNAs to specifically recognize the complementary DNA of foreign invaders, leading to sequence-specific cleavage or degradation of the target DNA. Recent work has shown that the accidental or intentional targeting of the bacterial genome is cytotoxic and can lead to cell death. Here, we have demonstrated that genome targeting with CRISPR-Cas systems can be employed for the sequence-specific and titratable removal of individual bacterial strains and species. Using the type I-E CRISPR-Cas system in Escherichia coli as a model, we found that this effect could be elicited using native or imported systems and was similarly potent regardless of the genomic location, strand, or transcriptional activity of the target sequence. Furthermore, the specificity of targeting with CRISPR RNAs could readily distinguish between even highly similar strains in pure or mixed cultures. Finally, varying the collection of delivered CRISPR RNAs could quantitatively control the relative number of individual strains within a mixed culture. Critically, the observed selectivity and programmability of bacterial removal would be virtually impossible with traditional antibiotics, bacteriophages, selectable markers, or tailored growth conditions. Once delivery challenges are addressed, we envision that this approach could offer a novel means to quantitatively control the composition of environmental and industrial microbial consortia and may open new avenues for the development of "smart" antibiotics that circumvent multidrug resistance and differentiate between pathogenic and beneficial microorganisms. Controlling the composition of microbial populations is a critical aspect in medicine, biotechnology, and environmental cycles. While different antimicrobial strategies, such as antibiotics, antimicrobial peptides, and lytic bacteriophages, offer partial solutions

  17. Chemical and Biophysical Modulation of Cas9 for Tunable Genome Engineering.

    PubMed

    Nuñez, James K; Harrington, Lucas B; Doudna, Jennifer A

    2016-03-18

    The application of the CRISPR-Cas9 system for genome engineering has revolutionized the ability to interrogate genomes of mammalian cells. Programming the Cas9 endonuclease to induce DNA breaks at specified sites is achieved by simply modifying the sequence of its cognate guide RNA. Although Cas9-mediated genome editing has been shown to be highly specific, cleavage events at off-target sites have also been reported. Minimizing, and eventually abolishing, unwanted off-target cleavage remains a major goal of the CRISPR-Cas9 technology before its implementation for therapeutic use. Recent efforts have turned to chemical biology and biophysical approaches to engineer inducible genome editing systems for controlling Cas9 activity at the transcriptional and protein levels. Here, we review recent advancements to modulate Cas9-mediated genome editing by engineering split-Cas9 constructs, inteins, small molecules, protein-based dimerizing domains, and light-inducible systems.

  18. Function and Regulation of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) / CRISPR Associated (Cas) Systems

    PubMed Central

    Richter, Corinna; Chang, James T.; Fineran, Peter C.

    2012-01-01

    Phages are the most abundant biological entities on earth and pose a constant challenge to their bacterial hosts. Thus, bacteria have evolved numerous ‘innate’ mechanisms of defense against phage, such as abortive infection or restriction/modification systems. In contrast, the clustered regularly interspaced short palindromic repeats (CRISPR) systems provide acquired, yet heritable, sequence-specific ‘adaptive’ immunity against phage and other horizontally-acquired elements, such as plasmids. Resistance is acquired following viral infection or plasmid uptake when a short sequence of the foreign genome is added to the CRISPR array. CRISPRs are then transcribed and processed, generally by CRISPR associated (Cas) proteins, into short interfering RNAs (crRNAs), which form part of a ribonucleoprotein complex. This complex guides the crRNA to the complementary invading nucleic acid and targets this for degradation. Recently, there have been rapid advances in our understanding of CRISPR/Cas systems. In this review, we will present the current model(s) of the molecular events involved in both the acquisition of immunity and interference stages and will also address recent progress in our knowledge of the regulation of CRISPR/Cas systems. PMID:23202464

  19. Function and regulation of clustered regularly interspaced short palindromic repeats (CRISPR) / CRISPR associated (Cas) systems.

    PubMed

    Richter, Corinna; Chang, James T; Fineran, Peter C

    2012-10-19

    Phages are the most abundant biological entities on earth and pose a constant challenge to their bacterial hosts. Thus, bacteria have evolved numerous 'innate' mechanisms of defense against phage, such as abortive infection or restriction/modification systems. In contrast, the clustered regularly interspaced short palindromic repeats (CRISPR) systems provide acquired, yet heritable, sequence-specific 'adaptive' immunity against phage and other horizontally-acquired elements, such as plasmids. Resistance is acquired following viral infection or plasmid uptake when a short sequence of the foreign genome is added to the CRISPR array. CRISPRs are then transcribed and processed, generally by CRISPR associated (Cas) proteins, into short interfering RNAs (crRNAs), which form part of a ribonucleoprotein complex. This complex guides the crRNA to the complementary invading nucleic acid and targets this for degradation. Recently, there have been rapid advances in our understanding of CRISPR/Cas systems. In this review, we will present the current model(s) of the molecular events involved in both the acquisition of immunity and interference stages and will also address recent progress in our knowledge of the regulation of CRISPR/Cas systems.

  20. An efficient genotyping method for genome-modified animals and human cells generated with CRISPR/Cas9 system.

    PubMed

    Zhu, Xiaoxiao; Xu, Yajie; Yu, Shanshan; Lu, Lu; Ding, Mingqin; Cheng, Jing; Song, Guoxu; Gao, Xing; Yao, Liangming; Fan, Dongdong; Meng, Shu; Zhang, Xuewen; Hu, Shengdi; Tian, Yong

    2014-09-19

    The rapid generation of various species and strains of laboratory animals using CRISPR/Cas9 technology has dramatically accelerated the interrogation of gene function in vivo. So far, the dominant approach for genotyping of genome-modified animals has been the T7E1 endonuclease cleavage assay. Here, we present a polyacrylamide gel electrophoresis-based (PAGE) method to genotype mice harboring different types of indel mutations. We developed 6 strains of genome-modified mice using CRISPR/Cas9 system, and utilized this approach to genotype mice from F0 to F2 generation, which included single and multiplexed genome-modified mice. We also determined the maximal detection sensitivity for detecting mosaic DNA using PAGE-based assay as 0.5%. We further applied PAGE-based genotyping approach to detect CRISPR/Cas9-mediated on- and off-target effect in human 293T and induced pluripotent stem cells (iPSCs). Thus, PAGE-based genotyping approach meets the rapidly increasing demand for genotyping of the fast-growing number of genome-modified animals and human cell lines created using CRISPR/Cas9 system or other nuclease systems such as TALEN or ZFN.

  1. An Efficient Genotyping Method for Genome-modified Animals and Human Cells Generated with CRISPR/Cas9 System

    PubMed Central

    Zhu, Xiaoxiao; Xu, Yajie; Yu, Shanshan; Lu, Lu; Ding, Mingqin; Cheng, Jing; Song, Guoxu; Gao, Xing; Yao, Liangming; Fan, Dongdong; Meng, Shu; Zhang, Xuewen; Hu, Shengdi; Tian, Yong

    2014-01-01

    The rapid generation of various species and strains of laboratory animals using CRISPR/Cas9 technology has dramatically accelerated the interrogation of gene function in vivo. So far, the dominant approach for genotyping of genome-modified animals has been the T7E1 endonuclease cleavage assay. Here, we present a polyacrylamide gel electrophoresis-based (PAGE) method to genotype mice harboring different types of indel mutations. We developed 6 strains of genome-modified mice using CRISPR/Cas9 system, and utilized this approach to genotype mice from F0 to F2 generation, which included single and multiplexed genome-modified mice. We also determined the maximal detection sensitivity for detecting mosaic DNA using PAGE-based assay as 0.5%. We further applied PAGE-based genotyping approach to detect CRISPR/Cas9-mediated on- and off-target effect in human 293T and induced pluripotent stem cells (iPSCs). Thus, PAGE-based genotyping approach meets the rapidly increasing demand for genotyping of the fast-growing number of genome-modified animals and human cell lines created using CRISPR/Cas9 system or other nuclease systems such as TALEN or ZFN. PMID:25236476

  2. Exploiting CRISPR-Cas to manipulate Enterococcus faecalis populations.

    PubMed

    Hullahalli, Karthik; Rodrigues, Marinelle; Palmer, Kelli L

    2017-06-23

    CRISPR-Cas provides a barrier to horizontal gene transfer in prokaryotes. It was previously observed that functional CRISPR-Cas systems are absent from multidrug-resistant (MDR) Enterococcus faecalis , which only possess an orphan CRISPR locus, termed CRISPR2, lacking cas genes. Here, we investigate how the interplay between CRISPR-Cas genome defense and antibiotic selection for mobile genetic elements shapes in vitro E. faecalis populations. We demonstrate that CRISPR2 can be reactivated for genome defense in MDR strains. Interestingly, we observe that E. faecalis transiently maintains CRISPR targets despite active CRISPR-Cas systems. Subsequently, if selection for the CRISPR target is present, toxic CRISPR spacers are lost over time, while in the absence of selection, CRISPR targets are lost over time. We find that forced maintenance of CRISPR targets induces a fitness cost that can be exploited to alter heterogeneous E. faecalis populations.

  3. Genome Editing in Clostridium saccharoperbutylacetonicum N1-4 with the CRISPR-Cas9 System.

    PubMed

    Wang, Shaohua; Dong, Sheng; Wang, Pixiang; Tao, Yong; Wang, Yi

    2017-05-15

    Clostridium saccharoperbutylacetonicum N1-4 is well known as a hyper-butanol-producing strain. However, the lack of genetic engineering tools hinders further elucidation of its solvent production mechanism and development of more robust strains. In this study, we set out to develop an efficient genome engineering system for this microorganism based on the clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated 9 (CRISPR-Cas9) system. First, the functionality of the CRISPR-Cas9 system previously customized for Clostridium beijerinckii was evaluated in C. saccharoperbutylacetonicum by targeting pta and buk , two essential genes for acetate and butyrate production, respectively. pta and buk single and double deletion mutants were successfully obtained based on this system. However, the genome engineering efficiency was rather low (the mutation rate is <20%). Therefore, the efficiency was further optimized by evaluating various promoters for guide RNA (gRNA) expression. With promoter P J23119 , we achieved a mutation rate of 75% for pta deletion without serial subculturing as suggested previously for C. beijerinckii Thus, this developed CRISPR-Cas9 system is highly desirable for efficient genome editing in C. saccharoperbutylacetonicum Batch fermentation results revealed that both the acid and solvent production profiles were altered due to the disruption of acid production pathways; however, neither acetate nor butyrate production was eliminated with the deletion of the corresponding gene. The butanol production, yield, and selectivity were improved in mutants, depending on the fermentation medium. In the pta buk double deletion mutant, the butanol production in P2 medium reached 19.0 g/liter, which is one of the highest levels ever reported from batch fermentations. IMPORTANCE An efficient CRISPR-Cas9 genome engineering system was developed for C. saccharoperbutylacetonicum N1-4. This paves the way for elucidating the solvent

  4. 48 CFR 9903.201-2 - Types of CAS coverage.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    .... 9903.201-2 Section 9903.201-2 Federal Acquisition Regulations System COST ACCOUNTING STANDARDS BOARD... ACCOUNTING STANDARDS CONTRACT COVERAGE CAS Program Requirements 9903.201-2 Types of CAS coverage. (a) Full... net CAS-covered awards during its preceding cost accounting period. (b) Modified coverage. (1...

  5. CRISPR/Cas9-mediated noncoding RNA editing in human cancers.

    PubMed

    Yang, Jie; Meng, Xiaodan; Pan, Jinchang; Jiang, Nan; Zhou, Chengwei; Wu, Zhenhua; Gong, Zhaohui

    2018-01-02

    Cancer is characterized by multiple genetic and epigenetic alterations, including a higher prevalence of mutations of oncogenes and/or tumor suppressors. Mounting evidences have shown that noncoding RNAs (ncRNAs) are involved in the epigenetic regulation of cancer genes and their associated pathways. The clustered regularly interspaced short palindromic repeats (CRISPR)-associated nuclease 9 (CRISPR/Cas9) system, a revolutionary genome-editing technology, has shed light on ncRNA-based cancer therapy. Here, we briefly introduce the classifications and mechanisms of CRISPR/Cas9 system. Importantly, we mainly focused on the applications of CRISPR/Cas9 system as a molecular tool for ncRNA (microRNA, long noncoding RNA and circular RNA, etc.) editing in human cancers, and the novel techniques that are based on CRISPR/Cas9 system. Additionally, the off-target effects and the corresponding solutions as well as the challenges toward CRISPR/Cas9 were also evaluated and discussed. Long- and short-ncRNAs have been employed as targets in precision oncology, and CRISPR/Cas9-mediated ncRNA editing may provide an excellent way to cure cancer.

  6. [Application of CRISPR/Cas9 mediated genome editing in farm animals].

    PubMed

    Xing, Yu-yun; Yang, Qiang; Ren, Jun

    2016-03-01

    CRISPR (Clustered regularly interspaced short palindromic repeats)/Cas (CRISPR associated proteins) is an acquired immune system found in bacteria and archaea that fight against invasion of viruses or plasmids. CRISPR/Cas systems are currently classified into three main types: I, II and III, of which type II has relatively simple components. The CRISPR/Cas9 technology modified from type II CRISPR/Cas system has been developed as an efficient genome editing tool. Since the initial application of the CRISPR/Cas9 technology in mammals in 2013, the reports of this system for genomic editing has skyrocketed. Farm animals are not only economically important animals, but also ideal animal models for human diseases and biomedical studies. In this review, we summarize the applications of CRISPR/Cas9 in farm animals, briefly describe the off-target effects and the main solutions, and finally highlight the future perspectives of this technology.

  7. Differential Distribution of Type II CRISPR-Cas Systems in Agricultural and Nonagricultural Campylobacter coli and Campylobacter jejuni Isolates Correlates with Lack of Shared Environments.

    PubMed

    Pearson, Bruce M; Louwen, Rogier; van Baarlen, Peter; van Vliet, Arnoud H M

    2015-09-02

    CRISPR (clustered regularly interspaced palindromic repeats)-Cas (CRISPR-associated) systems are sequence-specific adaptive defenses against phages and plasmids which are widespread in prokaryotes. Here we have studied whether phylogenetic relatedness or sharing of environmental niches affects the distribution and dissemination of Type II CRISPR-Cas systems, first in 132 bacterial genomes from 15 phylogenetic classes, ranging from Proteobacteria to Actinobacteria. There was clustering of distinct Type II CRISPR-Cas systems in phylogenetically distinct genera with varying G+C%, which share environmental niches. The distribution of CRISPR-Cas within a genus was studied using a large collection of genome sequences of the closely related Campylobacter species Campylobacter jejuni (N = 3,746) and Campylobacter coli (N = 486). The Cas gene cas9 and CRISPR-repeat are almost universally present in C. jejuni genomes (98.0% positive) but relatively rare in C. coli genomes (9.6% positive). Campylobacter jejuni and agricultural C. coli isolates share the C. jejuni CRISPR-Cas system, which is closely related to, but distinct from the C. coli CRISPR-Cas system found in C. coli isolates from nonagricultural sources. Analysis of the genomic position of CRISPR-Cas insertion suggests that the C. jejuni-type CRISPR-Cas has been transferred to agricultural C. coli. Conversely, the absence of the C. coli-type CRISPR-Cas in agricultural C. coli isolates may be due to these isolates not sharing the same environmental niche, and may be affected by farm hygiene and biosecurity practices in the agricultural sector. Finally, many CRISPR spacer alleles were linked with specific multilocus sequence types, suggesting that these can assist molecular epidemiology applications for C. jejuni and C. coli. © The Author(s) 2015. Published by Oxford University Press on behalf of the Society for Molecular Biology and Evolution.

  8. CRISPR-Cas9 technology and its application in haematological disorders

    PubMed Central

    Zhang, Han; McCarty, Nami

    2018-01-01

    Summary The recent advent of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated protein 9 (Cas9) system for precise genome editing has revolutionized methodologies in haematology and oncology studies. CRISPR-Cas9 technology can be used to remove and correct genes or mutations, and to introduce site-specific therapeutic genes in human cells. Inherited haematological disorders represent ideal targets for CRISPR-Cas9-mediated gene therapy. Correcting disease-causing mutations could alleviate disease-related symptoms in the near future. The CRISPR-Cas9 system is also a useful tool for delineating molecular mechanisms involving haematological malignancies. Prior to the use of CRISPR-Cas9-mediated gene correction in humans, appropriate delivery systems with higher efficiency and specificity must be identified, and ethical guidelines for applying the technology with controllable safety must be established. Here, the latest applications of CRISPR-Cas9 technology in haematological disorders, current challenges and future directions are reviewed and discussed. PMID:27619566

  9. CRISPR-Cas9 technology and its application in haematological disorders.

    PubMed

    Zhang, Han; McCarty, Nami

    2016-10-01

    The recent advent of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated protein 9 (Cas9) system for precise genome editing has revolutionized methodologies in haematology and oncology studies. CRISPR-Cas9 technology can be used to remove and correct genes or mutations, and to introduce site-specific therapeutic genes in human cells. Inherited haematological disorders represent ideal targets for CRISPR-Cas9-mediated gene therapy. Correcting disease-causing mutations could alleviate disease-related symptoms in the near future. The CRISPR-Cas9 system is also a useful tool for delineating molecular mechanisms involving haematological malignancies. Prior to the use of CRISPR-Cas9-mediated gene correction in humans, appropriate delivery systems with higher efficiency and specificity must be identified, and ethical guidelines for applying the technology with controllable safety must be established. Here, the latest applications of CRISPR-Cas9 technology in haematological disorders, current challenges and future directions are reviewed and discussed. © 2016 John Wiley & Sons Ltd.

  10. A Robust CRISPR/Cas9 System for Convenient, High-Efficiency Multiplex Genome Editing in Monocot and Dicot Plants.

    PubMed

    Ma, Xingliang; Zhang, Qunyu; Zhu, Qinlong; Liu, Wei; Chen, Yan; Qiu, Rong; Wang, Bin; Yang, Zhongfang; Li, Heying; Lin, Yuru; Xie, Yongyao; Shen, Rongxin; Chen, Shuifu; Wang, Zhi; Chen, Yuanling; Guo, Jingxin; Chen, Letian; Zhao, Xiucai; Dong, Zhicheng; Liu, Yao-Guang

    2015-08-01

    CRISPR/Cas9 genome targeting systems have been applied to a variety of species. However, most CRISPR/Cas9 systems reported for plants can only modify one or a few target sites. Here, we report a robust CRISPR/Cas9 vector system, utilizing a plant codon optimized Cas9 gene, for convenient and high-efficiency multiplex genome editing in monocot and dicot plants. We designed PCR-based procedures to rapidly generate multiple sgRNA expression cassettes, which can be assembled into the binary CRISPR/Cas9 vectors in one round of cloning by Golden Gate ligation or Gibson Assembly. With this system, we edited 46 target sites in rice with an average 85.4% rate of mutation, mostly in biallelic and homozygous status. We reasoned that about 16% of the homozygous mutations in rice were generated through the non-homologous end-joining mechanism followed by homologous recombination-based repair. We also obtained uniform biallelic, heterozygous, homozygous, and chimeric mutations in Arabidopsis T1 plants. The targeted mutations in both rice and Arabidopsis were heritable. We provide examples of loss-of-function gene mutations in T0 rice and T1 Arabidopsis plants by simultaneous targeting of multiple (up to eight) members of a gene family, multiple genes in a biosynthetic pathway, or multiple sites in a single gene. This system has provided a versatile toolbox for studying functions of multiple genes and gene families in plants for basic research and genetic improvement. Copyright © 2015 The Author. Published by Elsevier Inc. All rights reserved.

  11. Examination of CRISPR/Cas9 design tools and the effect of target site accessibility on Cas9 activity.

    PubMed

    Lee, Ciaran M; Davis, Timothy H; Bao, Gang

    2018-04-01

    What is the topic of this review? In this review, we analyse the performance of recently described tools for CRISPR/Cas9 guide RNA design, in particular, design tools that predict CRISPR/Cas9 activity. What advances does it highlight? Recently, many tools designed to predict CRISPR/Cas9 activity have been reported. However, the majority of these tools lack experimental validation. Our analyses indicate that these tools have poor predictive power. Our preliminary results suggest that target site accessibility should be considered in order to develop better guide RNA design tools with improved predictive power. The recent adaptation of the clustered regulatory interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9) system for targeted genome engineering has led to its widespread application in many fields worldwide. In order to gain a better understanding of the design rules of CRISPR/Cas9 systems, several groups have carried out large library-based screens leading to some insight into sequence preferences among highly active target sites. To facilitate CRISPR/Cas9 design, these studies have spawned a plethora of guide RNA (gRNA) design tools with algorithms based solely on direct or indirect sequence features. Here, we demonstrate that the predictive power of these tools is poor, suggesting that sequence features alone cannot accurately inform the cutting efficiency of a particular CRISPR/Cas9 gRNA design. Furthermore, we demonstrate that DNA target site accessibility influences the activity of CRISPR/Cas9. With further optimization, we hypothesize that it will be possible to increase the predictive power of gRNA design tools by including both sequence and target site accessibility metrics. © 2017 The Authors. Experimental Physiology © 2017 The Physiological Society.

  12. CRISPR-Cas and Contact-Dependent Secretion Systems Present on Excisable Pathogenicity Islands with Conserved Recombination Modules.

    PubMed

    Carpenter, Megan R; Kalburge, Sai S; Borowski, Joseph D; Peters, Molly C; Colwell, Rita R; Boyd, E Fidelma

    2017-05-15

    Pathogenicity islands (PAIs) are mobile integrated genetic elements that contain a diverse range of virulence factors. PAIs integrate into the host chromosome at a tRNA locus that contains their specific bacterial attachment site, attB , via integrase-mediated site-specific recombination generating attL and attR sites. We identified conserved recombination modules (integrases and att sites) previously described in choleragenic Vibrio cholerae PAIs but with novel cargo genes. Clustered regularly interspaced short palindromic repeat (CRISPR)-associated proteins (Cas proteins) and a type VI secretion system (T6SS) gene cluster were identified at the Vibrio pathogenicity island 1 (VPI-1) insertion site in 19 V. cholerae strains and contained the same recombination module. Two divergent type I-F CRISPR-Cas systems were identified, which differed in Cas protein homology and content. The CRISPR repeat sequence was identical among all V. cholerae strains, but the CRISPR spacer sequences and the number of spacers varied. In silico analysis suggests that the CRISPR-Cas systems were active against phages and plasmids. A type III secretion system (T3SS) was present in 12 V. cholerae strains on a 68-kb island inserted at the same tRNA-serine insertion site as VPI-2 and contained the same recombination module. Bioinformatics analysis showed that two divergent T3SSs exist among the strains examined. Both the CRISPR and T3SS islands excised site specifically from the bacterial chromosome as complete units, and the cognate integrases were essential for this excision. These data demonstrated that identical recombination modules that catalyze integration and excision from the chromosome can acquire diverse cargo genes, signifying a novel method of acquisition for both CRISPR-Cas systems and T3SSs. IMPORTANCE This work demonstrated the presence of CRISPR-Cas systems and T3SSs on PAIs. Our work showed that similar recombination modules can associate with different cargo genes and

  13. CRISPR-Cas9 Structures and Mechanisms.

    PubMed

    Jiang, Fuguo; Doudna, Jennifer A

    2017-05-22

    Many bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) systems employ the dual RNA-guided DNA endonuclease Cas9 to defend against invading phages and conjugative plasmids by introducing site-specific double-stranded breaks in target DNA. Target recognition strictly requires the presence of a short protospacer adjacent motif (PAM) flanking the target site, and subsequent R-loop formation and strand scission are driven by complementary base pairing between the guide RNA and target DNA, Cas9-DNA interactions, and associated conformational changes. The use of CRISPR-Cas9 as an RNA-programmable DNA targeting and editing platform is simplified by a synthetic single-guide RNA (sgRNA) mimicking the natural dual trans-activating CRISPR RNA (tracrRNA)-CRISPR RNA (crRNA) structure. This review aims to provide an in-depth mechanistic and structural understanding of Cas9-mediated RNA-guided DNA targeting and cleavage. Molecular insights from biochemical and structural studies provide a framework for rational engineering aimed at altering catalytic function, guide RNA specificity, and PAM requirements and reducing off-target activity for the development of Cas9-based therapies against genetic diseases.

  14. CRISPR-Cas Technologies and Applications in Food Bacteria.

    PubMed

    Stout, Emily; Klaenhammer, Todd; Barrangou, Rodolphe

    2017-02-28

    Clustered regularly interspaced short palindromic repeats (CRISPRs) and CRISPR-associated (Cas) proteins form adaptive immune systems that occur in many bacteria and most archaea. In addition to protecting bacteria from phages and other invasive mobile genetic elements, CRISPR-Cas molecular machines can be repurposed as tool kits for applications relevant to the food industry. A primary concern of the food industry has long been the proper management of food-related bacteria, with a focus on both enhancing the outcomes of beneficial microorganisms such as starter cultures and probiotics and limiting the presence of detrimental organisms such as pathogens and spoilage microorganisms. This review introduces CRISPR-Cas as a novel set of technologies to manage food bacteria and offers insights into CRISPR-Cas biology. It primarily focuses on the applications of CRISPR-Cas systems and tools in starter cultures and probiotics, encompassing strain-typing, phage resistance, plasmid vaccination, genome editing, and antimicrobial activity.

  15. [Advances in molecular mechanisms of adaptive immunity mediated by type I-E CRISPR/Cas system--A review].

    PubMed

    Sun, Dongchang; Qiu, Juanping

    2016-01-04

    To better adapt to the environment, prokaryocyte can take up exogenous genes (from bacteriophages, plasmids or genomes of other species) through horizontal gene transfer. Accompanied by the acquisition of exogenous genes, prokaryocyte is challenged by the invasion of 'selfish genes'. Therefore, to protect against the risk of gene transfer, prokaryocyte needs to establish mechanisms for selectively taking up or degrading exogenous DNA. In recent years, researchers discovered an adaptive immunity, which is mediated by the small RNA guided DNA degradation, prevents the invasion of exogenous genes in prokaryocyte. During the immune process, partial DNA fragments are firstly integrated.to the clustered regularly interspaced short palindromic repeats (CRISPR) located within the genome DNA, and then the mature CRISPR RNA transcript and the CRISPR associated proteins (Cas) form a complex CRISPR/Cas for degrading exogenous DNA. In this review, we will first briefly describe the CRISPR/Cas systems and then mainly focus on the recent advances of the function mechanism and the regulation mechanism of the type I-E CRISPR/Cas system in Escherichia coli.

  16. CRISPR/Cas9 Platforms for Genome Editing in Plants: Developments and Applications.

    PubMed

    Ma, Xingliang; Zhu, Qinlong; Chen, Yuanling; Liu, Yao-Guang

    2016-07-06

    The clustered regularly interspaced short palindromic repeat (CRISPR)-associated protein9 (Cas9) genome editing system (CRISPR/Cas9) is adapted from the prokaryotic type II adaptive immunity system. The CRISPR/Cas9 tool surpasses other programmable nucleases, such as ZFNs and TALENs, for its simplicity and high efficiency. Various plant-specific CRISPR/Cas9 vector systems have been established for adaption of this technology to many plant species. In this review, we present an overview of current advances on applications of this technology in plants, emphasizing general considerations for establishment of CRISPR/Cas9 vector platforms, strategies for multiplex editing, methods for analyzing the induced mutations, factors affecting editing efficiency and specificity, and features of the induced mutations and applications of the CRISPR/Cas9 system in plants. In addition, we provide a perspective on the challenges of CRISPR/Cas9 technology and its significance for basic plant research and crop genetic improvement. Copyright © 2016 The Author. Published by Elsevier Inc. All rights reserved.

  17. Mathematical modelling of CRISPR-Cas system effects on biofilm formation.

    PubMed

    Ali, Qasim; Wahl, Lindi M

    2017-08-01

    Clustered regularly interspaced short palindromic repeats (CRISPR), linked with CRISPR associated (Cas) genes, can confer adaptive immunity to bacteria, against bacteriophage infections. Thus from a therapeutic standpoint, CRISPR immunity increases biofilm resistance to phage therapy. Recently, however, CRISPR-Cas genes have been implicated in reducing biofilm formation in lysogenized cells. Thus CRISPR immunity can have complex effects on phage-host-lysogen interactions, particularly in a biofilm. In this contribution, we develop and analyse a series of dynamical systems to elucidate and disentangle these interactions. Two competition models are used to study the effects of lysogens (first model) and CRISPR-immune bacteria (second model) in the biofilm. In the third model, the effect of delivering lysogens to a CRISPR-immune biofilm is investigated. Using standard analyses of equilibria, stability and bifurcations, our models predict that lysogens may be able to displace CRISPR-immune bacteria in a biofilm, and thus suggest strategies to eliminate phage-resistant biofilms.

  18. CasA mediates Cas3-catalyzed target degradation during CRISPR RNA-guided interference.

    PubMed

    Hochstrasser, Megan L; Taylor, David W; Bhat, Prashant; Guegler, Chantal K; Sternberg, Samuel H; Nogales, Eva; Doudna, Jennifer A

    2014-05-06

    In bacteria, the clustered regularly interspaced short palindromic repeats (CRISPR)-associated (Cas) DNA-targeting complex Cascade (CRISPR-associated complex for antiviral defense) uses CRISPR RNA (crRNA) guides to bind complementary DNA targets at sites adjacent to a trinucleotide signature sequence called the protospacer adjacent motif (PAM). The Cascade complex then recruits Cas3, a nuclease-helicase that catalyzes unwinding and cleavage of foreign double-stranded DNA (dsDNA) bearing a sequence matching that of the crRNA. Cascade comprises the CasA-E proteins and one crRNA, forming a structure that binds and unwinds dsDNA to form an R loop in which the target strand of the DNA base pairs with the 32-nt RNA guide sequence. Single-particle electron microscopy reconstructions of dsDNA-bound Cascade with and without Cas3 reveal that Cascade positions the PAM-proximal end of the DNA duplex at the CasA subunit and near the site of Cas3 association. The finding that the DNA target and Cas3 colocalize with CasA implicates this subunit in a key target-validation step during DNA interference. We show biochemically that base pairing of the PAM region is unnecessary for target binding but critical for Cas3-mediated degradation. In addition, the L1 loop of CasA, previously implicated in PAM recognition, is essential for Cas3 activation following target binding by Cascade. Together, these data show that the CasA subunit of Cascade functions as an essential partner of Cas3 by recognizing DNA target sites and positioning Cas3 adjacent to the PAM to ensure cleavage.

  19. Multigene disruption in undomesticated Bacillus subtilis ATCC 6051a using the CRISPR/Cas9 system

    PubMed Central

    Zhang, Kang; Duan, Xuguo; Wu, Jing

    2016-01-01

    Bacillus subtilis ATCC 6051a is an undomesticated strain used in the industrial production of enzymes. Because it is poorly transformable, genetic manipulation in this strain requires a highly efficient genome editing method. In this study, a Streptococcus pyogenes CRISPR/Cas9 system consisting of an all-in-one knockout plasmid containing a target-specific guide RNA, cas9, and a homologous repair template was established for highly efficient gene disruption in B. subtilis ATCC 6051a. With an efficiency of 33% to 53%, this system was used to disrupt the srfC, spoIIAC, nprE, aprE and amyE genes of B. subtilis ATCC 6051a, which hamper its use in industrial fermentation. Compared with B. subtilis ATCC 6051a, the final mutant, BS5 (ΔsrfC, ΔspoIIAC, ΔnprE, ΔaprE, ΔamyE), produces much less foam during fermentation, displays greater resistant to spore formation, and secretes 2.5-fold more β-cyclodextrin glycosyltransferase into the fermentation medium. Thus, the CRISPR/Cas9 system proved to be a powerful tool for targeted genome editing in an industrially relevant, poorly transformable strain. PMID:27305971

  20. The CRISPR/Cas9 system: Their delivery, in vivo and ex vivo applications and clinical development by startups.

    PubMed

    Song, Minjung

    2017-07-01

    The CRISPR/Cas9 gene editing system was originally derived from the prokaryotic adaptive immune system mediated by Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and CRISPR-associated proteins (Cas). The system has been successfully applied to genome editing in eukaryotes and has contributed to remarkable advances in the life sciences, in areas ranging from agriculture to genetic disease therapies. For efficient editing and extending the influence of this system, proper delivery of its components is crucial. Both viral and nonviral delivery methods are reviewed here, along with the advantages and disadvantages of each. In addition, we review ex vivo and in vivo CRISPR/Cas9 applications for disease therapies. Related remarkable studies are highlighted and relevant startup companies and their drug development pipelines are described. © 2017 American Institute of Chemical Engineers Biotechnol. Prog., 33:1035-1045, 2017. © 2017 American Institute of Chemical Engineers.

  1. Gene Repression in Haloarchaea Using the CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas I-B System.

    PubMed

    Stachler, Aris-Edda; Marchfelder, Anita

    2016-07-15

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas system is used by bacteria and archaea to fend off foreign genetic elements. Since its discovery it has been developed into numerous applications like genome editing and regulation of transcription in eukaryotes and bacteria. For archaea currently no tools for transcriptional repression exist. Because molecular biology analyses in archaea become more and more widespread such a tool is vital for investigating the biological function of essential genes in archaea. Here we use the model archaeon Haloferax volcanii to demonstrate that its endogenous CRISPR-Cas system I-B can be harnessed to repress gene expression in archaea. Deletion of cas3 and cas6b genes results in efficient repression of transcription. crRNAs targeting the promoter region reduced transcript levels down to 8%. crRNAs targeting the reading frame have only slight impact on transcription. crRNAs that target the coding strand repress expression only down to 88%, whereas crRNAs targeting the template strand repress expression down to 8%. Repression of an essential gene results in reduction of transcription levels down to 22%. Targeting efficiencies can be enhanced by expressing a catalytically inactive Cas3 mutant. Genes can be targeted on plasmids or on the chromosome, they can be monocistronic or part of a polycistronic operon. © 2016 by The American Society for Biochemistry and Molecular Biology, Inc.

  2. Gene Repression in Haloarchaea Using the CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)-Cas I-B System*

    PubMed Central

    Stachler, Aris-Edda; Marchfelder, Anita

    2016-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)-Cas system is used by bacteria and archaea to fend off foreign genetic elements. Since its discovery it has been developed into numerous applications like genome editing and regulation of transcription in eukaryotes and bacteria. For archaea currently no tools for transcriptional repression exist. Because molecular biology analyses in archaea become more and more widespread such a tool is vital for investigating the biological function of essential genes in archaea. Here we use the model archaeon Haloferax volcanii to demonstrate that its endogenous CRISPR-Cas system I-B can be harnessed to repress gene expression in archaea. Deletion of cas3 and cas6b genes results in efficient repression of transcription. crRNAs targeting the promoter region reduced transcript levels down to 8%. crRNAs targeting the reading frame have only slight impact on transcription. crRNAs that target the coding strand repress expression only down to 88%, whereas crRNAs targeting the template strand repress expression down to 8%. Repression of an essential gene results in reduction of transcription levels down to 22%. Targeting efficiencies can be enhanced by expressing a catalytically inactive Cas3 mutant. Genes can be targeted on plasmids or on the chromosome, they can be monocistronic or part of a polycistronic operon. PMID:27226589

  3. The CasKR Two-Component System Is Required for the Growth of Mesophilic and Psychrotolerant Bacillus cereus Strains at Low Temperatures

    PubMed Central

    Diomandé, Sara Esther; Chamot, Stéphanie; Antolinos, Vera; Vasai, Florian; Guinebretière, Marie-Hélène; Bornard, Isabelle; Nguyen-the, Christophe; Broussolle, Véronique

    2014-01-01

    The different strains of Bacillus cereus can grow at temperatures covering a very diverse range. Some B. cereus strains can grow in chilled food and consequently cause food poisoning. We have identified a new sensor/regulator mechanism involved in low-temperature B. cereus growth. Construction of a mutant of this two-component system enabled us to show that this system, called CasKR, is required for growth at the minimal temperature (Tmin). CasKR was also involved in optimal cold growth above Tmin and in cell survival below Tmin. Microscopic observation showed that CasKR plays a key role in cell shape during cold growth. Introducing the casKR genes in a ΔcasKR mutant restored its ability to grow at Tmin. Although it was first identified in the ATCC 14579 model strain, this mechanism has been conserved in most strains of the B. cereus group. We show that the role of CasKR in cold growth is similar in other B. cereus sensu lato strains with different growth temperature ranges, including psychrotolerant strains. PMID:24509924

  4. Potential pitfalls of CRISPR/Cas9-mediated genome editing.

    PubMed

    Peng, Rongxue; Lin, Guigao; Li, Jinming

    2016-04-01

    Recently, a novel technique named the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein (Cas)9 system has been rapidly developed. This genome editing tool has improved our ability tremendously with respect to exploring the pathogenesis of diseases and correcting disease mutations, as well as phenotypes. With a short guide RNA, Cas9 can be precisely directed to target sites, and functions as an endonuclease to efficiently produce breaks in DNA double strands. Over the past 30 years, CRISPR has evolved from the 'curious sequences of unknown biological function' into a promising genome editing tool. As a result of the incessant development in the CRISPR/Cas9 system, Cas9 co-expressed with custom guide RNAs has been successfully used in a variety of cells and organisms. This genome editing technology can also be applied to synthetic biology, functional genomic screening, transcriptional modulation and gene therapy. However, although CRISPR/Cas9 has a broad range of action in science, there are several aspects that affect its efficiency and specificity, including Cas9 activity, target site selection and short guide RNA design, delivery methods, off-target effects and the incidence of homology-directed repair. In the present review, we highlight the factors that affect the utilization of CRISPR/Cas9, as well as possible strategies for handling any problems. Addressing these issues will allow us to take better advantage of this technique. In addition, we also review the history and rapid development of the CRISPR/Cas system from the time of its initial discovery in 2012. © 2015 FEBS.

  5. CRISPR-Cas9-Edited Site Sequencing (CRES-Seq): An Efficient and High-Throughput Method for the Selection of CRISPR-Cas9-Edited Clones.

    PubMed

    Veeranagouda, Yaligara; Debono-Lagneaux, Delphine; Fournet, Hamida; Thill, Gilbert; Didier, Michel

    2018-01-16

    The emergence of clustered regularly interspaced short palindromic repeats-Cas9 (CRISPR-Cas9) gene editing systems has enabled the creation of specific mutants at low cost, in a short time and with high efficiency, in eukaryotic cells. Since a CRISPR-Cas9 system typically creates an array of mutations in targeted sites, a successful gene editing project requires careful selection of edited clones. This process can be very challenging, especially when working with multiallelic genes and/or polyploid cells (such as cancer and plants cells). Here we described a next-generation sequencing method called CRISPR-Cas9 Edited Site Sequencing (CRES-Seq) for the efficient and high-throughput screening of CRISPR-Cas9-edited clones. CRES-Seq facilitates the precise genotyping up to 96 CRISPR-Cas9-edited sites (CRES) in a single MiniSeq (Illumina) run with an approximate sequencing cost of $6/clone. CRES-Seq is particularly useful when multiple genes are simultaneously targeted by CRISPR-Cas9, and also for screening of clones generated from multiallelic genes/polyploid cells. © 2018 by John Wiley & Sons, Inc. Copyright © 2018 John Wiley & Sons, Inc.

  6. Mr.CAS-A minimalistic (pure) Ruby CAS for fast prototyping and code generation

    NASA Astrophysics Data System (ADS)

    Ragni, Matteo

    There are Computer Algebra System (CAS) systems on the market with complete solutions for manipulation of analytical models. But exporting a model that implements specific algorithms on specific platforms, for target languages or for particular numerical library, is often a rigid procedure that requires manual post-processing. This work presents a Ruby library that exposes core CAS capabilities, i.e. simplification, substitution, evaluation, etc. The library aims at programmers that need to rapidly prototype and generate numerical code for different target languages, while keeping separated mathematical expression from the code generation rules, where best practices for numerical conditioning are implemented. The library is written in pure Ruby language and is compatible with most Ruby interpreters.

  7. Road to the future of systems biotechnology: CRISPR-Cas-mediated metabolic engineering for recombinant protein production.

    PubMed

    Roointan, Amir; Morowvat, Mohammad Hossein

    The rising potential for CRISPR-Cas-mediated genome editing has revolutionized our strategies in basic and practical bioengineering research. It provides a predictable and precise method for genome modification in a robust and reproducible fashion. Emergence of systems biotechnology and synthetic biology approaches coupled with CRISPR-Cas technology could change the future of cell factories to possess some new features which have not been found naturally. We have discussed the possibility and versatile potentials of CRISPR-Cas technology for metabolic engineering of a recombinant host for heterologous protein production. We describe the mechanisms involved in this metabolic engineering approach and present the diverse features of its application in biotechnology and protein production.

  8. Programmable Removal of Bacterial Strains by Use of Genome-Targeting CRISPR-Cas Systems

    PubMed Central

    Gomaa, Ahmed A.; Klumpe, Heidi E.; Luo, Michelle L.; Selle, Kurt; Barrangou, Rodolphe; Beisel, Chase L.

    2014-01-01

    ABSTRACT CRISPR (clustered regularly interspaced short palindromic repeats)-Cas (CRISPR-associated) systems in bacteria and archaea employ CRISPR RNAs to specifically recognize the complementary DNA of foreign invaders, leading to sequence-specific cleavage or degradation of the target DNA. Recent work has shown that the accidental or intentional targeting of the bacterial genome is cytotoxic and can lead to cell death. Here, we have demonstrated that genome targeting with CRISPR-Cas systems can be employed for the sequence-specific and titratable removal of individual bacterial strains and species. Using the type I-E CRISPR-Cas system in Escherichia coli as a model, we found that this effect could be elicited using native or imported systems and was similarly potent regardless of the genomic location, strand, or transcriptional activity of the target sequence. Furthermore, the specificity of targeting with CRISPR RNAs could readily distinguish between even highly similar strains in pure or mixed cultures. Finally, varying the collection of delivered CRISPR RNAs could quantitatively control the relative number of individual strains within a mixed culture. Critically, the observed selectivity and programmability of bacterial removal would be virtually impossible with traditional antibiotics, bacteriophages, selectable markers, or tailored growth conditions. Once delivery challenges are addressed, we envision that this approach could offer a novel means to quantitatively control the composition of environmental and industrial microbial consortia and may open new avenues for the development of “smart” antibiotics that circumvent multidrug resistance and differentiate between pathogenic and beneficial microorganisms. PMID:24473129

  9. Cas9, Cpf1 and C2c1/2/3-What's next?

    PubMed

    Nakade, Shota; Yamamoto, Takashi; Sakuma, Tetsushi

    2017-05-04

    Since the rapid emergence of clustered regulatory interspaced short palindromic repeats (CRISPR)-CRISPR-associated protein 9 (Cas9) system, developed as a genome engineering tool in 2012-2013, most researchers in the life science field have had a fixated interest in this fascinating technology. CRISPR-Cas9 is an RNA-guided DNA endonuclease system, which consists of Cas9 nuclease defining a few targeting base via protospacer adjacent motif complexed with easily customizable single guide RNA targeting around 20-bp genomic sequence. Although Streptococcus pyogenes Cas9 (SpCas9), one of the Cas9 proteins that applications in genome engineering were first demonstrated, still has wide usage because of its high nuclease activity and broad targeting range, there are several limitations such as large molecular weight and potential off-target effect. In this commentary, we describe various improvements and alternatives of CRISPR-Cas systems, including engineered Cas9 variants, Cas9 homologs, and novel Cas proteins other than Cas9. These variations enable flexible genome engineering with high efficiency and specificity, orthogonal genetic control at multiple gene loci, gene knockdown, or fluorescence imaging of transcripts mediated by RNA targeting, and beyond.

  10. Predominance of Single Prophage Carrying a CRISPR/cas System in “Candidatus Liberibacter asiaticus” Strains in Southern China

    PubMed Central

    Zheng, Zheng; Bao, Minli; Wu, Fengnian; Chen, Jianchi; Deng, Xiaoling

    2016-01-01

    “Candidatus Liberibacter asiaticus” (CLas) is an uncultureable α-proteobacterium associated with citrus Huanglongbing (HLB, yellow shoot disease), a highly destructive disease affecting citrus production worldwide. HLB was observed in Guangdong Province of China over a hundred years ago and remains endemic there. Little is known about CLas biology due to its uncultureable nature. This study began with the genome sequence analysis of CLas Strain A4 from Guangdong in the prophage region. Within the two currently known prophage types, Type 1 (SC1-like) and Type 2 (SC2-like), A4 genome contained only a Type 2 prophage, CGdP2, namely. An analysis on CLas strains collected in Guangdong showed that Type 2 prophage dominated the bacterial population (82.6%, 71/86). An extended survey covering five provinces in southern China also revealed the predominance of single prophage (Type 1 or Type 2) in the CLas population (90.4%, 169/187). CLas strains with two and no prophage types accounted for 7.2% and 2.8%, respectively. In silico analyses on CGdP2 identified a CRISPR (clustered regularly interspaced short palindromic repeats)/cas (CRISPR-associated protein genes) system, consisting of four 22 bp repeats, three 23 bp spacers and 9 predicted cas. Similar CRISPR/cas systems were detected in all 10 published CLas prophages as well as 13 CLas field strains in southern China. Both Type 1 and Type 2 prophages shared almost identical sequences in spacer 1 and 3 but not spacer 2. Considering that the function of a CRISPR/cas system was to destroy invading DNA, it was hypothesized that a pre-established CLas prophage could use its CRISPR/cas system guided by spacer 1 and/or 3 to defeat the invasion of the other phage/prophage. This hypothesis explained the predominance of single prophage type in the CLas population in southern China. This is the first report of CRISPR/cas system in the “Ca. Liberibacter” genera. PMID:26741827

  11. Primary processing of CRISPR RNA by the endonuclease Cas6 in Staphylococcus epidermidis.

    PubMed

    Wakefield, Noelle; Rajan, Rakhi; Sontheimer, Erik J

    2015-10-07

    In many bacteria and archaea, an adaptive immune system (CRISPR-Cas) provides immunity against foreign genetic elements. This system uses CRISPR RNAs (crRNAs) derived from the CRISPR array, along with CRISPR-associated (Cas) proteins, to target foreign nucleic acids. In most CRISPR systems, endonucleolytic processing of crRNA precursors (pre-crRNAs) is essential for the pathway. Here we study the Cas6 endonuclease responsible for crRNA processing in the Type III-A CRISPR-Cas system from Staphylococcus epidermidis RP62a, a model for Type III-A CRISPR-Cas systems, and define substrate requirements for SeCas6 activity. We find that SeCas6 is necessary and sufficient for full-length crRNA biogenesis in vitro, and that it relies on both sequence and stem-loop structure in the 3' half of the CRISPR repeat for recognition and processing. Copyright © 2015 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  12. CAS as Environments for Implementing Mathematical Microworlds.

    ERIC Educational Resources Information Center

    Alpers, Burkhard

    2002-01-01

    Investigates whether computer algebra systems (CAS) are suitable environments for implementing mathematical microworlds. Recalls what constitutes a microworld and explores how CAS can be used for implementation, stating potentials as well as limitations. Provides as an example the microworld "Formula 1", implemented in Maple Software. (Author/KHR)

  13. The big bang of genome editing technology: development and application of the CRISPR/Cas9 system in disease animal models

    PubMed Central

    SHAO, Ming; XU, Tian-Rui; CHEN, Ce-Shi

    2016-01-01

    Targeted genome editing technology has been widely used in biomedical studies. The CRISPR-associated RNA-guided endonuclease Cas9 has become a versatile genome editing tool. The CRISPR/Cas9 system is useful for studying gene function through efficient knock-out, knock-in or chromatin modification of the targeted gene loci in various cell types and organisms. It can be applied in a number of fields, such as genetic breeding, disease treatment and gene functional investigation. In this review, we introduce the most recent developments and applications, the challenges, and future directions of Cas9 in generating disease animal model. Derived from the CRISPR adaptive immune system of bacteria, the development trend of Cas9 will inevitably fuel the vital applications from basic research to biotechnology and biomedicine. PMID:27469250

  14. The big bang of genome editing technology: development and application of the CRISPR/Cas9 system in disease animal models.

    PubMed

    Shao, Ming; Xu, Tian-Rui; Chen, Ce-Shi

    2016-07-18

    Targeted genome editing technology has been widely used in biomedical studies. The CRISPR-associated RNA-guided endonuclease Cas9 has become a versatile genome editing tool. The CRISPR/Cas9 system is useful for studying gene function through efficient knock-out, knock-in or chromatin modification of the targeted gene loci in various cell types and organisms. It can be applied in a number of fields, such as genetic breeding, disease treatment and gene functional investigation. In this review, we introduce the most recent developments and applications, the challenges, and future directions of Cas9 in generating disease animal model. Derived from the CRISPR adaptive immune system of bacteria, the development trend of Cas9 will inevitably fuel the vital applications from basic research to biotechnology and bio-medicine.

  15. Deletion of transcription factor binding motifs using the CRISPR/spCas9 system in the β-globin LCR.

    PubMed

    Kim, Yea Woon; Kim, AeRi

    2017-07-20

    Transcription factors play roles in gene transcription through direct binding to their motifs in genome, and inhibiting this binding provides an effective strategy for studying their roles. Here we applied the CRISPR/spCas9 system to mutate the binding motifs of transcription factors. Binding motifs for erythroid specific transcription factors were mutated in the locus control region hypersensitive sites of the human β-globin locus. Guide RNAs targeting binding motifs were cloned into lentiviral CRISPR vector containing the spCas9 gene, and transduced into MEL/ch11 cells carrying a human chromosome 11. DNA mutations in clonal cells were initially screened by quantitative PCR in genomic DNA and then clarified by sequencing. Mutations in binding motifs reduced occupancy by transcription factors in a chromatin environment. Characterization of mutations revealed that the CRISPR/spCas9 system mainly induced deletions in short regions of <20 bp and preferentially deleted nucleotides around the fifth nucleotide upstream of Protospacer adjacent motifs. These results indicate that the CRISPR/Cas9 system is suitable for mutating the binding motifs of transcription factors, and, consequently, would contribute to elucidate the direct roles of transcription factors. ©2017 The Author(s).

  16. A New Group of Phage Anti-CRISPR Genes Inhibits the Type I-E CRISPR-Cas System of Pseudomonas aeruginosa

    PubMed Central

    Pawluk, April; Bondy-Denomy, Joseph; Cheung, Vivian H. W.; Maxwell, Karen L.; Davidson, Alan R.

    2014-01-01

    ABSTRACT CRISPR-Cas systems are one of the most widespread phage resistance mechanisms in prokaryotes. Our lab recently identified the first examples of phage-borne anti-CRISPR genes that encode protein inhibitors of the type I-F CRISPR-Cas system of Pseudomonas aeruginosa. A key question arising from this work was whether there are other types of anti-CRISPR genes. In the current work, we address this question by demonstrating that some of the same phages carrying type I-F anti-CRISPR genes also possess genes that mediate inhibition of the type I-E CRISPR-Cas system of P. aeruginosa. We have discovered four distinct families of these type I-E anti-CRISPR genes. These genes do not inhibit the type I-F CRISPR-Cas system of P. aeruginosa or the type I-E system of Escherichia coli. Type I-E and I-F anti-CRISPR genes are located at the same position in the genomes of a large group of related P. aeruginosa phages, yet they are found in a variety of combinations and arrangements. We have also identified functional anti-CRISPR genes within nonprophage Pseudomonas genomic regions that are likely mobile genetic elements. This work emphasizes the potential importance of anti-CRISPR genes in phage evolution and lateral gene transfer and supports the hypothesis that more undiscovered families of anti-CRISPR genes exist. Finally, we provide the first demonstration that the type I-E CRISPR-Cas system of P. aeruginosa is naturally active without genetic manipulation, which contrasts with E. coli and other previously characterized I-E systems. PMID:24736222

  17. Practical method for targeted disruption of cilia-related genes by using CRISPR/Cas9-mediated, homology-independent knock-in system.

    PubMed

    Katoh, Yohei; Michisaka, Saki; Nozaki, Shohei; Funabashi, Teruki; Hirano, Tomoaki; Takei, Ryota; Nakayama, Kazuhisa

    2017-04-01

    The CRISPR/Cas9 system has revolutionized genome editing in virtually all organisms. Although the CRISPR/Cas9 system enables the targeted cleavage of genomic DNA, its use for gene knock-in remains challenging because levels of homologous recombination activity vary among various cells. In contrast, the efficiency of homology-independent DNA repair is relatively high in most cell types. Therefore the use of a homology-independent repair mechanism is a possible alternative for efficient genome editing. Here we constructed a donor knock-in vector optimized for the CRISPR/Cas9 system and developed a practical system that enables efficient disruption of target genes by exploiting homology-independent repair. Using this practical knock-in system, we successfully disrupted genes encoding proteins involved in ciliary protein trafficking, including IFT88 and IFT20, in hTERT-RPE1 cells, which have low homologous recombination activity. The most critical concern using the CRISPR/Cas9 system is off-target cleavage. To reduce the off-target cleavage frequency and increase the versatility of our knock-in system, we constructed a universal donor vector and an expression vector containing Cas9 with enhanced specificity and tandem sgRNA expression cassettes. We demonstrated that the second version of our system has improved usability. © 2017 Katoh et al. This article is distributed by The American Society for Cell Biology under license from the author(s). Two months after publication it is available to the public under an Attribution–Noncommercial–Share Alike 3.0 Unported Creative Commons License (http://creativecommons.org/licenses/by-nc-sa/3.0).

  18. Rational design of a split-Cas9 enzyme complex.

    PubMed

    Wright, Addison V; Sternberg, Samuel H; Taylor, David W; Staahl, Brett T; Bardales, Jorge A; Kornfeld, Jack E; Doudna, Jennifer A

    2015-03-10

    Cas9, an RNA-guided DNA endonuclease found in clustered regularly interspaced short palindromic repeats (CRISPR) bacterial immune systems, is a versatile tool for genome editing, transcriptional regulation, and cellular imaging applications. Structures of Streptococcus pyogenes Cas9 alone or bound to single-guide RNA (sgRNA) and target DNA revealed a bilobed protein architecture that undergoes major conformational changes upon guide RNA and DNA binding. To investigate the molecular determinants and relevance of the interlobe rearrangement for target recognition and cleavage, we designed a split-Cas9 enzyme in which the nuclease lobe and α-helical lobe are expressed as separate polypeptides. Although the lobes do not interact on their own, the sgRNA recruits them into a ternary complex that recapitulates the activity of full-length Cas9 and catalyzes site-specific DNA cleavage. The use of a modified sgRNA abrogates split-Cas9 activity by preventing dimerization, allowing for the development of an inducible dimerization system. We propose that split-Cas9 can act as a highly regulatable platform for genome-engineering applications.

  19. Rational design of a split-Cas9 enzyme complex

    DOE PAGES

    Wright, Addison V.; Sternberg, Samuel H.; Taylor, David W.; ...

    2015-02-23

    Cas9, an RNA-guided DNA endonuclease found in clustered regularly interspaced short palindromic repeats (CRISPR) bacterial immune systems, is a versatile tool for genome editing, transcriptional regulation, and cellular imaging applications. Structures of Streptococcus pyogenes Cas9 alone or bound to single-guide RNA (sgRNA) and target DNA revealed a bilobed protein architecture that undergoes major conformational changes upon guide RNA and DNA binding. To investigate the molecular determinants and relevance of the interlobe rearrangement for target recognition and cleavage, we designed a split-Cas9 enzyme in which the nuclease lobe and α-helical lobe are expressed as separate polypeptides. The lobes do not interactmore » on their own, the sgRNA recruits them into a ternary complex that recapitulates the activity of full-length Cas9 and catalyzes site-specific DNA cleavage. The use of a modified sgRNA abrogates split-Cas9 activity by preventing dimerization, allowing for the development of an inducible dimerization system. We propose that split-Cas9 can act as a highly regulatable platform for genome-engineering applications.« less

  20. Rational design of a split-Cas9 enzyme complex

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Addison V.; Sternberg, Samuel H.; Taylor, David W.

    Cas9, an RNA-guided DNA endonuclease found in clustered regularly interspaced short palindromic repeats (CRISPR) bacterial immune systems, is a versatile tool for genome editing, transcriptional regulation, and cellular imaging applications. Structures of Streptococcus pyogenes Cas9 alone or bound to single-guide RNA (sgRNA) and target DNA revealed a bilobed protein architecture that undergoes major conformational changes upon guide RNA and DNA binding. To investigate the molecular determinants and relevance of the interlobe rearrangement for target recognition and cleavage, we designed a split-Cas9 enzyme in which the nuclease lobe and α-helical lobe are expressed as separate polypeptides. The lobes do not interactmore » on their own, the sgRNA recruits them into a ternary complex that recapitulates the activity of full-length Cas9 and catalyzes site-specific DNA cleavage. The use of a modified sgRNA abrogates split-Cas9 activity by preventing dimerization, allowing for the development of an inducible dimerization system. We propose that split-Cas9 can act as a highly regulatable platform for genome-engineering applications.« less

  1. MacSyFinder: A Program to Mine Genomes for Molecular Systems with an Application to CRISPR-Cas Systems

    PubMed Central

    Abby, Sophie S.; Néron, Bertrand; Ménager, Hervé; Touchon, Marie; Rocha, Eduardo P. C.

    2014-01-01

    Motivation Biologists often wish to use their knowledge on a few experimental models of a given molecular system to identify homologs in genomic data. We developed a generic tool for this purpose. Results Macromolecular System Finder (MacSyFinder) provides a flexible framework to model the properties of molecular systems (cellular machinery or pathway) including their components, evolutionary associations with other systems and genetic architecture. Modelled features also include functional analogs, and the multiple uses of a same component by different systems. Models are used to search for molecular systems in complete genomes or in unstructured data like metagenomes. The components of the systems are searched by sequence similarity using Hidden Markov model (HMM) protein profiles. The assignment of hits to a given system is decided based on compliance with the content and organization of the system model. A graphical interface, MacSyView, facilitates the analysis of the results by showing overviews of component content and genomic context. To exemplify the use of MacSyFinder we built models to detect and class CRISPR-Cas systems following a previously established classification. We show that MacSyFinder allows to easily define an accurate “Cas-finder” using publicly available protein profiles. Availability and Implementation MacSyFinder is a standalone application implemented in Python. It requires Python 2.7, Hmmer and makeblastdb (version 2.2.28 or higher). It is freely available with its source code under a GPLv3 license at https://github.com/gem-pasteur/macsyfinder. It is compatible with all platforms supporting Python and Hmmer/makeblastdb. The “Cas-finder” (models and HMM profiles) is distributed as a compressed tarball archive as Supporting Information. PMID:25330359

  2. Antiviral Goes Viral: Harnessing CRISPR/Cas9 to Combat Viruses in Humans.

    PubMed

    Soppe, Jasper Adriaan; Lebbink, Robert Jan

    2017-10-01

    The clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) systems are RNA-guided sequence-specific prokaryotic antiviral immune systems. In prokaryotes, small RNA molecules guide Cas effector endonucleases to invading foreign genetic elements in a sequence-dependent manner, resulting in DNA cleavage by the endonuclease upon target binding. A rewired CRISPR/Cas9 system can be used for targeted and precise genome editing in eukaryotic cells. CRISPR/Cas has also been harnessed to target human pathogenic viruses as a potential new antiviral strategy. Here, we review recent CRISPR/Cas9-based approaches to combat specific human viruses in humans and discuss challenges that need to be overcome before CRISPR/Cas9 may be used in the clinic as an antiviral strategy. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Visualization of phage DNA degradation by a type I CRISPR-Cas system at the single-cell level.

    PubMed

    Guan, Jingwen; Shi, Xu; Burgos, Roberto; Zeng, Lanying

    2017-03-01

    The CRISPR-Cas system is a widespread prokaryotic defense system which targets and cleaves invasive nucleic acids, such as plasmids or viruses. So far, a great number of studies have focused on the components and mechanisms of this system, however, a direct visualization of CRISPR-Cas degrading invading DNA in real-time has not yet been studied at the single-cell level. In this study, we fluorescently label phage lambda DNA in vivo , and track the labeled DNA over time to characterize DNA degradation at the single-cell level. At the bulk level, the lysogenization frequency of cells harboring CRISPR plasmids decreases significantly compared to cells with a non-CRISPR control. At the single-cell level, host cells with CRISPR activity are unperturbed by phage infection, maintaining normal growth like uninfected cells, where the efficiency of our anti-lambda CRISPR system is around 26%. During the course of time-lapse movies, the average fluorescence of invasive phage DNA in cells with CRISPR activity, decays more rapidly compared to cells without, and phage DNA is fully degraded by around 44 minutes on average. Moreover, the degradation appears to be independent of cell size or the phage DNA ejection site suggesting that Cas proteins are dispersed in sufficient quantities throughout the cell. With the CRISPR-Cas visualization system we developed, we are able to examine and characterize how a CRISPR system degrades invading phage DNA at the single-cell level. This work provides direct evidence and improves the current understanding on how CRISPR breaks down invading DNA.

  4. The CRISPR/Cas9 system produces specific and homozygous targeted gene editing in rice in one generation.

    PubMed

    Zhang, Hui; Zhang, Jinshan; Wei, Pengliang; Zhang, Botao; Gou, Feng; Feng, Zhengyan; Mao, Yanfei; Yang, Lan; Zhang, Heng; Xu, Nanfei; Zhu, Jian-Kang

    2014-08-01

    The CRISPR/Cas9 system has been demonstrated to efficiently induce targeted gene editing in a variety of organisms including plants. Recent work showed that CRISPR/Cas9-induced gene mutations in Arabidopsis were mostly somatic mutations in the early generation, although some mutations could be stably inherited in later generations. However, it remains unclear whether this system will work similarly in crops such as rice. In this study, we tested in two rice subspecies 11 target genes for their amenability to CRISPR/Cas9-induced editing and determined the patterns, specificity and heritability of the gene modifications. Analysis of the genotypes and frequency of edited genes in the first generation of transformed plants (T0) showed that the CRISPR/Cas9 system was highly efficient in rice, with target genes edited in nearly half of the transformed embryogenic cells before their first cell division. Homozygotes of edited target genes were readily found in T0 plants. The gene mutations were passed to the next generation (T1) following classic Mendelian law, without any detectable new mutation or reversion. Even with extensive searches including whole genome resequencing, we could not find any evidence of large-scale off-targeting in rice for any of the many targets tested in this study. By specifically sequencing the putative off-target sites of a large number of T0 plants, low-frequency mutations were found in only one off-target site where the sequence had 1-bp difference from the intended target. Overall, the data in this study point to the CRISPR/Cas9 system being a powerful tool in crop genome engineering. © 2014 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  5. CRISPR-Cas9 vectors for genome editing and host engineering in the baculovirus-insect cell system.

    PubMed

    Mabashi-Asazuma, Hideaki; Jarvis, Donald L

    2017-08-22

    The baculovirus-insect cell system (BICS) has been widely used to produce many different recombinant proteins for basic research and is being used to produce several biologics approved for use in human or veterinary medicine. Early BICS were technically complex and constrained by the relatively primordial nature of insect cell protein glycosylation pathways. Since then, recombination has been used to modify baculovirus vectors-which has simplified the system-and transform insect cells, which has enhanced its protein glycosylation capabilities. Now, CRISPR-Cas9 tools for site-specific genome editing are needed to facilitate further improvements in the BICS. Thus, in this study, we used various insect U6 promoters to construct CRISPR-Cas9 vectors and assessed their utility for site-specific genome editing in two insect cell lines commonly used as hosts in the BICS. We demonstrate the use of CRISPR-Cas9 to edit an endogenous insect cell gene and alter protein glycosylation in the BICS.

  6. Design and Validation of CRISPR/Cas9 Systems for Targeted Gene Modification in Induced Pluripotent Stem Cells.

    PubMed

    Lee, Ciaran M; Zhu, Haibao; Davis, Timothy H; Deshmukh, Harshahardhan; Bao, Gang

    2017-01-01

    The CRISPR/Cas9 system is a powerful tool for precision genome editing. The ability to accurately modify genomic DNA in situ with single nucleotide precision opens up new possibilities for not only basic research but also biotechnology applications and clinical translation. In this chapter, we outline the procedures for design, screening, and validation of CRISPR/Cas9 systems for targeted modification of coding sequences in the human genome and how to perform genome editing in induced pluripotent stem cells with high efficiency and specificity.

  7. CRISPR/Cas9 for cancer research and therapy.

    PubMed

    Zhan, Tianzuo; Rindtorff, Niklas; Betge, Johannes; Ebert, Matthias P; Boutros, Michael

    2018-04-16

    CRISPR/Cas9 has become a powerful method for making changes to the genome of many organisms. First discovered in bacteria as part of an adaptive immune system, CRISPR/Cas9 and modified versions have found a widespread use to engineer genomes and to activate or to repress the expression of genes. As such, CRISPR/Cas9 promises to accelerate cancer research by providing an efficient technology to dissect mechanisms of tumorigenesis, identify targets for drug development, and possibly arm cells for cell-based therapies. Here, we review current applications of the CRISPR/Cas9 technology for cancer research and therapy. We describe novel Cas9 variants and how they are used in functional genomics to discover novel cancer-specific vulnerabilities. Furthermore, we highlight the impact of CRISPR/Cas9 in generating organoid and mouse models of cancer. Finally, we provide an overview of the first clinical trials that apply CRISPR/Cas9 as a therapeutic approach against cancer. Copyright © 2018 The Authors. Published by Elsevier Ltd.. All rights reserved.

  8. Diving into marine genomics with CRISPR/Cas9 systems.

    PubMed

    Momose, Tsuyoshi; Concordet, Jean-Paul

    2016-12-01

    More and more genomes are sequenced and a great range of biological questions can be examined at the genomic level in a growing number of organisms. Testing the function of genome features, from gene networks, genome organization, conserved non-coding sequences to microRNAs, and, more generally, experimentally addressing the genotype-phenotype relationship is now possible owing to the clustered, regularly interspaced, short palindromic repeats (CRISPR)-Cas9 revolution of genome editing. In the present review, we give a brief overview of the CRISPR/Cas9 toolbox and different strategies for genome editing currently available. We list the first examples of applications to marine organisms and also draw from studies in more common laboratory models to suggest both guidelines for design of genome editing experiments as well as discuss challenges specific to marine organisms. In addition, we discuss future perspectives, including applications of CRISPR/Cas9 to base editing and targeted reprogramming of gene transcription. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. 48 CFR 30.201-2 - Types of CAS coverage.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 1 2011-10-01 2011-10-01 false Types of CAS coverage. 30.201-2 Section 30.201-2 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION GENERAL CONTRACTING REQUIREMENTS COST ACCOUNTING STANDARDS ADMINISTRATION CAS Program Requirements 30.201-2 Types of...

  10. Efficient Generation and Editing of Feeder-free IPSCs from Human Pancreatic Cells Using the CRISPR-Cas9 System.

    PubMed

    Nandal, Anjali; Mallon, Barbara; Telugu, Bhanu P

    2017-11-08

    Embryonic and induced pluripotent stem cells can self-renew and differentiate into multiple cell types of the body. The pluripotent cells are thus coveted for research in regenerative medicine and are currently in clinical trials for eye diseases, diabetes, heart diseases, and other disorders. The potential to differentiate into specialized cell types coupled with the recent advances in genome editing technologies including the CRISPR/Cas system have provided additional opportunities for tailoring the genome of iPSC for varied applications including disease modeling, gene therapy, and biasing pathways of differentiation, to name a few. Among the available editing technologies, the CRISPR/Cas9 from Streptococcus pyogenes has emerged as a tool of choice for site-specific editing of the eukaryotic genome. The CRISPRs are easily accessible, inexpensive, and highly efficient in engineering targeted edits. The system requires a Cas9 nuclease and a guide sequence (20-mer) specific to the genomic target abutting a 3-nucleotide "NGG" protospacer-adjacent-motif (PAM) for targeting Cas9 to the desired genomic locus, alongside a universal Cas9 binding tracer RNA (together called single guide RNA or sgRNA). Here we present a step-by-step protocol for efficient generation of feeder-independent and footprint-free iPSC and describe methodologies for genome editing of iPSC using the Cas9 ribonucleoprotein (RNP) complexes. The genome editing protocol is effective and can be easily multiplexed by pre-complexing sgRNAs for more than one target with the Cas9 protein and simultaneously delivering into the cells. Finally, we describe a simplified approach for identification and characterization of iPSCs with desired edits. Taken together, the outlined strategies are expected to streamline generation and editing of iPSC for manifold applications.

  11. The application of CRISPR-Cas9 genome editing tool in cancer immunotherapy.

    PubMed

    Wu, Hong-Yan; Cao, Chun-Yu

    2018-03-22

    Clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) system was originally discovered in prokaryotes functioned as a part of the adaptive immune system. Because of its high efficiency and easy operability, CRISPR-Cas9 system has been developed to be a powerful and versatile gene editing tool shortly after its discovery. Given that multiple genetic alterations are the main factors that drive genesis and development of tumor, CRISPR-Cas9 system has been applied to correct cancer-causing gene mutations and deletions and to engineer immune cells, such as chimeric antigen receptor T (CAR T) cells, for cancer immunotherapeutic applications. Recently, CRISPR-Cas9-based CAR T-cell preparation has been an important breakthrough in antitumor therapy. Here, we summarize the mechanism, delivery and the application of CRISPR-Cas9 in gene editing, and discuss the challenges and future directions of CRISPR-Cas9 in cancer immunotherapy.

  12. Advances and perspectives on the use of CRISPR/Cas9 systems in plant genomics research

    DOE PAGES

    Liu, Degao; Hu, Rongbin; Palla, Kaitlin J.; ...

    2016-02-18

    Genome editing with site-specific nucleases has become a powerful tool for functional characterization of plant genes and genetic improvement of agricultural crops. Among the various site-specific nuclease-based technologies available for genome editing, the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein 9 (Cas9) systems have shown the greatest potential for rapid and efficient editing of genomes in plant species. Here, this article reviews the current status of application of CRISPR/Cas9 to plant genomics research, with a focus on loss-of-function and gain-of-function analysis of individual genes in the context of perennial plants and the potential application of CRISPR/Cas9 to perturbation ofmore » gene expression, as well as identification and analysis of gene modules as part of an accelerated domestication and synthetic biology effort.« less

  13. Advances and perspectives on the use of CRISPR/Cas9 systems in plant genomics research

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Degao; Hu, Rongbin; Palla, Kaitlin J.

    Genome editing with site-specific nucleases has become a powerful tool for functional characterization of plant genes and genetic improvement of agricultural crops. Among the various site-specific nuclease-based technologies available for genome editing, the clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated protein 9 (Cas9) systems have shown the greatest potential for rapid and efficient editing of genomes in plant species. Here, this article reviews the current status of application of CRISPR/Cas9 to plant genomics research, with a focus on loss-of-function and gain-of-function analysis of individual genes in the context of perennial plants and the potential application of CRISPR/Cas9 to perturbation ofmore » gene expression, as well as identification and analysis of gene modules as part of an accelerated domestication and synthetic biology effort.« less

  14. Application of CRISPR/Cas9 in plant biology.

    PubMed

    Liu, Xuan; Wu, Surui; Xu, Jiao; Sui, Chun; Wei, Jianhe

    2017-05-01

    The CRISPR/Cas (clustered regularly interspaced short palindromic repeats/CRISPR-associated proteins) system was first identified in bacteria and archaea and can degrade exogenous substrates. It was developed as a gene editing technology in 2013. Over the subsequent years, it has received extensive attention owing to its easy manipulation, high efficiency, and wide application in gene mutation and transcriptional regulation in mammals and plants. The process of CRISPR/Cas is optimized constantly and its application has also expanded dramatically. Therefore, CRISPR/Cas is considered a revolutionary technology in plant biology. Here, we introduce the mechanism of the type II CRISPR/Cas called CRISPR/Cas9, update its recent advances in various applications in plants, and discuss its future prospects to provide an argument for its use in the study of medicinal plants.

  15. Easy regulation of metabolic flux in Escherichia coli using an endogenous type I-E CRISPR-Cas system.

    PubMed

    Chang, Yizhao; Su, Tianyuan; Qi, Qingsheng; Liang, Quanfeng

    2016-11-15

    Clustered regularly interspaced short palindromic repeats interference (CRISPRi) is a recently developed powerful tool for gene regulation. In Escherichia coli, the type I CRISPR system expressed endogenously shall be easy for internal regulation without causing metabolic burden in compared with the widely used type II system, which expressed dCas9 as an additional plasmid. By knocking out cas3 and activating the expression of CRISPR-associated complex for antiviral defense (Cascade), we constructed a native CRISPRi system in E. coli. Downregulation of the target gene from 6 to 82% was demonstrated using green fluorescent protein. Regulation of the citrate synthase gene (gltA) in the TCA cycle affected host metabolism. The effect of metabolic flux regulation was demonstrated by the poly-3-hydroxbutyrate (PHB) accumulation in vivo. By regulating native gltA in E. coli using an engineered endogenous type I-E CRISPR system, we redirected metabolic flux from the central metabolic pathway to the PHB synthesis pathway. This study demonstrated that the endogenous type I-E CRISPR-Cas system is an easy and effective method for regulating internal metabolic pathways, which is useful for product synthesis.

  16. Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs in the Porphyromonas gingivalis CRISPR-Cas I-C System.

    PubMed

    Burmistrz, Michal; Rodriguez Martinez, Jose Ignacio; Krochmal, Daniel; Staniec, Dominika; Pyrc, Krzysztof

    2017-12-01

    The CRISPR-Cas (clustered regularly interspaced short palindromic repeat-CRISPR-associated protein) system is unique to prokaryotes and provides the majority of bacteria and archaea with immunity against nucleic acids of foreign origin. CRISPR RNAs (crRNAs) are the key element of this system, since they are responsible for its selectivity and effectiveness. Typical crRNAs consist of a spacer sequence flanked with 5' and 3' handles originating from repeat sequences that are important for recognition of these small RNAs by the Cas machinery. In this investigation, we studied the type I-C CRISPR-Cas system in Porphyromonas gingivalis , a human pathogen associated with periodontitis, rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. We demonstrated the importance of the 5' handle for crRNA recognition by the effector complex and consequently activity, as well as secondary trimming of the 3' handle, which was not affected by modifications of the repeat sequence. IMPORTANCE Porphyromonas gingivalis , a clinically relevant Gram-negative, anaerobic bacterium, is one of the major etiologic agents of periodontitis and has been linked with the development of other clinical conditions, including rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. The presented results on the biogenesis and functions of crRNAs expand our understanding of CRISPR-Cas cellular defenses in P. gingivalis and of horizontal gene transfer in bacteria. Copyright © 2017 American Society for Microbiology.

  17. Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) RNAs in the Porphyromonas gingivalis CRISPR-Cas I-C System

    PubMed Central

    Burmistrz, Michal; Rodriguez Martinez, Jose Ignacio; Krochmal, Daniel; Staniec, Dominika

    2017-01-01

    ABSTRACT The CRISPR-Cas (clustered regularly interspaced short palindromic repeat–CRISPR-associated protein) system is unique to prokaryotes and provides the majority of bacteria and archaea with immunity against nucleic acids of foreign origin. CRISPR RNAs (crRNAs) are the key element of this system, since they are responsible for its selectivity and effectiveness. Typical crRNAs consist of a spacer sequence flanked with 5′ and 3′ handles originating from repeat sequences that are important for recognition of these small RNAs by the Cas machinery. In this investigation, we studied the type I-C CRISPR-Cas system in Porphyromonas gingivalis, a human pathogen associated with periodontitis, rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. We demonstrated the importance of the 5′ handle for crRNA recognition by the effector complex and consequently activity, as well as secondary trimming of the 3′ handle, which was not affected by modifications of the repeat sequence. IMPORTANCE Porphyromonas gingivalis, a clinically relevant Gram-negative, anaerobic bacterium, is one of the major etiologic agents of periodontitis and has been linked with the development of other clinical conditions, including rheumatoid arthritis, cardiovascular disease, and aspiration pneumonia. The presented results on the biogenesis and functions of crRNAs expand our understanding of CRISPR-Cas cellular defenses in P. gingivalis and of horizontal gene transfer in bacteria. PMID:28893837

  18. Fanconi anemia gene editing by the CRISPR/Cas9 system.

    PubMed

    Osborn, Mark J; Gabriel, Richard; Webber, Beau R; DeFeo, Anthony P; McElroy, Amber N; Jarjour, Jordan; Starker, Colby G; Wagner, John E; Joung, J Keith; Voytas, Daniel F; von Kalle, Christof; Schmidt, Manfred; Blazar, Bruce R; Tolar, Jakub

    2015-02-01

    Genome engineering with designer nucleases is a rapidly progressing field, and the ability to correct human gene mutations in situ is highly desirable. We employed fibroblasts derived from a patient with Fanconi anemia as a model to test the ability of the clustered regularly interspaced short palindromic repeats/Cas9 nuclease system to mediate gene correction. We show that the Cas9 nuclease and nickase each resulted in gene correction, but the nickase, because of its ability to preferentially mediate homology-directed repair, resulted in a higher frequency of corrected clonal isolates. To assess the off-target effects, we used both a predictive software platform to identify intragenic sequences of homology as well as a genome-wide screen utilizing linear amplification-mediated PCR. We observed no off-target activity and show RNA-guided endonuclease candidate sites that do not possess low sequence complexity function in a highly specific manner. Collectively, we provide proof of principle for precision genome editing in Fanconi anemia, a DNA repair-deficient human disorder.

  19. CRISPR-Cas9 gene editing: Delivery aspects and therapeutic potential.

    PubMed

    Oude Blenke, Erik; Evers, Martijn J W; Mastrobattista, Enrico; van der Oost, John

    2016-12-28

    The CRISPR-Cas9 gene editing system has taken the biomedical science field by storm, initiating rumors about future Nobel Prizes and heating up a fierce patent war, but also making significant scientific impact. The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR), together with CRISPR-associated proteins (Cas) are a part of the prokaryotic adaptive immune system and have successfully been repurposed for genome editing in mammalian cells. The CRISPR-Cas9 system has been used to correct genetic mutations and for replacing entire genes, opening up a world of possibilities for the treatment of genetic diseases. In addition, recently some new CRISPR-Cas systems have been discovered with interesting mechanistic variations. Despite these promising developments, many challenges have to be overcome before the system can be applied therapeutically in human patients and enabling delivery technology is one of the key challenges. Furthermore, the relatively high off-target effect of the system in its current form prevents it from being safely applied directly in the human body. In this review, the transformation of the CRISPR-Cas gene editing systems into a therapeutic modality will be discussed and the currently most realistic in vivo applications will be highlighted. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Production of Purified CasRNPs for Efficacious Genome Editing.

    PubMed

    Lingeman, Emily; Jeans, Chris; Corn, Jacob E

    2017-10-02

    CRISPR-Cas systems have been harnessed as modular genome editing reagents for functional genomics and show promise to cure genetic diseases. Directed by a guide RNA, a Cas effector introduces a double stranded break in DNA and host cell DNA repair leads to the introduction of errors (e.g., to knockout a gene) or a programmed change. Introduction of a Cas effector and guide RNA as a purified Cas ribonucleoprotein complex (CasRNP) has recently emerged as a powerful approach to alter cell types and organisms. Not only does CasRNP editing exhibit increased efficacy and specificity, it avoids optimization and iteration of species-specific factors such as codon usage, promoters, and terminators. CasRNP editing has been rapidly adopted for research use in many contexts and is quickly becoming a popular method to edit primary cells for therapeutic application. This article describes how to make a Cas9 RNP and outlines its use for gene editing in human cells. © 2017 by John Wiley & Sons, Inc. Copyright © 2017 John Wiley & Sons, Inc.

  1. Production of genome-edited pluripotent stem cells and mice by CRISPR/Cas.

    PubMed

    Horii, Takuro; Hatada, Izuho

    2016-01-01

    Clustered regularly at interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) nucleases, so-called CRISPR/Cas, was recently developed as an epoch-making genome engineering technology. This system only requires Cas9 nuclease and single-guide RNA complementary to a target locus. CRISPR/Cas enables the generation of knockout cells and animals in a single step. This system can also be used to generate multiple mutations and knockin in a single step, which is not possible using other methods. In this review, we provide an overview of genome editing by CRISPR/Cas in pluripotent stem cells and mice.

  2. Disabling Cas9 by an anti-CRISPR DNA mimic.

    PubMed

    Shin, Jiyung; Jiang, Fuguo; Liu, Jun-Jie; Bray, Nicolas L; Rauch, Benjamin J; Baik, Seung Hyun; Nogales, Eva; Bondy-Denomy, Joseph; Corn, Jacob E; Doudna, Jennifer A

    2017-07-01

    CRISPR (clustered regularly interspaced short palindromic repeats)-Cas9 gene editing technology is derived from a microbial adaptive immune system, where bacteriophages are often the intended target. Natural inhibitors of CRISPR-Cas9 enable phages to evade immunity and show promise in controlling Cas9-mediated gene editing in human cells. However, the mechanism of CRISPR-Cas9 inhibition is not known, and the potential applications for Cas9 inhibitor proteins in mammalian cells have not been fully established. We show that the anti-CRISPR protein AcrIIA4 binds only to assembled Cas9-single-guide RNA (sgRNA) complexes and not to Cas9 protein alone. A 3.9 Å resolution cryo-electron microscopy structure of the Cas9-sgRNA-AcrIIA4 complex revealed that the surface of AcrIIA4 is highly acidic and binds with a 1:1 stoichiometry to a region of Cas9 that normally engages the DNA protospacer adjacent motif. Consistent with this binding mode, order-of-addition experiments showed that AcrIIA4 interferes with DNA recognition but has no effect on preformed Cas9-sgRNA-DNA complexes. Timed delivery of AcrIIA4 into human cells as either protein or expression plasmid allows on-target Cas9-mediated gene editing while reducing off-target edits. These results provide a mechanistic understanding of AcrIIA4 function and demonstrate that inhibitors can modulate the extent and outcomes of Cas9-mediated gene editing.

  3. CRISPR-Cas-Mediated Phage Resistance Enhances Horizontal Gene Transfer by Transduction.

    PubMed

    Watson, Bridget N J; Staals, Raymond H J; Fineran, Peter C

    2018-02-13

    A powerful contributor to prokaryotic evolution is horizontal gene transfer (HGT) through transformation, conjugation, and transduction, which can be advantageous, neutral, or detrimental to fitness. Bacteria and archaea control HGT and phage infection through CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins) adaptive immunity. Although the benefits of resisting phage infection are evident, this can come at a cost of inhibiting the acquisition of other beneficial genes through HGT. Despite the ability of CRISPR-Cas to limit HGT through conjugation and transformation, its role in transduction is largely overlooked. Transduction is the phage-mediated transfer of bacterial DNA between cells and arguably has the greatest impact on HGT. We demonstrate that in Pectobacterium atrosepticum , CRISPR-Cas can inhibit the transduction of plasmids and chromosomal loci. In addition, we detected phage-mediated transfer of a large plant pathogenicity genomic island and show that CRISPR-Cas can inhibit its transduction. Despite these inhibitory effects of CRISPR-Cas on transduction, its more common role in phage resistance promotes rather than diminishes HGT via transduction by protecting bacteria from phage infection. This protective effect can also increase transduction of phage-sensitive members of mixed populations. CRISPR-Cas systems themselves display evidence of HGT, but little is known about their lateral dissemination between bacteria and whether transduction can contribute. We show that, through transduction, bacteria can acquire an entire chromosomal CRISPR-Cas system, including cas genes and phage-targeting spacers. We propose that the positive effect of CRISPR-Cas phage immunity on enhancing transduction surpasses the rarer cases where gene flow by transduction is restricted. IMPORTANCE The generation of genetic diversity through acquisition of DNA is a powerful contributor to microbial evolution and occurs through

  4. CRISPR/Cas9 Based Genome Editing of Penicillium chrysogenum.

    PubMed

    Pohl, C; Kiel, J A K W; Driessen, A J M; Bovenberg, R A L; Nygård, Y

    2016-07-15

    CRISPR/Cas9 based systems have emerged as versatile platforms for precision genome editing in a wide range of organisms. Here we have developed powerful CRISPR/Cas9 tools for marker-based and marker-free genome modifications in Penicillium chrysogenum, a model filamentous fungus and industrially relevant cell factory. The developed CRISPR/Cas9 toolbox is highly flexible and allows editing of new targets with minimal cloning efforts. The Cas9 protein and the sgRNA can be either delivered during transformation, as preassembled CRISPR-Cas9 ribonucleoproteins (RNPs) or expressed from an AMA1 based plasmid within the cell. The direct delivery of the Cas9 protein with in vitro synthesized sgRNA to the cells allows for a transient method for genome engineering that may rapidly be applicable for other filamentous fungi. The expression of Cas9 from an AMA1 based vector was shown to be highly efficient for marker-free gene deletions.

  5. Cas9, Cpf1 and C2c1/2/3―What's next?

    PubMed Central

    Yamamoto, Takashi; Sakuma, Tetsushi

    2017-01-01

    ABSTRACT Since the rapid emergence of clustered regulatory interspaced short palindromic repeats (CRISPR)-CRISPR-associated protein 9 (Cas9) system, developed as a genome engineering tool in 2012–2013, most researchers in the life science field have had a fixated interest in this fascinating technology. CRISPR-Cas9 is an RNA-guided DNA endonuclease system, which consists of Cas9 nuclease defining a few targeting base via protospacer adjacent motif complexed with easily customizable single guide RNA targeting around 20-bp genomic sequence. Although Streptococcus pyogenes Cas9 (SpCas9), one of the Cas9 proteins that applications in genome engineering were first demonstrated, still has wide usage because of its high nuclease activity and broad targeting range, there are several limitations such as large molecular weight and potential off-target effect. In this commentary, we describe various improvements and alternatives of CRISPR-Cas systems, including engineered Cas9 variants, Cas9 homologs, and novel Cas proteins other than Cas9. These variations enable flexible genome engineering with high efficiency and specificity, orthogonal genetic control at multiple gene loci, gene knockdown, or fluorescence imaging of transcripts mediated by RNA targeting, and beyond. PMID:28140746

  6. Cell-type-specific genome editing with a microRNA-responsive CRISPR–Cas9 switch

    PubMed Central

    Hirosawa, Moe; Fujita, Yoshihiko; Parr, Callum J. C.; Hayashi, Karin; Kashida, Shunnichi; Hotta, Akitsu; Woltjen, Knut

    2017-01-01

    Abstract The CRISPR–Cas9 system is a powerful genome-editing tool useful in a variety of biotechnology and biomedical applications. Here we developed a synthetic RNA-based, microRNA (miRNA)-responsive CRISPR–Cas9 system (miR-Cas9 switch) in which the genome editing activity of Cas9 can be modulated through endogenous miRNA signatures in mammalian cells. We created miR-Cas9 switches by using a miRNA-complementary sequence in the 5΄-UTR of mRNA encoding Streptococcus pyogenes Cas9. The miR-21-Cas9 or miR-302-Cas9 switches selectively and efficiently responded to miR-21-5p in HeLa cells or miR-302a-5p in human induced pluripotent stem cells, and post-transcriptionally attenuated the Cas9 activity only in the target cells. Moreover, the miR-Cas9 switches could differentially control the genome editing by sensing endogenous miRNA activities within a heterogeneous cell population. Our miR-Cas9 switch system provides a promising framework for cell-type selective genome editing and cell engineering based on intracellular miRNA information. PMID:28525578

  7. CRISPR-Cas9: from Genome Editing to Cancer Research

    PubMed Central

    Chen, Si; Sun, Heng; Miao, Kai; Deng, Chu-Xia

    2016-01-01

    Cancer development is a multistep process triggered by innate and acquired mutations, which cause the functional abnormality and determine the initiation and progression of tumorigenesis. Gene editing is a widely used engineering tool for generating mutations that enhance tumorigenesis. The recent developed clustered regularly interspaced short palindromic repeats-CRISPR-associated 9 (CRISPR-Cas9) system renews the genome editing approach into a more convenient and efficient way. By rapidly introducing genetic modifications in cell lines, organs and animals, CRISPR-Cas9 system extends the gene editing into whole genome screening, both in loss-of-function and gain-of-function manners. Meanwhile, the system accelerates the establishment of animal cancer models, promoting in vivo studies for cancer research. Furthermore, CRISPR-Cas9 system is modified into diverse innovative tools for observing the dynamic bioprocesses in cancer studies, such as image tracing for targeted DNA, regulation of transcription activation or repression. Here, we view recent technical advances in the application of CRISPR-Cas9 system in cancer genetics, large-scale cancer driver gene hunting, animal cancer modeling and functional studies. PMID:27994508

  8. CRISPR/Cas9 in Genome Editing and Beyond.

    PubMed

    Wang, Haifeng; La Russa, Marie; Qi, Lei S

    2016-06-02

    The Cas9 protein (CRISPR-associated protein 9), derived from type II CRISPR (clustered regularly interspaced short palindromic repeats) bacterial immune systems, is emerging as a powerful tool for engineering the genome in diverse organisms. As an RNA-guided DNA endonuclease, Cas9 can be easily programmed to target new sites by altering its guide RNA sequence, and its development as a tool has made sequence-specific gene editing several magnitudes easier. The nuclease-deactivated form of Cas9 further provides a versatile RNA-guided DNA-targeting platform for regulating and imaging the genome, as well as for rewriting the epigenetic status, all in a sequence-specific manner. With all of these advances, we have just begun to explore the possible applications of Cas9 in biomedical research and therapeutics. In this review, we describe the current models of Cas9 function and the structural and biochemical studies that support it. We focus on the applications of Cas9 for genome editing, regulation, and imaging, discuss other possible applications and some technical considerations, and highlight the many advantages that CRISPR/Cas9 technology offers.

  9. CRISPR/Cas9 Inhibits Multiple Steps of HIV-1 Infection.

    PubMed

    Yin, Lijuan; Hu, Siqi; Mei, Shan; Sun, Hong; Xu, Fengwen; Li, Jian; Zhu, Weijun; Liu, Xiaoman; Zhao, Fei; Zhang, Di; Cen, Shan; Liang, Chen; Guo, Fei

    2018-05-09

    CRISPR/Cas9 is an adaptive immune system where bacteria and archaea have evolved to resist the invading viruses and plasmid DNA by creating site-specific double-strand breaks in DNA. This study tested this gene editing system in inhibiting human immunodeficiency virus type 1 (HIV-1) infection by targeting the viral long terminal repeat and the gene coding sequences. Strong inhibition of HIV-1 infection by Cas9/gRNA was observed, which resulted not only from insertions and deletions (indels) that were introduced into viral DNA due to Cas9 cleavage, but also from the marked decrease in the levels of the late viral DNA products and the integrated viral DNA. This latter defect might have reflected the degradation of viral DNA that has not been immediately repaired after Cas9 cleavage. It was further observed that Cas9, when solely located in the cytoplasm, inhibits HIV-1 as strongly as the nuclear Cas9, except that the cytoplasmic Cas9 does not act on the integrated HIV-1 DNA and thus cannot be used to excise the latent provirus. Together, the results suggest that Cas9/gRNA is able to target and edit HIV-1 DNA both in the cytoplasm and in the nucleus. The inhibitory effect of Cas9 on HIV-1 is attributed to both the indels in viral DNA and the reduction in the levels of viral DNA.

  10. Genome Editing in Escherichia coli with Cas9 and synthetic CRISPRs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peng, Ze; Richardson, Sarah; Robinson, David

    Recently, the Cas9-CRISPR system has proven to be a useful tool for genome editing in eukaryotes, which repair the double stranded breaks made by Cas9 with non-homologous end joining or homologous recombination. Escherichia coli lacks non-homologous end joining and has a very low homologous recombination rate, effectively rendering targeted Cas9 activity lethal. We have developed a heat curable, serializable, plasmid based system for selectionless Cas9 editing in arbitrary E. coli strains that uses synthetic CRISPRs for targeting and -red to effect repairs of double stranded breaks. We have demonstrated insertions, substitutions, and multi-target deletions with our system, which we havemore » tested in several strains.« less

  11. Exploiting CRISPR/Cas: Interference Mechanisms and Applications

    PubMed Central

    Richter, Hagen; Randau, Lennart; Plagens, André

    2013-01-01

    The discovery of biological concepts can often provide a framework for the development of novel molecular tools, which can help us to further understand and manipulate life. One recent example is the elucidation of the prokaryotic adaptive immune system, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) that protects bacteria and archaea against viruses or conjugative plasmids. The immunity is based on small RNA molecules that are incorporated into versatile multi-domain proteins or protein complexes and specifically target viral nucleic acids via base complementarity. CRISPR/Cas interference machines are utilized to develop novel genome editing tools for different organisms. Here, we will review the latest progress in the elucidation and application of prokaryotic CRISPR/Cas systems and discuss possible future approaches to exploit the potential of these interference machineries. PMID:23857052

  12. Exploiting CRISPR/Cas: interference mechanisms and applications.

    PubMed

    Richter, Hagen; Randau, Lennart; Plagens, André

    2013-07-12

    The discovery of biological concepts can often provide a framework for the development of novel molecular tools, which can help us to further understand and manipulate life. One recent example is the elucidation of the prokaryotic adaptive immune system, clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) that protects bacteria and archaea against viruses or conjugative plasmids. The immunity is based on small RNA molecules that are incorporated into versatile multi-domain proteins or protein complexes and specifically target viral nucleic acids via base complementarity. CRISPR/Cas interference machines are utilized to develop novel genome editing tools for different organisms. Here, we will review the latest progress in the elucidation and application of prokaryotic CRISPR/Cas systems and discuss possible future approaches to exploit the potential of these interference machineries.

  13. Single-stranded DNA cleavage by divergent CRISPR-Cas9 enzymes

    PubMed Central

    Ma, Enbo; Harrington, Lucas B.; O’Connell, Mitchell R.; Zhou, Kaihong; Doudna, Jennifer A.

    2015-01-01

    Summary Double-stranded DNA (dsDNA) cleavage by Cas9 is a hallmark of type II CRISPR-Cas immune systems. Cas9–guide RNA complexes recognize 20-base-pair sequences in DNA and generate a site-specific double-strand break, a robust activity harnessed for genome editing. DNA recognition by all studied Cas9 enzymes requires a protospacer adjacent motif (PAM) next to the target site. We show that Cas9 enzymes from evolutionarily divergent bacteria can recognize and cleave single-stranded DNA (ssDNA) by an RNA-guided, PAM-independent recognition mechanism. Comparative analysis shows that in contrast to the type II-A S. pyogenes Cas9 that is widely used for genome engineering, the smaller type II-C Cas9 proteins have limited dsDNA binding and unwinding activity and promiscuous guide-RNA specificity. These results indicate that inefficiency of type II-C Cas9 enzymes for genome editing results from a limited ability to cleave dsDNA, and suggest that ssDNA cleavage was an ancestral function of the Cas9 enzyme family. PMID:26545076

  14. Generation of insulin-deficient piglets by disrupting INS gene using CRISPR/Cas9 system.

    PubMed

    Cho, Bumrae; Kim, Su Jin; Lee, Eun-Jin; Ahn, Sun Mi; Lee, Jin Seok; Ji, Dal-Young; Lee, Kiho; Kang, Jung-Taek

    2018-06-01

    Diabetes mellitus is a chronic disease with accompanying severe complications. Various animal models, mostly rodents due to availability of genetically modified lines, have been used to investigate the pathophysiology of diabetes. Using pigs for diabetic research can be beneficial because of their similarity in size, pathogenesis pathway, physiology, and metabolism with human. However, the use of pigs for diabetes research has been hampered due to only few pig models presenting diabetes symptoms. In this study, we have successfully generated insulin-deficient pigs by generating the indels of the porcine INS gene in somatic cells using CRISPR/Cas9 system followed by somatic cell nuclear transfer. First, somatic cells carrying a modified INS gene were generated using CRISPR/Cas9 system and their genotypes were confirmed by T7E1 assay; targeting efficiency was 40.4% (21/52). After embryo transfer, three live and five stillborn piglets were born. As expected, INS knockout piglets presented high blood glucose levels and glucose was detected in the urine. The level of insulin and c-peptide in the blood serum of INS knockout piglets were constant after feeding and the expression of insulin in the pancreas was absent in those piglets. This study demonstrates effectiveness of CRISPR/Cas9 system in generating novel pig models. We expect that these insulin-deficient pigs can be used in diabetes research to test the efficacy and safety of new drugs and the recipient of islet transplantation to investigate optimal transplantation strategies.

  15. CRISPR-cas System as a Genome Engineering Platform: Applications in Biomedicine and Biotechnology.

    PubMed

    Hashemi, Atieh

    2018-01-01

    Genome editing mediated by Clustered Regularly Interspaced Palindromic Repeats (CRISPR) and its associated proteins (Cas) has recently been considered to be used as efficient, rapid and site-specific tool in the modification of endogenous genes in biomedically important cell types and whole organisms. It has become a predictable and precise method of choice for genome engineering by specifying a 20-nt targeting sequence within its guide RNA. Firstly, this review aims to describe the biology of CRISPR system. Next, the applications of CRISPR-Cas9 in various ways, such as efficient generation of a wide variety of biomedically important cellular models as well as those of animals, modifying epigenomes, conducting genome-wide screens, gene therapy, labelling specific genomic loci in living cells, metabolic engineering of yeast and bacteria and endogenous gene expression regulation by an altered version of this system were reviewed. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  16. Cell-type-specific genome editing with a microRNA-responsive CRISPR-Cas9 switch.

    PubMed

    Hirosawa, Moe; Fujita, Yoshihiko; Parr, Callum J C; Hayashi, Karin; Kashida, Shunnichi; Hotta, Akitsu; Woltjen, Knut; Saito, Hirohide

    2017-07-27

    The CRISPR-Cas9 system is a powerful genome-editing tool useful in a variety of biotechnology and biomedical applications. Here we developed a synthetic RNA-based, microRNA (miRNA)-responsive CRISPR-Cas9 system (miR-Cas9 switch) in which the genome editing activity of Cas9 can be modulated through endogenous miRNA signatures in mammalian cells. We created miR-Cas9 switches by using a miRNA-complementary sequence in the 5΄-UTR of mRNA encoding Streptococcus pyogenes Cas9. The miR-21-Cas9 or miR-302-Cas9 switches selectively and efficiently responded to miR-21-5p in HeLa cells or miR-302a-5p in human induced pluripotent stem cells, and post-transcriptionally attenuated the Cas9 activity only in the target cells. Moreover, the miR-Cas9 switches could differentially control the genome editing by sensing endogenous miRNA activities within a heterogeneous cell population. Our miR-Cas9 switch system provides a promising framework for cell-type selective genome editing and cell engineering based on intracellular miRNA information. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  17. Plant genome editing made easy: targeted mutagenesis in model and crop plants using the CRISPR/Cas system.

    PubMed

    Belhaj, Khaoula; Chaparro-Garcia, Angela; Kamoun, Sophien; Nekrasov, Vladimir

    2013-10-11

    Targeted genome engineering (also known as genome editing) has emerged as an alternative to classical plant breeding and transgenic (GMO) methods to improve crop plants. Until recently, available tools for introducing site-specific double strand DNA breaks were restricted to zinc finger nucleases (ZFNs) and TAL effector nucleases (TALENs). However, these technologies have not been widely adopted by the plant research community due to complicated design and laborious assembly of specific DNA binding proteins for each target gene. Recently, an easier method has emerged based on the bacterial type II CRISPR (clustered regularly interspaced short palindromic repeats)/Cas (CRISPR-associated) immune system. The CRISPR/Cas system allows targeted cleavage of genomic DNA guided by a customizable small noncoding RNA, resulting in gene modifications by both non-homologous end joining (NHEJ) and homology-directed repair (HDR) mechanisms. In this review we summarize and discuss recent applications of the CRISPR/Cas technology in plants.

  18. CAS or Pen-and-Paper: Factors That Influence Students' Choices

    ERIC Educational Resources Information Center

    Cameron, Scott; Ball, Lynda

    2015-01-01

    This paper reports on a study of choices about the use of a computer algebra system (CAS) or pen-and-paper (p&p) by a class of seven Year 11 Mathematical Methods (CAS) students as they completed a calculus worksheet. Factors that influenced students' choices are highlighted by comparing and contrasting the use of CAS and p&p between…

  19. Genome editing in sea urchin embryos by using a CRISPR/Cas9 system.

    PubMed

    Lin, Che-Yi; Su, Yi-Hsien

    2016-01-15

    Sea urchin embryos are a useful model system for investigating early developmental processes and the underlying gene regulatory networks. Most functional studies using sea urchin embryos rely on antisense morpholino oligonucleotides to knockdown gene functions. However, major concerns related to this technique include off-target effects, variations in morpholino efficiency, and potential morpholino toxicity; furthermore, such problems are difficult to discern. Recent advances in genome editing technologies have introduced the prospect of not only generating sequence-specific knockouts, but also providing genome-engineering applications. Two genome editing tools, zinc-finger nuclease (ZFN) and transcription activator-like effector nucleases (TALENs), have been utilized in sea urchin embryos, but the resulting efficiencies are far from satisfactory. The CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 (CRISPR-associated nuclease 9) system serves as an easy and efficient method with which to edit the genomes of several established and emerging model organisms in the field of developmental biology. Here, we apply the CRISPR/Cas9 system to the sea urchin embryo. We designed six guide RNAs (gRNAs) against the well-studied nodal gene and discovered that five of the gRNAs induced the expected phenotype in 60-80% of the injected embryos. In addition, we developed a simple method for isolating genomic DNA from individual embryos, enabling phenotype to be precisely linked to genotype, and revealed that the mutation rates were 67-100% among the sequenced clones. Of the two potential off-target sites we examined, no off-target effects were observed. The detailed procedures described herein promise to accelerate the usage of CRISPR/Cas9 system for genome editing in sea urchin embryos. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. The CRISPR/Cas Immune System Is an Operon Regulated by LeuO, H-NS, and Leucine-Responsive Regulatory Protein in Salmonella enterica Serovar Typhi ▿ †

    PubMed Central

    Medina-Aparicio, L.; Rebollar-Flores, J. E.; Gallego-Hernández, A. L.; Vázquez, A.; Olvera, L.; Gutiérrez-Ríos, R. M.; Calva, E.; Hernández-Lucas, I.

    2011-01-01

    Prokaryotes have developed multiple strategies to survive phage attack and invasive DNA. Recently, a novel genetic program denominated the CRISPR/Cas system was demonstrated to have a role in these biological processes providing genetic immunity. This defense mechanism is widespread in the Archaea and Bacteria, suggesting an ancient origin. In the last few years, progress has been made regarding the functionality of the CRISPR/Cas system; however, many basic aspects of the system remain unknown. For instance, there are few studies about the conditions and regulators involved in its transcriptional control. In this work, we analyzed the transcriptional organization of the CRISPR/Cas system as well as the positive and negative regulators involved in its genetic expression in Salmonella enterica serovar Typhi. The results obtained show that in S. Typhi the CRISPR/Cas system is a LeuO-dependent operon silenced by the global regulator LRP, in addition to the previously known nucleoid-associated protein H-NS; both LRP and H-NS bind upstream and downstream of the transcriptional start site of casA. In this study, relevant nucleotides of the casA regulatory region that mediate its LeuO transcriptional activation were identified. Interestingly, specific growth conditions (N-minimal medium) were found for the LeuO-independent expression of the CRISPR/Cas system in S. Typhi. Thus, our work provides evidence that there are multiple modulators involved in the genetic expression of this immune system in S. Typhi IMSS-1. PMID:21398529

  1. Intrinsic sequence specificity of the Cas1 integrase directs new spacer acquisition

    PubMed Central

    Rollie, Clare; Schneider, Stefanie; Brinkmann, Anna Sophie; Bolt, Edward L; White, Malcolm F

    2015-01-01

    The adaptive prokaryotic immune system CRISPR-Cas provides RNA-mediated protection from invading genetic elements. The fundamental basis of the system is the ability to capture small pieces of foreign DNA for incorporation into the genome at the CRISPR locus, a process known as Adaptation, which is dependent on the Cas1 and Cas2 proteins. We demonstrate that Cas1 catalyses an efficient trans-esterification reaction on branched DNA substrates, which represents the reverse- or disintegration reaction. Cas1 from both Escherichia coli and Sulfolobus solfataricus display sequence specific activity, with a clear preference for the nucleotides flanking the integration site at the leader-repeat 1 boundary of the CRISPR locus. Cas2 is not required for this activity and does not influence the specificity. This suggests that the inherent sequence specificity of Cas1 is a major determinant of the adaptation process. DOI: http://dx.doi.org/10.7554/eLife.08716.001 PMID:26284603

  2. Cas9 versus Cas12a/Cpf1: Structure-function comparisons and implications for genome editing.

    PubMed

    Swarts, Daan C; Jinek, Martin

    2018-05-22

    Cas9 and Cas12a are multidomain CRISPR-associated nucleases that can be programmed with a guide RNA to bind and cleave complementary DNA targets. The guide RNA sequence can be varied, making these effector enzymes versatile tools for genome editing and gene regulation applications. While Cas9 is currently the best-characterized and most widely used nuclease for such purposes, Cas12a (previously named Cpf1) has recently emerged as an alternative for Cas9. Cas9 and Cas12a have distinct evolutionary origins and exhibit different structural architectures, resulting in distinct molecular mechanisms. Here we compare the structural and mechanistic features that distinguish Cas9 and Cas12a, and describe how these features modulate their activity. We discuss implications for genome editing, and how they may influence the choice of Cas9 or Cas12a for specific applications. Finally, we review recent studies in which Cas12a has been utilized as a genome editing tool. This article is categorized under: RNA Interactions with Proteins and Other Molecules > Protein-RNA Interactions: Functional Implications Regulatory RNAs/RNAi/Riboswitches > Biogenesis of Effector Small RNAs RNA Interactions with Proteins and Other Molecules > RNA-Protein Complexes. © 2018 Wiley Periodicals, Inc.

  3. Exploiting endogenous CRISPR-Cas system for multiplex genome editing in Clostridium tyrobutyricum and engineer the strain for high-level butanol production.

    PubMed

    Zhang, Jie; Zong, Wenming; Hong, Wei; Zhang, Zhong-Tian; Wang, Yi

    2018-03-09

    Although CRISPR-Cas9/Cpf1 have been employed as powerful genome engineering tools, heterologous CRISPR-Cas9/Cpf1 are often difficult to introduce into bacteria and archaea due to their severe toxicity. Since most prokaryotes harbor native CRISPR-Cas systems, genome engineering can be achieved by harnessing these endogenous immune systems. Here, we report the exploitation of Type I-B CRISPR-Cas of Clostridium tyrobutyricum for genome engineering. In silico CRISPR array analysis and plasmid interference assay revealed that TCA or TCG at the 5'-end of the protospacer was the functional protospacer adjacent motif (PAM) for CRISPR targeting. With a lactose inducible promoter for CRISPR array expression, we significantly decreased the toxicity of CRISPR-Cas and enhanced the transformation efficiency, and successfully deleted spo0A with an editing efficiency of 100%. We further evaluated effects of the spacer length on genome editing efficiency. Interestingly, spacers ≤ 20 nt led to unsuccessful transformation consistently, likely due to severe off-target effects; while a spacer of 30-38 nt is most appropriate to ensure successful transformation and high genome editing efficiency. Moreover, multiplex genome editing for the deletion of spo0A and pyrF was achieved in a single transformation, with an editing efficiency of up to 100%. Finally, with the integration of the alcohol dehydrogenase gene (adhE1 or adhE2) to replace cat1 (the key gene responsible for butyrate production and previously could not be deleted), two mutants were created for n-butanol production, with the butanol titer reached historically record high of 26.2 g/L in a batch fermentation. Altogether, our results demonstrated the easy programmability and high efficiency of endogenous CRISPR-Cas. The developed protocol herein has a broader applicability to other prokaryotes containing endogenous CRISPR-Cas systems. C. tyrobutyricum could be employed as an excellent platform to be engineered for biofuel

  4. Determining the Specificity of Cascade Binding, Interference, and Primed Adaptation In Vivo in the Escherichia coli Type I-E CRISPR-Cas System.

    PubMed

    Cooper, Lauren A; Stringer, Anne M; Wade, Joseph T

    2018-04-17

    In clustered regularly interspaced short palindromic repeat (CRISPR)-Cas (CRISPR-associated) immunity systems, short CRISPR RNAs (crRNAs) are bound by Cas proteins, and these complexes target invading nucleic acid molecules for degradation in a process known as interference. In type I CRISPR-Cas systems, the Cas protein complex that binds DNA is known as Cascade. Association of Cascade with target DNA can also lead to acquisition of new immunity elements in a process known as primed adaptation. Here, we assess the specificity determinants for Cascade-DNA interaction, interference, and primed adaptation in vivo , for the type I-E system of Escherichia coli Remarkably, as few as 5 bp of crRNA-DNA are sufficient for association of Cascade with a DNA target. Consequently, a single crRNA promotes Cascade association with numerous off-target sites, and the endogenous E. coli crRNAs direct Cascade binding to >100 chromosomal sites. In contrast to the low specificity of Cascade-DNA interactions, >18 bp are required for both interference and primed adaptation. Hence, Cascade binding to suboptimal, off-target sites is inert. Our data support a model in which the initial Cascade association with DNA targets requires only limited sequence complementarity at the crRNA 5' end whereas recruitment and/or activation of the Cas3 nuclease, a prerequisite for interference and primed adaptation, requires extensive base pairing. IMPORTANCE Many bacterial and archaeal species encode CRISPR-Cas immunity systems that protect against invasion by foreign DNA. In the Escherichia coli CRISPR-Cas system, a protein complex, Cascade, binds 61-nucleotide (nt) CRISPR RNAs (crRNAs). The Cascade complex is directed to invading DNA molecules through base pairing between the crRNA and target DNA. This leads to recruitment of the Cas3 nuclease, which destroys the invading DNA molecule and promotes acquisition of new immunity elements. We made the first in vivo measurements of Cascade binding to DNA

  5. Development of a CRISPR-Cas9 System for Efficient Genome Editing of Candida lusitaniae.

    PubMed

    Norton, Emily L; Sherwood, Racquel K; Bennett, Richard J

    2017-01-01

    Candida lusitaniae is a member of the Candida clade that includes a diverse group of fungal species relevant to both human health and biotechnology. This species exhibits a full sexual cycle to undergo interconversion between haploid and diploid forms. C. lusitaniae is also an emerging opportunistic pathogen that can cause serious bloodstream infections in the clinic and yet has often proven to be refractory to facile genetic manipulations. In this work, we develop a clustered regularly interspaced short palindromic repeat (CRISPR) and CRISPR-associated gene 9 (Cas9) system to enable genome editing of C. lusitaniae . We demonstrate that expression of CRISPR-Cas9 components under species-specific promoters is necessary for efficient gene targeting and can be successfully applied to multiple genes in both haploid and diploid isolates. Gene deletion efficiencies with CRISPR-Cas9 were further enhanced in C. lusitaniae strains lacking the established nonhomologous end joining (NHEJ) factors Ku70 and DNA ligase 4. These results indicate that NHEJ plays an important role in directing the repair of DNA double-strand breaks (DSBs) in C. lusitaniae and that removal of this pathway increases integration of gene deletion templates by homologous recombination. The described approaches significantly enhance the ability to perform genetic studies in, and promote understanding of, this emerging human pathogen and model sexual species. IMPORTANCE The ability to perform efficient genome editing is a key development for detailed mechanistic studies of a species. Candida lusitaniae is an important member of the Candida clade and is relevant both as an emerging human pathogen and as a model for understanding mechanisms of sexual reproduction. We highlight the development of a CRISPR-Cas9 system for efficient genome manipulation in C. lusitaniae and demonstrate the importance of species-specific promoters for expression of CRISPR components. We also demonstrate that the NHEJ

  6. High-Throughput Silencing Using the CRISPR-Cas9 System: A Review of the Benefits and Challenges.

    PubMed

    Wade, Mark

    2015-09-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system has been seized upon with a fervor enjoyed previously by small interfering RNA (siRNA) and short hairpin RNA (shRNA) technologies and has enormous potential for high-throughput functional genomics studies. The decision to use this approach must be balanced with respect to adoption of existing platforms versus awaiting the development of more "mature" next-generation systems. Here, experience from siRNA and shRNA screening plays an important role, as issues such as targeting efficiency, pooling strategies, and off-target effects with those technologies are already framing debates in the CRISPR field. CRISPR/Cas can be exploited not only to knockout genes but also to up- or down-regulate gene transcription-in some cases in a multiplex fashion. This provides a powerful tool for studying the interaction among multiple signaling cascades in the same genetic background. Furthermore, the documented success of CRISPR/Cas-mediated gene correction (or the corollary, introduction of disease-specific mutations) provides proof of concept for the rapid generation of isogenic cell lines for high-throughput screening. In this review, the advantages and limitations of CRISPR/Cas are discussed and current and future applications are highlighted. It is envisaged that complementarities between CRISPR, siRNA, and shRNA will ensure that all three technologies remain critical to the success of future functional genomics projects. © 2015 Society for Laboratory Automation and Screening.

  7. A Simple and Universal System for Gene Manipulation in Aspergillus fumigatus: In Vitro-Assembled Cas9-Guide RNA Ribonucleoproteins Coupled with Microhomology Repair Templates.

    PubMed

    Al Abdallah, Qusai; Ge, Wenbo; Fortwendel, Jarrod R

    2017-01-01

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas9 is a novel genome-editing system that has been successfully established in Aspergillus fumigatus . However, the current state of the technology relies heavily on DNA-based expression cassettes for delivering Cas9 and the guide RNA (gRNA) to the cell. Therefore, the power of the technology is limited to strains that are engineered to express Cas9 and gRNA. To overcome such limitations, we developed a simple and universal CRISPR-Cas9 system for gene deletion that works across different genetic backgrounds of A. fumigatus . The system employs in vitro assembly of dual Cas9 ribonucleoproteins (RNPs) for targeted gene deletion. Additionally, our CRISPR-Cas9 system utilizes 35 to 50 bp of flanking regions for mediating homologous recombination at Cas9 double-strand breaks (DSBs). As a proof of concept, we first tested our system in the Δ akuB (Δ akuB ku80 ) laboratory strain and generated high rates (97%) of gene deletion using 2 µg of the repair template flanked by homology regions as short as 35 bp. Next, we inspected the portability of our system across other genetic backgrounds of A. fumigatus , namely, the wild-type strain Af293 and a clinical isolate, A. fumigatus DI15-102. In the Af293 strain, 2 µg of the repair template flanked by 35 and 50 bp of homology resulted in highly efficient gene deletion (46% and 74%, respectively) in comparison to classical gene replacement systems. Similar deletion efficiencies were also obtained in the clinical isolate DI15-102. Taken together, our data show that in vitro -assembled Cas9 RNPs coupled with microhomology repair templates are an efficient and universal system for gene manipulation in A. fumigatus . IMPORTANCE Tackling the multifactorial nature of virulence and antifungal drug resistance in A. fumigatus requires the mechanistic interrogation of a multitude of genes, sometimes across multiple genetic backgrounds. Classical fungal gene

  8. CRISPR/Cas9: Transcending the Reality of Genome Editing.

    PubMed

    Chira, Sergiu; Gulei, Diana; Hajitou, Amin; Zimta, Alina-Andreea; Cordelier, Pierre; Berindan-Neagoe, Ioana

    2017-06-16

    With the expansion of the microbiology field of research, a new genome editing tool arises from the biology of bacteria that holds the promise of achieving precise modifications in the genome with a simplicity and versatility that surpasses previous genome editing methods. This new technique, commonly named CRISPR/Cas9, led to a rapid expansion of the biomedical field; more specifically, cancer characterization and modeling have benefitted greatly from the genome editing capabilities of CRISPR/Cas9. In this paper, we briefly summarize recent improvements in CRISPR/Cas9 design meant to overcome the limitations that have arisen from the nuclease activity of Cas9 and the influence of this technology in cancer research. In addition, we present challenges that might impede the clinical applicability of CRISPR/Cas9 for cancer therapy and highlight future directions for designing CRISPR/Cas9 delivery systems that might prove useful for cancer therapeutics. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  9. CRISPR/Cas9: the Jedi against the dark empire of diseases.

    PubMed

    Khan, Sehrish; Mahmood, Muhammad Shahid; Rahman, Sajjad Ur; Zafar, Hassan; Habibullah, Sultan; Khan, Zulqarnain; Ahmad, Aftab

    2018-03-28

    Advances in Clustered Regularly Interspaced Short Palindromic Repeats/CRISPR associated system (CRISPR/Cas9) has dramatically reshaped our ability to edit genomes. The scientific community is using CRISPR/Cas9 for various biotechnological and medical purposes. One of its most important uses is developing potential therapeutic strategies against diseases. CRISPR/Cas9 based approaches have been increasingly applied to the treatment of human diseases like cancer, genetic, immunological and neurological disorders and viral diseases. These strategies using CRISPR/Cas9 are not only therapy oriented but can also be used for disease modeling as well, which in turn can lead to the improved understanding of mechanisms of various infectious and genetic diseases. In addition, CRISPR/Cas9 system can also be used as programmable antibiotics to kill the bacteria sequence specifically and therefore can bypass multidrug resistance. Furthermore, CRISPR/Cas9 based gene drive may also hold the potential to limit the spread of vector borne diseases. This bacterial and archaeal adaptive immune system might be a therapeutic answer to previous incurable diseases, of course rigorous testing is required to corroborate these claims. In this review, we provide an insight about the recent developments using CRISPR/Cas9 against various diseases with respect to disease modeling and treatment, and what future perspectives should be noted while using this technology.

  10. Development of germ-line-specific CRISPR-Cas9 systems to improve the production of heritable gene modifications in Arabidopsis

    PubMed Central

    Mao, Yanfei; Zhang, Zhengjing; Feng, Zhengyan; Wei, Pengliang; Zhang, Hui; Botella, José Ramón; Zhu, Jian-Kang

    2017-01-01

    Summary The Streptococcus-derived CRISPR/Cas9 system is being widely used to perform targeted gene modifications in plants. This customized endonuclease system has two components, the single-guide RNA (sgRNA) for target DNA recognition and the CRISPR-associated protein 9 (Cas9) for DNA cleavage. Ubiquitously expressed CRISPR/Cas9 systems (UC) generate targeted gene modifications with high efficiency but only those produced in reproductive cells are transmitted to the next generation. We report the design and characterization of a germ-line-specific Cas9 system (GSC) for Arabidopsis gene modification in male gametocytes, constructed using a SPOROCYTELESS (SPL) genomic expression cassette. Four loci in two endogenous genes were targeted by both systems for comparative analysis. Mutations generated by the GSC system were rare in T1 plants but were abundant (30%) in the T2 generation. The vast majority (70%) of the T2 mutant population generated using the UC system were chimeras while the newly developed GSC system produced only 29% chimeras, with 70% of the T2 mutants being heterozygous. Analysis of two loci in the T2 population showed that the abundance of heritable gene mutations was 37% higher in the GSC system compared to the UC system and the level of polymorphism of the mutations was also dramatically increased with the GSC system. Two additional systems based on germ-line-specific promoters (pDD45-GT and pLAT52-GT) were also tested, and one of them was capable of generating heritable homozygous T1 mutant plants. Our results suggest that future application of the described GSC system will facilitate the screening for targeted gene modifications, especially lethal mutations in the T2 population. PMID:26360626

  11. Development of germ-line-specific CRISPR-Cas9 systems to improve the production of heritable gene modifications in Arabidopsis.

    PubMed

    Mao, Yanfei; Zhang, Zhengjing; Feng, Zhengyan; Wei, Pengliang; Zhang, Hui; Botella, José Ramón; Zhu, Jian-Kang

    2016-02-01

    The Streptococcus-derived CRISPR/Cas9 system is being widely used to perform targeted gene modifications in plants. This customized endonuclease system has two components, the single-guide RNA (sgRNA) for target DNA recognition and the CRISPR-associated protein 9 (Cas9) for DNA cleavage. Ubiquitously expressed CRISPR/Cas9 systems (UC) generate targeted gene modifications with high efficiency but only those produced in reproductive cells are transmitted to the next generation. We report the design and characterization of a germ-line-specific Cas9 system (GSC) for Arabidopsis gene modification in male gametocytes, constructed using a SPOROCYTELESS (SPL) genomic expression cassette. Four loci in two endogenous genes were targeted by both systems for comparative analysis. Mutations generated by the GSC system were rare in T1 plants but were abundant (30%) in the T2 generation. The vast majority (70%) of the T2 mutant population generated using the UC system were chimeras while the newly developed GSC system produced only 29% chimeras, with 70% of the T2 mutants being heterozygous. Analysis of two loci in the T2 population showed that the abundance of heritable gene mutations was 37% higher in the GSC system compared to the UC system and the level of polymorphism of the mutations was also dramatically increased with the GSC system. Two additional systems based on germ-line-specific promoters (pDD45-GT and pLAT52-GT) were also tested, and one of them was capable of generating heritable homozygous T1 mutant plants. Our results suggest that future application of the described GSC system will facilitate the screening for targeted gene modifications, especially lethal mutations in the T2 population. © 2015 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  12. Second Line of Defense Virtual Private Network Guidance for Deployed and New CAS Systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Surya V.; Thronas, Aaron I.

    2010-01-01

    This paper discusses the importance of remote access via virtual private network (VPN) for the Second Line of Defense (SLD) Central Alarm System (CAS) sites, the requirements for maintaining secure channels while using VPN and implementation requirements for current and future sites.

  13. Advancing chimeric antigen receptor T cell therapy with CRISPR/Cas9.

    PubMed

    Ren, Jiangtao; Zhao, Yangbing

    2017-09-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (CRISPR/Cas9) system, an RNA-guided DNA targeting technology, is triggering a revolution in the field of biology. CRISPR/Cas9 has demonstrated great potential for genetic manipulation. In this review, we discuss the current development of CRISPR/Cas9 technologies for therapeutic applications, especially chimeric antigen receptor (CAR) T cell-based adoptive immunotherapy. Different methods used to facilitate efficient CRISPR delivery and gene editing in T cells are compared. The potential of genetic manipulation using CRISPR/Cas9 system to generate universal CAR T cells and potent T cells that are resistant to exhaustion and inhibition is explored. We also address the safety concerns associated with the use of CRISPR/Cas9 gene editing and provide potential solutions and future directions of CRISPR application in the field of CAR T cell immunotherapy. As an integration-free gene insertion method, CRISPR/Cas9 holds great promise as an efficient gene knock-in platform. Given the tremendous progress that has been made in the past few years, we believe that the CRISPR/Cas9 technology holds immense promise for advancing immunotherapy.

  14. CRISPR/Cas9 for genome editing: progress, implications and challenges.

    PubMed

    Zhang, Feng; Wen, Yan; Guo, Xiong

    2014-09-15

    Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated (Cas) protein 9 system provides a robust and multiplexable genome editing tool, enabling researchers to precisely manipulate specific genomic elements, and facilitating the elucidation of target gene function in biology and diseases. CRISPR/Cas9 comprises of a nonspecific Cas9 nuclease and a set of programmable sequence-specific CRISPR RNA (crRNA), which can guide Cas9 to cleave DNA and generate double-strand breaks at target sites. Subsequent cellular DNA repair process leads to desired insertions, deletions or substitutions at target sites. The specificity of CRISPR/Cas9-mediated DNA cleavage requires target sequences matching crRNA and a protospacer adjacent motif locating at downstream of target sequences. Here, we review the molecular mechanism, applications and challenges of CRISPR/Cas9-mediated genome editing and clinical therapeutic potential of CRISPR/Cas9 in future. © The Author 2014. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  15. Evolution of the CRISPR-Cas adaptive immunity systems in prokaryotes: models and observations on virus-host coevolution.

    PubMed

    Koonin, Eugene V; Wolf, Yuri I

    2015-01-01

    CRISPR-Cas is an adaptive immunity system in prokaryotes that functions via a unique mechanism which involves incorporation of foreign DNA fragments into CRISPR arrays and subsequent utilization of transcripts of these inserts (known as spacers) as guide RNAs to cleave the cognate selfish element genome. Multiple attempts have been undertaken to explore the coevolution of viruses and microbial hosts carrying CRISPR-Cas using mathematical models that employ either systems of differential equations or an agent-based approach, or combinations thereof. Analysis of these models reveals highly complex co-evolutionary dynamics that ensues from the combination of the heritability of the CRISPR-mediated adaptive immunity with the existence of different degrees of immunity depending on the number of cognate spacers and the cost of carrying a CRISPR-Cas locus. Depending on the details of the models, a variety of testable, sometimes conflicting predictions have been made on the dependence of the degree of immunity and the benefit of maintaining CRISPR-Cas on the abundance and diversity of hosts and viruses. Some of these predictions have already been directly validated experimentally. In particular, both the reality of the virus-host arms race, with viruses escaping resistance and hosts reacquiring it through the capture of new spacers, and the fitness cost of CRISPR-Cas due to the curtailment of beneficial HGT have been reproduced in the laboratory. However, to test the predictions of the models more specifically, detailed studies of coevolving populations of microbes and viruses both in nature and in the laboratory are essential. Such analyses are expected to yield disagreements with the predictions of the current, oversimplified models and to trigger a new round of theoretical developments.

  16. The AAV-mediated and RNA-guided CRISPR/Cas9 system for gene therapy of DMD and BMD.

    PubMed

    Wang, Jing-Zhang; Wu, Peng; Shi, Zhi-Min; Xu, Yan-Li; Liu, Zhi-Jun

    2017-08-01

    Mutations in the dystrophin gene (Dmd) result in Duchenne muscular dystrophy (DMD) and Becker muscular dystrophy (BMD), which afflict many newborn boys. In 2016, Brain and Development published several interesting articles on DMD treatment with antisense oligonucleotide, kinase inhibitor, and prednisolone. Even more strikingly, three articles in the issue 6271 of Science in 2016 provide new insights into gene therapy of DMD and BMD via the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9). In brief, adeno-associated virus (AAV) vectors transport guided RNAs (gRNAs) and Cas9 into mdx mouse model, gRNAs recognize the mutated Dmd exon 23 (having a stop codon), and Cas9 cut the mutated exon 23 off the Dmd gene. These manipulations restored expression of truncated but partially functional dystrophin, improved skeletal and cardiac muscle function, and increased survival of mdx mice significantly. This review concisely summarized the related advancements and discussed their primary implications in the future gene therapy of DMD, including AAV-vector selection, gRNA designing, Cas9 optimization, dystrophin-restoration efficiency, administration routes, and systemic and long-term therapeutic efficacy. Future orientations, including off-target effects, safety concerns, immune responses, precision medicine, and Dmd-editing in the brain (potentially blocked by the blood-brain barrier) were also elucidated briefly. Collectively, the AAV-mediated and RNA-guided CRISPR/Cas9 system has major superiorities compared with traditional gene therapy, and might contribute to the treatment of DMD and BMD substantially in the near future. Copyright © 2017 The Japanese Society of Child Neurology. Published by Elsevier B.V. All rights reserved.

  17. Generation of Newly Discovered Resistance Gene mcr-1 Knockout in Escherichia coli Using the CRISPR/Cas9 System.

    PubMed

    Sun, Lichang; He, Tao; Zhang, Lili; Pang, Maoda; Zhang, Qiaoyan; Zhou, Yan; Bao, Hongduo; Wang, Ran

    2017-07-28

    The mcr-1 gene is a new "superbug" gene discoverd in China in 2016 that makes bacteria highly resistant to the last-resort class of antibiotics. The mcr-1 gene raised serious concern about its possible global dissemination and spread. Here, we report a potential anti-resistant strategy using the CRISPR/Cas9-mediated approach that can efficiently induce mcr-1 gene knockout in Escherichia coli . Our findings suggested that using the CRISPR/Cas9 system to knock out the resistance gene mcr-1 might be a potential anti-resistant strategy. Bovine myeloid antimicrobial peptide-27 could help deliver plasmid pCas::mcr targeting specific DNA sequences of the mcr-1 gene into microbial populations.

  18. Features of CRISPR-Cas Regulation Key to Highly Efficient and Temporally-Specific crRNA Production.

    PubMed

    Rodic, Andjela; Blagojevic, Bojana; Djordjevic, Magdalena; Severinov, Konstantin; Djordjevic, Marko

    2017-01-01

    Bacterial immune systems, such as CRISPR-Cas or restriction-modification (R-M) systems, affect bacterial pathogenicity and antibiotic resistance by modulating horizontal gene flow. A model system for CRISPR-Cas regulation, the Type I-E system from Escherichia coli , is silent under standard laboratory conditions and experimentally observing the dynamics of CRISPR-Cas activation is challenging. Two characteristic features of CRISPR-Cas regulation in E. coli are cooperative transcription repression of cas gene and CRISPR array promoters, and fast non-specific degradation of full length CRISPR transcripts (pre-crRNA). In this work, we use computational modeling to understand how these features affect the system expression dynamics. Signaling which leads to CRISPR-Cas activation is currently unknown, so to bypass this step, we here propose a conceptual setup for cas expression activation, where cas genes are put under transcription control typical for a restriction-modification (R-M) system and then introduced into a cell. Known transcription regulation of an R-M system is used as a proxy for currently unknown CRISPR-Cas transcription control, as both systems are characterized by high cooperativity, which is likely related to similar dynamical constraints of their function. We find that the two characteristic CRISPR-Cas control features are responsible for its temporally-specific dynamical response, so that the system makes a steep (switch-like) transition from OFF to ON state with a time-delay controlled by pre-crRNA degradation rate. We furthermore find that cooperative transcription regulation qualitatively leads to a cross-over to a regime where, at higher pre-crRNA processing rates, crRNA generation approaches the limit of an infinitely abrupt system induction. We propose that these dynamical properties are associated with rapid expression of CRISPR-Cas components and efficient protection of bacterial cells against foreign DNA. In terms of synthetic applications

  19. CATO--A Guided User Interface for Different CAS

    ERIC Educational Resources Information Center

    Janetzko, Hans-Dieter

    2017-01-01

    CATO is a new user interface, written in Java and developed by the author as a response to the significant difficulties faced by students who only sporadically use computer algebra systems (CAS). The usage of CAS in mathematical lectures should be an integral part of mathematical instruction. However, difficulties arise for those students who have…

  20. CALM: Complex Adaptive System (CAS)-Based Decision Support for Enabling Organizational Change

    NASA Astrophysics Data System (ADS)

    Adler, Richard M.; Koehn, David J.

    Guiding organizations through transformational changes such as restructuring or adopting new technologies is a daunting task. Such changes generate workforce uncertainty, fear, and resistance, reducing morale, focus and performance. Conventional project management techniques fail to mitigate these disruptive effects, because social and individual changes are non-mechanistic, organic phenomena. CALM (for Change, Adaptation, Learning Model) is an innovative decision support system for enabling change based on CAS principles. CALM provides a low risk method for validating and refining change strategies that combines scenario planning techniques with "what-if" behavioral simulation. In essence, CALM "test drives" change strategies before rolling them out, allowing organizations to practice and learn from virtual rather than actual mistakes. This paper describes the CALM modeling methodology, including our metrics for measuring organizational readiness to respond to change and other major CALM scenario elements: prospective change strategies; alternate futures; and key situational dynamics. We then describe CALM's simulation engine for projecting scenario outcomes and its associated analytics. CALM's simulator unifies diverse behavioral simulation paradigms including: adaptive agents; system dynamics; Monte Carlo; event- and process-based techniques. CALM's embodiment of CAS dynamics helps organizations reduce risk and improve confidence and consistency in critical strategies for enabling transformations.

  1. Versatile High-Throughput Fluorescence Assay for Monitoring Cas9 Activity.

    PubMed

    Seamon, Kyle J; Light, Yooli K; Saada, Edwin A; Schoeniger, Joseph S; Harmon, Brooke

    2018-06-05

    The RNA-guided DNA nuclease Cas9 is now widely used for the targeted modification of genomes of human cells and various organisms. Despite the extensive use of Clustered Regularly Interspaced Palindromic Repeats (CRISPR) systems for genome engineering and the rapid discovery and engineering of new CRISPR-associated nucleases, there are no high-throughput assays for measuring enzymatic activity. The current laboratory and future therapeutic uses of CRISPR technology have a significant risk of accidental exposure or clinical off-target effects, underscoring the need for therapeutically effective inhibitors of Cas9. Here, we develop a fluorescence assay for monitoring Cas9 nuclease activity and demonstrate its utility with S. pyogenes (Spy), S. aureus (Sau), and C. jejuni (Cje) Cas9. The assay was validated by quantitatively profiling the species specificity of published anti-CRISPR (Acr) proteins, confirming the reported inhibition of Spy Cas9 by AcrIIA4 and Cje Cas9 by AcrIIC1 and no inhibition of Sau Cas9 by either anti-CRISPR. To identify drug-like inhibitors, we performed a screen of 189 606 small molecules for inhibition of Spy Cas9. Of 437 hits (0.2% hit rate), six were confirmed as Cas9 inhibitors in a direct gel electrophoresis secondary assay. The high-throughput nature of this assay makes it broadly applicable for the discovery of additional Cas9 inhibitors or the characterization of Cas9 enzyme variants.

  2. Versatile High-Throughput Fluorescence Assay for Monitoring Cas9 Activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seamon, Kyle Jeffrey; Light, Yooli Kim; Saada, Edwin A.

    Here, the RNA-guided DNA nuclease Cas9 is now widely used for the targeted modification of genomes of human cells and various organisms. Despite the extensive use of Clustered Regularly Interspaced Palindromic Repeats (CRISPR) systems for genome engineering and the rapid discovery and engineering of new CRISPR-associated nucleases, there are no high-throughput assays for measuring enzymatic activity. The current laboratory and future therapeutic uses of CRISPR technology have a significant risk of accidental exposure or clinical off-target effects, underscoring the need for therapeutically effective inhibitors of Cas9. Here, we develop a fluorescence assay for monitoring Cas9 nuclease activity and demonstrate itsmore » utility with S. pyogenes (Spy), S. aureus (Sau), and C. jejuni (Cje) Cas9. The assay was validated by quantitatively profiling the species specificity of published anti-CRISPR (Acr) proteins, confirming the reported inhibition of Spy Cas9 by AcrIIA4 and Cje Cas9 by AcrIIC1 and no inhibition of Sau Cas9 by either anti-CRISPR. To identify drug-like inhibitors, we performed a screen of 189 606 small molecules for inhibition of Spy Cas9. Of 437 hits (0.2% hit rate), six were confirmed as Cas9 inhibitors in a direct gel electrophoresis secondary assay. The high-throughput nature of this assay makes it broadly applicable for the discovery of additional Cas9 inhibitors or the characterization of Cas9 enzyme variants.« less

  3. Versatile High-Throughput Fluorescence Assay for Monitoring Cas9 Activity

    DOE PAGES

    Seamon, Kyle Jeffrey; Light, Yooli Kim; Saada, Edwin A.; ...

    2018-05-14

    Here, the RNA-guided DNA nuclease Cas9 is now widely used for the targeted modification of genomes of human cells and various organisms. Despite the extensive use of Clustered Regularly Interspaced Palindromic Repeats (CRISPR) systems for genome engineering and the rapid discovery and engineering of new CRISPR-associated nucleases, there are no high-throughput assays for measuring enzymatic activity. The current laboratory and future therapeutic uses of CRISPR technology have a significant risk of accidental exposure or clinical off-target effects, underscoring the need for therapeutically effective inhibitors of Cas9. Here, we develop a fluorescence assay for monitoring Cas9 nuclease activity and demonstrate itsmore » utility with S. pyogenes (Spy), S. aureus (Sau), and C. jejuni (Cje) Cas9. The assay was validated by quantitatively profiling the species specificity of published anti-CRISPR (Acr) proteins, confirming the reported inhibition of Spy Cas9 by AcrIIA4 and Cje Cas9 by AcrIIC1 and no inhibition of Sau Cas9 by either anti-CRISPR. To identify drug-like inhibitors, we performed a screen of 189 606 small molecules for inhibition of Spy Cas9. Of 437 hits (0.2% hit rate), six were confirmed as Cas9 inhibitors in a direct gel electrophoresis secondary assay. The high-throughput nature of this assay makes it broadly applicable for the discovery of additional Cas9 inhibitors or the characterization of Cas9 enzyme variants.« less

  4. Hit and go CAS9 delivered through a lentiviral based self-limiting circuit.

    PubMed

    Petris, Gianluca; Casini, Antonio; Montagna, Claudia; Lorenzin, Francesca; Prandi, Davide; Romanel, Alessandro; Zasso, Jacopo; Conti, Luciano; Demichelis, Francesca; Cereseto, Anna

    2017-05-22

    In vivo application of the CRISPR-Cas9 technology is still limited by unwanted Cas9 genomic cleavages. Long-term expression of Cas9 increases the number of genomic loci non-specifically cleaved by the nuclease. Here we develop a Self-Limiting Cas9 circuit for Enhanced Safety and specificity (SLiCES) which consists of an expression unit for Streptococcus pyogenes Cas9 (SpCas9), a self-targeting sgRNA and a second sgRNA targeting a chosen genomic locus. The self-limiting circuit results in increased genome editing specificity by controlling Cas9 levels. For its in vivo utilization, we next integrate SLiCES into a lentiviral delivery system (lentiSLiCES) via circuit inhibition to achieve viral particle production. Upon delivery into target cells, the lentiSLiCES circuit switches on to edit the intended genomic locus while simultaneously stepping up its own neutralization through SpCas9 inactivation. By preserving target cells from residual nuclease activity, our hit and go system increases safety margins for genome editing.

  5. Repurposing the CRISPR-Cas9 system for targeted DNA methylation.

    PubMed

    Vojta, Aleksandar; Dobrinić, Paula; Tadić, Vanja; Bočkor, Luka; Korać, Petra; Julg, Boris; Klasić, Marija; Zoldoš, Vlatka

    2016-07-08

    Epigenetic studies relied so far on correlations between epigenetic marks and gene expression pattern. Technologies developed for epigenome editing now enable direct study of functional relevance of precise epigenetic modifications and gene regulation. The reversible nature of epigenetic modifications, including DNA methylation, has been already exploited in cancer therapy for remodeling the aberrant epigenetic landscape. However, this was achieved non-selectively using epigenetic inhibitors. Epigenetic editing at specific loci represents a novel approach that might selectively and heritably alter gene expression. Here, we developed a CRISPR-Cas9-based tool for specific DNA methylation consisting of deactivated Cas9 (dCas9) nuclease and catalytic domain of the DNA methyltransferase DNMT3A targeted by co-expression of a guide RNA to any 20 bp DNA sequence followed by the NGG trinucleotide. We demonstrated targeted CpG methylation in a ∼35 bp wide region by the fusion protein. We also showed that multiple guide RNAs could target the dCas9-DNMT3A construct to multiple adjacent sites, which enabled methylation of a larger part of the promoter. DNA methylation activity was specific for the targeted region and heritable across mitotic divisions. Finally, we demonstrated that directed DNA methylation of a wider promoter region of the target loci IL6ST and BACH2 decreased their expression. © The Author(s) 2016. Published by Oxford University Press on behalf of Nucleic Acids Research.

  6. Disabling a Type I-E CRISPR-Cas Nuclease with a Bacteriophage-Encoded Anti-CRISPR Protein.

    PubMed

    Pawluk, April; Shah, Megha; Mejdani, Marios; Calmettes, Charles; Moraes, Trevor F; Davidson, Alan R; Maxwell, Karen L

    2017-12-12

    CRISPR (clustered regularly interspaced short palindromic repeat)-Cas adaptive immune systems are prevalent defense mechanisms in bacteria and archaea. They provide sequence-specific detection and neutralization of foreign nucleic acids such as bacteriophages and plasmids. One mechanism by which phages and other mobile genetic elements are able to overcome the CRISPR-Cas system is through the expression of anti-CRISPR proteins. Over 20 different families of anti-CRISPR proteins have been described, each of which inhibits a particular type of CRISPR-Cas system. In this work, we determined the structure of type I-E anti-CRISPR protein AcrE1 by X-ray crystallography. We show that AcrE1 binds to the CRISPR-associated helicase/nuclease Cas3 and that the C-terminal region of the anti-CRISPR protein is important for its inhibitory activity. We further show that AcrE1 can convert the endogenous type I-E CRISPR system into a programmable transcriptional repressor. IMPORTANCE The CRISPR-Cas immune system provides bacteria with resistance to invasion by potentially harmful viruses, plasmids, and other foreign mobile genetic elements. This study presents the first structural and mechanistic insight into a phage-encoded protein that inactivates the type I-E CRISPR-Cas system in Pseudomonas aeruginosa The interaction of this anti-CRISPR protein with the CRISPR-associated helicase/nuclease proteins Cas3 shuts down the CRISPR-Cas system and protects phages carrying this gene from destruction. This interaction also allows the repurposing of the endogenous type I-E CRISPR system into a programmable transcriptional repressor, providing a new biotechnological tool for genetic studies of bacteria encoding this type I-E CRISPR-Cas system. Copyright © 2017 Pawluk et al.

  7. CRISPR-Cas Targeting of Host Genes as an Antiviral Strategy.

    PubMed

    Chen, Shuliang; Yu, Xiao; Guo, Deyin

    2018-01-16

    Currently, a new gene editing tool-the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) associated (Cas) system-is becoming a promising approach for genetic manipulation at the genomic level. This simple method, originating from the adaptive immune defense system in prokaryotes, has been developed and applied to antiviral research in humans. Based on the characteristics of virus-host interactions and the basic rules of nucleic acid cleavage or gene activation of the CRISPR-Cas system, it can be used to target both the virus genome and host factors to clear viral reservoirs and prohibit virus infection or replication. Here, we summarize recent progress of the CRISPR-Cas technology in editing host genes as an antiviral strategy.

  8. Multiplex CRISPR/Cas9-based genome engineering from a single lentiviral vector

    PubMed Central

    Kabadi, Ami M.; Ousterout, David G.; Hilton, Isaac B.; Gersbach, Charles A.

    2014-01-01

    Engineered DNA-binding proteins that manipulate the human genome and transcriptome have enabled rapid advances in biomedical research. In particular, the RNA-guided CRISPR/Cas9 system has recently been engineered to create site-specific double-strand breaks for genome editing or to direct targeted transcriptional regulation. A unique capability of the CRISPR/Cas9 system is multiplex genome engineering by delivering a single Cas9 enzyme and two or more single guide RNAs (sgRNAs) targeted to distinct genomic sites. This approach can be used to simultaneously create multiple DNA breaks or to target multiple transcriptional activators to a single promoter for synergistic enhancement of gene induction. To address the need for uniform and sustained delivery of multiplex CRISPR/Cas9-based genome engineering tools, we developed a single lentiviral system to express a Cas9 variant, a reporter gene and up to four sgRNAs from independent RNA polymerase III promoters that are incorporated into the vector by a convenient Golden Gate cloning method. Each sgRNA is efficiently expressed and can mediate multiplex gene editing and sustained transcriptional activation in immortalized and primary human cells. This delivery system will be significant to enabling the potential of CRISPR/Cas9-based multiplex genome engineering in diverse cell types. PMID:25122746

  9. Scorpion: Close Air Support (CAS) aircraft

    NASA Technical Reports Server (NTRS)

    Allen, Chris; Cheng, Rendy; Koehler, Grant; Lyon, Sean; Paguio, Cecilia

    1991-01-01

    The objective is to outline the results of the preliminary design of the Scorpion, a proposed close air support aircraft. The results obtained include complete preliminary analysis of the aircraft in the areas of aerodynamics, structures, avionics and electronics, stability and control, weight and balance, propulsion systems, and costs. A conventional wing, twin jet, twin-tail aircraft was chosen to maximize the desirable characteristics. The Scorpion will feature low speed maneuverability, high survivability, low cost, and low maintenance. The life cycle cost per aircraft will be 17.5 million dollars. The maximum takeoff weight will be 52,760 pounds. Wing loading will be 90 psf. The thrust to weight will be 0.6 lbs/lb. This aircraft meets the specified mission requirements. Some modifications have been suggested to further optimize the design.

  10. Coupled RipCAS-DFLOW (CoRD) Software and Data Management System for Reproducible Floodplain Vegetation Succession Modeling

    NASA Astrophysics Data System (ADS)

    Turner, M. A.; Miller, S.; Gregory, A.; Cadol, D. D.; Stone, M. C.; Sheneman, L.

    2016-12-01

    We present the Coupled RipCAS-DFLOW (CoRD) modeling system created to encapsulate the workflow to analyze the effects of stream flooding on vegetation succession. CoRD provides an intuitive command-line and web interface to run DFLOW and RipCAS in succession over many years automatically, which is a challenge because, for our application, DFLOW must be run on a supercomputing cluster via the PBS job scheduler. RipCAS is a vegetation succession model, and DFLOW is a 2D open channel flow model. Data adaptors have been developed to seamlessly connect DFLOW output data to be RipCAS inputs, and vice-versa. CoRD provides automated statistical analysis and visualization, plus automatic syncing of input and output files and model run metadata to the hydrological data management system HydroShare using its excellent Python REST client. This combination of technologies and data management techniques allows the results to be shared with collaborators and eventually published. Perhaps most importantly, it allows results to be easily reproduced via either the command-line or web user interface. This system is a result of collaboration between software developers and hydrologists participating in the Western Consortium for Watershed Analysis, Visualization, and Exploration (WC-WAVE). Because of the computing-intensive nature of this particular workflow, including automating job submission/monitoring and data adaptors, software engineering expertise is required. However, the hydrologists provide the software developers with a purpose and ensure a useful, intuitive tool is developed. Our hydrologists contribute software, too: RipCAS was developed from scratch by hydrologists on the team as a specialized, open-source version of the Computer Aided Simulation Model for Instream Flow and Riparia (CASiMiR) vegetation model; our hydrologists running DFLOW provided numerous examples and help with the supercomputing system. This project is written in Python, a popular language in the

  11. Mutations in Cas9 Enhance the Rate of Acquisition of Viral Spacer Sequences during the CRISPR-Cas Immune Response.

    PubMed

    Heler, Robert; Wright, Addison V; Vucelja, Marija; Bikard, David; Doudna, Jennifer A; Marraffini, Luciano A

    2017-01-05

    CRISPR loci and their associated (Cas) proteins encode a prokaryotic immune system that protects against viruses and plasmids. Upon infection, a low fraction of cells acquire short DNA sequences from the invader. These sequences (spacers) are integrated in between the repeats of the CRISPR locus and immunize the host against the matching invader. Spacers specify the targets of the CRISPR immune response through transcription into short RNA guides that direct Cas nucleases to the invading DNA molecules. Here we performed random mutagenesis of the RNA-guided Cas9 nuclease to look for variants that provide enhanced immunity against viral infection. We identified a mutation, I473F, that increases the rate of spacer acquisition by more than two orders of magnitude. Our results highlight the role of Cas9 during CRISPR immunization and provide a useful tool to study this rare process and develop it as a biotechnological application. Copyright © 2017 Elsevier Inc. All rights reserved.

  12. The Impact on Student Achievement of When CAS Technology Is Introduced

    ERIC Educational Resources Information Center

    Driver, David

    2012-01-01

    When a Computer Algebra System (CAS) is used as a pedagogical and functional tool in class and as a functional tool in exams, its effect on student achievement can be quite profound. The timing of when students are first introduced to a CAS has an impact on gains in student achievement. In this action research project, the CAS calculator was…

  13. Comparison of CRISPR/Cas9 expression constructs for efficient targeted mutagenesis in rice.

    PubMed

    Mikami, Masafumi; Toki, Seiichi; Endo, Masaki

    2015-08-01

    The CRISPR/Cas9 system is an efficient tool used for genome editing in a variety of organisms. Despite several recent reports of successful targeted mutagenesis using the CRISPR/Cas9 system in plants, in each case the target gene of interest, the Cas9 expression system and guide-RNA (gRNA) used, and the tissues used for transformation and subsequent mutagenesis differed, hence the reported frequencies of targeted mutagenesis cannot be compared directly. Here, we evaluated mutation frequency in rice using different Cas9 and/or gRNA expression cassettes under standardized experimental conditions. We introduced Cas9 and gRNA expression cassettes separately or sequentially into rice calli, and assessed the frequency of mutagenesis at the same endogenous targeted sequences. Mutation frequencies differed significantly depending on the Cas9 expression cassette used. In addition, a gRNA driven by the OsU6 promoter was superior to one driven by the OsU3 promoter. Using an all-in-one expression vector harboring the best combined Cas9/gRNA expression cassette resulted in a much improved frequency of targeted mutagenesis in rice calli, and bi-allelic mutant plants were produced in the T0 generation. The approach presented here could be adapted to optimize the construction of Cas9/gRNA cassettes for genome editing in a variety of plants.

  14. Profiling of engineering hotspots identifies an allosteric CRISPR-Cas9 switch.

    PubMed

    Oakes, Benjamin L; Nadler, Dana C; Flamholz, Avi; Fellmann, Christof; Staahl, Brett T; Doudna, Jennifer A; Savage, David F

    2016-06-01

    The clustered, regularly interspaced, short palindromic repeats (CRISPR)-associated protein Cas9 from Streptococcus pyogenes is an RNA-guided DNA endonuclease with widespread utility for genome modification. However, the structural constraints limiting the engineering of Cas9 have not been determined. Here we experimentally profile Cas9 using randomized insertional mutagenesis and delineate hotspots in the structure capable of tolerating insertions of a PDZ domain without disruption of the enzyme's binding and cleavage functions. Orthogonal domains or combinations of domains can be inserted into the identified sites with minimal functional consequence. To illustrate the utility of the identified sites, we construct an allosterically regulated Cas9 by insertion of the estrogen receptor-α ligand-binding domain. This protein showed robust, ligand-dependent activation in prokaryotic and eukaryotic cells, establishing a versatile one-component system for inducible and reversible Cas9 activation. Thus, domain insertion profiling facilitates the rapid generation of new Cas9 functionalities and provides useful data for future engineering of Cas9.

  15. CRISPR/Cas9 mediates efficient conditional mutagenesis in Drosophila.

    PubMed

    Xue, Zhaoyu; Wu, Menghua; Wen, Kejia; Ren, Menda; Long, Li; Zhang, Xuedi; Gao, Guanjun

    2014-09-05

    Existing transgenic RNA interference (RNAi) methods greatly facilitate functional genome studies via controlled silencing of targeted mRNA in Drosophila. Although the RNAi approach is extremely powerful, concerns still linger about its low efficiency. Here, we developed a CRISPR/Cas9-mediated conditional mutagenesis system by combining tissue-specific expression of Cas9 driven by the Gal4/upstream activating site system with various ubiquitously expressed guide RNA transgenes to effectively inactivate gene expression in a temporally and spatially controlled manner. Furthermore, by including multiple guide RNAs in a transgenic vector to target a single gene, we achieved a high degree of gene mutagenesis in specific tissues. The CRISPR/Cas9-mediated conditional mutagenesis system provides a simple and effective tool for gene function analysis, and complements the existing RNAi approach. Copyright © 2014 Xue et al.

  16. Anti-CRISPR proteins: Counterattack of phages on bacterial defense (CRISPR/Cas) system.

    PubMed

    Chaudhary, Kulbhushan; Chattopadhyay, Anirudha; Pratap, Dharmendra

    2018-01-01

    Since the dawn of life there is a never ending strife between bacteria and phages. Both are perpetually changing their strategies to take over each other. CRISPR/Cas is the most widespread defense system used by bacteria against mobile genetic elements (MGEs) such as phages, cojugative palsmids, transoposons, and pathogenicity islands. This system utilizes small guide RNA molecules to protect against phages infection and invasion by MGEs. Phages circumvent to these antiviral barriers by point mutation in PAM (protospacer-adjacent motif) sequence, genome rearrangements and by using anti-CRISPR proteins. © 2017 Wiley Periodicals, Inc.

  17. Repurposing CRISPR/Cas9 for in situ functional assays.

    PubMed

    Malina, Abba; Mills, John R; Cencic, Regina; Yan, Yifei; Fraser, James; Schippers, Laura M; Paquet, Marilène; Dostie, Josée; Pelletier, Jerry

    2013-12-01

    RNAi combined with next-generation sequencing has proven to be a powerful and cost-effective genetic screening platform in mammalian cells. Still, this technology has its limitations and is incompatible with in situ mutagenesis screens on a genome-wide scale. Using p53 as a proof-of-principle target, we readapted the CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR associated 9) genome-editing system to demonstrate the feasibility of this methodology for targeted gene disruption positive selection assays. By using novel "all-in-one" lentiviral and retroviral delivery vectors heterologously expressing both a codon-optimized Cas9 and its synthetic guide RNA (sgRNA), we show robust selection for the CRISPR-modified Trp53 locus following drug treatment. Furthermore, by linking Cas9 expression to GFP fluorescence, we use an "all-in-one" system to track disrupted Trp53 in chemoresistant lymphomas in the Eμ-myc mouse model. Deep sequencing analysis of the tumor-derived endogenous Cas9-modified Trp53 locus revealed a wide spectrum of mutants that were enriched with seemingly limited off-target effects. Taken together, these results establish Cas9 genome editing as a powerful and practical approach for positive in situ genetic screens.

  18. Repurposing CRISPR/Cas9 for in situ functional assays

    PubMed Central

    Malina, Abba; Mills, John R.; Cencic, Regina; Yan, Yifei; Fraser, James; Schippers, Laura M.; Paquet, Marilène; Dostie, Josée; Pelletier, Jerry

    2013-01-01

    RNAi combined with next-generation sequencing has proven to be a powerful and cost-effective genetic screening platform in mammalian cells. Still, this technology has its limitations and is incompatible with in situ mutagenesis screens on a genome-wide scale. Using p53 as a proof-of-principle target, we readapted the CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR associated 9) genome-editing system to demonstrate the feasibility of this methodology for targeted gene disruption positive selection assays. By using novel “all-in-one” lentiviral and retroviral delivery vectors heterologously expressing both a codon-optimized Cas9 and its synthetic guide RNA (sgRNA), we show robust selection for the CRISPR-modified Trp53 locus following drug treatment. Furthermore, by linking Cas9 expression to GFP fluorescence, we use an “all-in-one” system to track disrupted Trp53 in chemoresistant lymphomas in the Eμ-myc mouse model. Deep sequencing analysis of the tumor-derived endogenous Cas9-modified Trp53 locus revealed a wide spectrum of mutants that were enriched with seemingly limited off-target effects. Taken together, these results establish Cas9 genome editing as a powerful and practical approach for positive in situ genetic screens. PMID:24298059

  19. An Efficient Visual Screen for CRISPR/Cas9 Activity in Arabidopsis thaliana.

    PubMed

    Hahn, Florian; Mantegazza, Otho; Greiner, André; Hegemann, Peter; Eisenhut, Marion; Weber, Andreas P M

    2017-01-01

    The CRISPR/Cas9 system enables precision editing of the genome of the model plant Arabidopsis thaliana and likely of any other organism. Tools and methods for further developing and optimizing this widespread and versatile system in Arabidopsis would hence be welcomed. Here, we designed a generic vector system that can be used to clone any sgRNA sequence in a plant T-DNA vector containing an ubiquitously expressed Cas9 gene. With this vector, we explored two alternative marker systems for tracking Cas9-mediated gene-editing in vivo : BIALAPHOS RESISTANCE ( BAR ) and GLABROUS1 ( GL1 ). BAR confers resistance to glufosinate and is widely used as a positive selection marker; GL1 is required for the formation of trichomes. Reversion of a frameshift null BAR allele to a functional one by Cas9-mediated gene editing yielded a higher than expected number of plants that are resistant to glufosinate. Surprisingly, many of those plants did not display reversion of the BAR gene through the germline. We hypothesize that few BAR revertant cells in a highly chimeric plant likely provide system-wide resistance to glufosinate and thus we suggest that BAR is not suitable as marker for tracking Cas9-mediated gene-editing. Targeting the GL1 gene for disruption with Cas9 provided clearly visible phenotypes of partially and completely glabrous plants. 50% of the analyzed T1 plants produced descendants with a chimeric phenotype and we could recover fully homozygous plants in the T3 generation with high efficiency. We propose that targeting of GL1 is suitable for assessing and optimizing Cas9-mediated gene-editing in Arabidopsis .

  20. CRISPR/Cas9-Advancing Orthopoxvirus Genome Editing for Vaccine and Vector Development.

    PubMed

    Okoli, Arinze; Okeke, Malachy I; Tryland, Morten; Moens, Ugo

    2018-01-22

    The clustered regularly interspaced short palindromic repeat (CRISPR)/associated protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its high efficiency, specificity, versatility, flexibility, simplicity and low cost have made the CRISPR/Cas9 system preferable to other guided site-specific nuclease-based systems such as TALENs (Transcription Activator-like Effector Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses. CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing virus infections, eradicating proviral DNA, and inhibiting viral replication in infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of Vaccinia virus paves the way for its application in editing other vaccine/vector-relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve some of the major hindrances to the development of OPXV-based recombinant vaccines and vectors, including sub-optimal immunogenicity; transgene and genome instability; reversion of attenuation; potential of spread of transgenes to wildtype strains and close contacts, which are important biosafety and risk assessment considerations. In this article, we review the published literature on the application of CRISPR/Cas9 in virus genome editing and discuss the potentials of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also discuss the application of CRISPR/Cas9 in combating viruses of clinical relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome them.

  1. Genome Editing with CRISPR-Cas9: Can It Get Any Better?

    PubMed Central

    Haeussler, Maximilian; Concordet, Jean-Paul

    2017-01-01

    The CRISPR-Cas revolution is taking place in virtually all fields of life sciences. Harnessing DNA cleavage with the CRISPR-Cas9 system of Streptococcus pyogenes has proven to be extraordinarily simple and efficient, relying only on the design of a synthetic single guide RNA (sgRNA) and its co-expression with Cas9. Here, we review the progress in the design of sgRNA from the original dual RNA guide for S. pyogenes and Staphylococcus aureus Cas9 (SpCas9 and SaCas9). New assays for genome-wide identification of off-targets have provided important insights into the issue of cleavage specificity in vivo. At the same time, the on-target activity of thousands of guides has been determined. These data have led to numerous online tools that facilitate the selection of guide RNAs in target sequences. It appears that for most basic research applications, cleavage activity can be maximized and off-targets minimized by carefully choosing guide RNAs based on computational predictions. Moreover, recent studies of Cas proteins have further improved the flexibility and precision of the CRISPR-Cas toolkit for genome editing. Inspired by the crystal structure of the complex of sgRNA-SpCas9 bound to target DNA, several variants of SpCas9 have recently been engineered, either with novel protospacer adjacent motifs (PAMs) or with drastically reduced off-targets. Novel Cas9 and Cas9-like proteins called Cpf1 have also been characterized from other bacteria and will benefit from the insights obtained from SpCas9. Genome editing with CRISPR-Cas9 may also progress with better understanding and control of cellular DNA repair pathways activated after Cas9-induced DNA cleavage. PMID:27210042

  2. Genome Editing with CRISPR-Cas9: Can It Get Any Better?

    PubMed

    Haeussler, Maximilian; Concordet, Jean-Paul

    2016-05-20

    The CRISPR-Cas revolution is taking place in virtually all fields of life sciences. Harnessing DNA cleavage with the CRISPR-Cas9 system of Streptococcus pyogenes has proven to be extraordinarily simple and efficient, relying only on the design of a synthetic single guide RNA (sgRNA) and its co-expression with Cas9. Here, we review the progress in the design of sgRNA from the original dual RNA guide for S. pyogenes and Staphylococcus aureus Cas9 (SpCas9 and SaCas9). New assays for genome-wide identification of off-targets have provided important insights into the issue of cleavage specificity in vivo. At the same time, the on-target activity of thousands of guides has been determined. These data have led to numerous online tools that facilitate the selection of guide RNAs in target sequences. It appears that for most basic research applications, cleavage activity can be maximized and off-targets minimized by carefully choosing guide RNAs based on computational predictions. Moreover, recent studies of Cas proteins have further improved the flexibility and precision of the CRISPR-Cas toolkit for genome editing. Inspired by the crystal structure of the complex of sgRNA-SpCas9 bound to target DNA, several variants of SpCas9 have recently been engineered, either with novel protospacer adjacent motifs (PAMs) or with drastically reduced off-targets. Novel Cas9 and Cas9-like proteins called Cpf1 have also been characterized from other bacteria and will benefit from the insights obtained from SpCas9. Genome editing with CRISPR-Cas9 may also progress with better understanding and control of cellular DNA repair pathways activated after Cas9-induced DNA cleavage. Copyright © 2016 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  3. Inhibition of hepatitis B virus replication via HBV DNA cleavage by Cas9 from Staphylococcus aureus.

    PubMed

    Liu, Yu; Zhao, Miaoxian; Gong, Mingxing; Xu, Ying; Xie, Cantao; Deng, Haohui; Li, Xueying; Wu, Hongkai; Wang, Zhanhui

    2018-04-01

    Chronic hepatitis B virus (HBV) infection is difficult to cure due to the presence of covalently closed circular DNA (cccDNA). Accumulating evidence indicates that the CRISPR/Cas9 system effectively disrupts HBV genome, including cccDNA, in vitro and in vivo. However, efficient delivery of CRISPR/Cas9 system to the liver or hepatocytes using an adeno-associated virus (AAV) vector remains challenging due to the large size of Cas9 from Streptococcus pyogenes (Sp). The recently identified Cas9 protein from Staphylococcus aureus (Sa) is smaller than SpCas9 and thus is able to be packaged into the AAV vector. To examine the efficacy of SaCas9 system on HBV genome destruction, we designed 5 guide RNAs (gRNAs) that targeted different HBV genotypes, 3 of which were shown to be effective. The SaCas9 system significantly reduced HBV antigen expression, as well as pgRNA and cccDNA levels, in Huh7, HepG2.2.15 and HepAD38 cells. The dual expression of gRNAs/SaCas9 in these cell lines resulted in more efficient HBV genome cleavage. In the mouse model, hydrodynamic injection of gRNA/SaCas9 plasmids resulted in significantly lower levels of HBV protein expression. We also delivered the SaCas9 system into mice with persistent HBV replication using an AAV vector. Both the AAV vector and the mRNA of Cas9 could be detected in the C3H mouse liver cells. Decreased hepatitis B surface antigen (HBsAg), HBV DNA and pgRNA levels were observed when a higher titer of AAV was injected, although this decrease was not significantly different from the control. In summary, the SaCas9 system accurately and efficiently targeted the HBV genome and inhibited HBV replication both in vitro and in vivo. The system was delivered by an AAV vector and maybe used as a novel therapeutic strategy against chronic HBV infection. Copyright © 2018 Elsevier B.V. All rights reserved.

  4. CRISPR-Cas adaptation: insights into the mechanism of action.

    PubMed

    Amitai, Gil; Sorek, Rotem

    2016-02-01

    Since the first demonstration that CRISPR-Cas systems provide bacteria and archaea with adaptive immunity against phages and plasmids, numerous studies have yielded key insights into the molecular mechanisms governing how these systems attack and degrade foreign DNA. However, the molecular mechanisms underlying the adaptation stage, in which new immunological memory is formed, have until recently represented a major unresolved question. In this Progress article, we discuss recent discoveries that have shown both how foreign DNA is identified by the CRISPR-Cas adaptation machinery and the molecular basis for its integration into the chromosome to form an immunological memory. Furthermore, we describe the roles of each of the specific CRISPR-Cas components that are involved in memory formation, and consider current models for their evolutionary origin.

  5. Gene editing of the extra domain A positive fibronectin in various tumors, amplified the effects of CRISPR/Cas system on the inhibition of tumor progression.

    PubMed

    Lv, Wan-Qi; Wang, Hai-Cheng; Peng, Jing; Wang, Yi-Xiang; Jiang, Jiu-Hui; Li, Cui-Ying

    2017-12-01

    The low efficiency of clustered, regularly interspaced, palindromic repeats-associated Cas (CRISPR/Cas) system editing genes in vivo limits the application. A components of the extracellular matrix (ECM), the extra domain A positive fibronectin (EDA+FN), may be a target for CRISPR/Cas system for the pro-oncogenic effects. The exclusion of EDA exon would alter the microenvironment and inhibit tumor progression, even the frequency of gene editing is still limited. The pro-oncogenic effects were confirmed by the exclusion of EDA exon from the fibronectin gene, as illustrated by the down-regulated proliferation, migration and invasion of CNE-2Z or SW480 cells (P<0.05). Furthermore, although the efficacy of EDA exon knockout through CRISPR/Cas system was shown to be low in vivo , the EDA+FN protein levels decrease obviously, inhibiting the tumor growth rate significantly (P<0.05), which was accompanied by a decrease in Ki-67 expression and microvessel numbers, and increased E-cadherin or decreased Vimentin expression (P<0.05). Human nasopharyngeal carcinoma cell line CNE-2Z, and the colorectal carcinoma cell line SW480 were transfected with CRISPR/Cas9 plasmids targeting EDA exon. The effects of the exclusion of EDA on the cell proliferation, motility and epithelial-mesenchymal transition (EMT) were investigated, and the western blot and real-time PCR were performed to analyze the underlying mechanisms. Furthermore, CRISPR/Cas9 plasmids were injected into xenograft tumors to knockout EDA exon in vivo , and tumor growth, cell proliferation, EMT rate, or vascularization were investigated using western blot, PCR and immunohistochemistry. CRISPR/Cas system targeting ECM components was shown to be an effective method for the inhibition of tumor progression, as these paracrine or autocrine molecules are necessary for various tumor cells. This may represent a novel strategy for overcoming the drug evasion or resistance, in addition, circumventing the low efficiency of CRISPR/Cas

  6. Analysis of microsatellite instability in CRISPR/Cas9 editing mice.

    PubMed

    Huo, Xueyun; Du, Yating; Lu, Jing; Guo, Meng; Li, Zhenkun; Zhang, Shuangyue; Li, Xiaohong; Chen, Zhenwen; Du, Xiaoyan

    2017-03-01

    Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR- associated (Cas) protein 9 system is a novel and powerful tool which is widely used for genome editing. CRISPR/Cas9 is RNA-guided and can lead to desired genomic modifications. However, whether the CRISPR/Cas9-mediated genome editing causes genomic alterations and genomic instability, such as microsatellite instability (MSI), is still unknown. Here we detected MSI in 21 CRISPR/Cas9 mouse strains using a panel of 42 microsatellite loci which were selected from our previous studies. Surprisingly, MSI occurrence was common in CRISPR/Cas9 modified genome, and most of the strains (19/21, 90.5%) examined showed MSI. Of 42 loci examined, 8 loci (8/42, 19.05%) exhibited MSI in the Cas9 editing mice. The Ttll9 (4/42, 9.5%) were the most unstable strains, and D10Mit3 and D10Mit198 (9/21, 42.9%) were considered to be the most "hot" loci in the Cas9 strains we tested. Through analyzing the mutation of microsatellite loci, we provide new insights into the genomic alterations of CRISPR/Cas9 models and it will help us for a better understanding of this powerful technology. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Cornerstones of CRISPR-Cas in drug development and therapy

    PubMed Central

    Fellmann, Christof; Gowen, Benjamin G.; Lin, Pei-Chun; Doudna, Jennifer A.; Corn, Jacob E.

    2017-01-01

    The recent development of CRISPR-Cas systems as easily accessible and programmable tools for genome editing and regulation is spurring a revolution in biology. Paired with the rapid expansion of personalized and reference genomic sequence information, technologies based on CRISPR-Cas are enabling nearly unlimited genetic manipulation even in previously difficult contexts, including human cells. Although much attention has focused on the potential of CRISPR-Cas to cure Mendelian diseases, the technology also holds promise to transform the development of therapies to treat complex heritable and somatic disorders. Here we discuss how CRISPR-Cas can impact the next generation of drugs through accelerating the identification and validation of high-value targets, uncovering high confidence biomarkers and developing differentiated breakthrough therapies. We focus on the promises, pitfalls and hurdles of this revolutionary gene editing technology, and also discuss key aspects of different CRISPR-Cas screening platforms and offer our perspectives on the best practices in genome engineering. PMID:28008168

  8. Efficient CRISPR/Cas9-based genome editing in carrot cells.

    PubMed

    Klimek-Chodacka, Magdalena; Oleszkiewicz, Tomasz; Lowder, Levi G; Qi, Yiping; Baranski, Rafal

    2018-04-01

    The first report presenting successful and efficient carrot genome editing using CRISPR/Cas9 system. Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/CRISPR-associated (Cas9) is a powerful genome editing tool that has been widely adopted in model organisms recently, but has not been used in carrot-a model species for in vitro culture studies and an important health-promoting crop grown worldwide. In this study, for the first time, we report application of the CRISPR/Cas9 system for efficient targeted mutagenesis of the carrot genome. Multiplexing CRISPR/Cas9 vectors expressing two single-guide RNA (gRNAs) targeting the carrot flavanone-3-hydroxylase (F3H) gene were tested for blockage of the anthocyanin biosynthesis in a model purple-colored callus using Agrobacterium-mediated genetic transformation. This approach allowed fast and visual comparison of three codon-optimized Cas9 genes and revealed that the most efficient one in generating F3H mutants was the Arabidopsis codon-optimized AteCas9 gene with up to 90% efficiency. Knockout of F3H gene resulted in the discoloration of calli, validating the functional role of this gene in the anthocyanin biosynthesis in carrot as well as providing a visual marker for screening successfully edited events. Most resulting mutations were small Indels, but long chromosome fragment deletions of 116-119 nt were also generated with simultaneous cleavage mediated by two gRNAs. The results demonstrate successful site-directed mutagenesis in carrot with CRISPR/Cas9 and the usefulness of a model callus culture to validate genome editing systems. Given that the carrot genome has been sequenced recently, our timely study sheds light on the promising application of genome editing tools for boosting basic and translational research in this important vegetable crop.

  9. Do CAS measurements correlate with EOS 3D alignment measurements in primary TKA?

    PubMed

    Meijer, Marrigje F; Boerboom, Alexander L; Bulstra, Sjoerd K; Reininga, Inge H F; Stevens, Martin

    2017-09-01

    Objective of this study was to compare intraoperative computer-assisted surgery (CAS) alignment measurements during total knee arthroplasty (TKA) with pre- and postoperative coronal alignment measurements using EOS 3D reconstructions. In a prospective study, 56 TKAs using imageless CAS were performed and coronal alignment measurements were recorded twice: before bone cuts were made and after implantation of the prosthesis. Pre- and postoperative coronal alignment measurements were performed using EOS 3D reconstructions. Thanks to the EOS radiostereography system, measurement errors due to malpositioning and deformity during acquisition are eliminated. CAS measurements were compared with EOS 3D reconstructions. Varus/valgus angle (VV), mechanical lateral distal femoral angle (mLDFA) and mechanical medial proximal tibial angle (mMPTA) were measured. Significantly different VV angles were measured pre- and postoperatively with CAS compared to EOS. For preoperative measurements, mLDFA did not differ significantly, but a significantly larger mMPTA in valgus was measured with CAS. Results of this study indicate that differences in alignment measurements between CAS measurements and pre- and postoperative EOS 3D are due mainly to the difference between weight-bearing and non-weight-bearing position and potential errors in validity and reliability of the CAS system. EOS 3D measurements overestimate VV angle in lower limbs with substantial mechanical axis deviation. For lower limbs with minor mechanical axis deviation as well as for mMPTA measurements, CAS measures more valgus than EOS. Eventually the results of this study are of clinical relevance, since it raises concerns regarding the validity and reliability of CAS systems in TKA. IIb.

  10. CRISPR-Cas9: a promising genetic engineering approach in cancer research.

    PubMed

    Ratan, Zubair Ahmed; Son, Young-Jin; Haidere, Mohammad Faisal; Uddin, Bhuiyan Mohammad Mahtab; Yusuf, Md Abdullah; Zaman, Sojib Bin; Kim, Jong-Hoon; Banu, Laila Anjuman; Cho, Jae Youl

    2018-01-01

    Bacteria and archaea possess adaptive immunity against foreign genetic materials through clustered regularly interspaced short palindromic repeat (CRISPR) systems. The discovery of this intriguing bacterial system heralded a revolutionary change in the field of medical science. The CRISPR and CRISPR-associated protein 9 (Cas9) based molecular mechanism has been applied to genome editing. This CRISPR-Cas9 technique is now able to mediate precise genetic corrections or disruptions in in vitro and in vivo environments. The accuracy and versatility of CRISPR-Cas have been capitalized upon in biological and medical research and bring new hope to cancer research. Cancer involves complex alterations and multiple mutations, translocations and chromosomal losses and gains. The ability to identify and correct such mutations is an important goal in cancer treatment. In the context of this complex cancer genomic landscape, there is a need for a simple and flexible genetic tool that can easily identify functional cancer driver genes within a comparatively short time. The CRISPR-Cas system shows promising potential for modeling, repairing and correcting genetic events in different types of cancer. This article reviews the concept of CRISPR-Cas, its application and related advantages in oncology.

  11. Cas9 in Genetically Modified Food Is Unlikely to Cause Food Allergy.

    PubMed

    Nakajima, Osamu; Nishimaki-Mogami, Tomoko; Kondo, Kazunari

    2016-01-01

    Genome editing has undergone rapid development during the last three years. It is anticipated that genetically modified organisms (GMOs) for food purposes will be widely produced using the clustered regularly interspaced short palindromic repeat/Cas9 (CRISPR)/Cas9 system in the near future. However, the Cas9 gene may then enter the genomes of GMOs for food if the breeding process is not strictly managed, which could lead to the Cas9 protein or associated peptides being produced within these organisms. A variety of peptides could theoretically be produced from the Cas9 gene by using open reading frames different from that of Cas9 in the GMOs. In this study, Cas9 and the peptides potentially encoded by Cas9 genes were studied regarding their immunogenicity, in terms of the digestibility of Cas9 and the homology of the peptides to food allergens. First, the digestibility and thermal stability of Cas9 were studied. Digestibility was tested with natural or heat-denatured Cas9 in simulated gastric fluid in vitro. The two types of Cas9 were digested rapidly. Cas9 was also gradually degraded during heat treatment. Second, the peptides potentially encoded by Cas9 genes were examined for their homology to food allergens. Specifically, an 8-mer exact match search and a sliding 80-mer window search were performed using allergen databases. One of the peptides was found to have homology with a food allergen.

  12. Direct CRISPR spacer acquisition from RNA by a natural reverse-transcriptase-Cas1 fusion protein

    PubMed Central

    Sidote, David J.; Markham, Laura M.; Sanchez-Amat, Antonio; Bhaya, Devaki; Lambowitz, Alan M.; Fire, Andrew Z.

    2016-01-01

    CRISPR (Clustered Regularly Interspaced Short Palindromic Repeat) systems mediate adaptive immunity in diverse prokaryotes. CRISPR-associated Cas1 and Cas2 proteins have been shown to enable adaptation to new threats in Type I and II CRISPR systems by the acquisition of short segments of DNA (“spacers”) from invasive elements. In several Type III CRISPR systems, Cas1 is naturally fused to a reverse transcriptase (RT). In the marine bacterium Marinomonas mediterranea (MMB-1), we show that an RT-Cas1 fusion enables the acquisition of RNA spacers in vivo in an RT-dependent manner. In vitro, the MMB-1 RT-Cas1 and Cas2 proteins catalyze ligation of RNA segments into the CRISPR array, followed by reverse transcription. These observations outline a host-mediated mechanism for reverse information flow from RNA to DNA. PMID:26917774

  13. CasCADe: A Novel 4D Visualization System for Virtual Construction Planning.

    PubMed

    Ivson, Paulo; Nascimento, Daniel; Celes, Waldemar; Barbosa, Simone Dj

    2018-01-01

    Building Information Modeling (BIM) provides an integrated 3D environment to manage large-scale engineering projects. The Architecture, Engineering and Construction (AEC) industry explores 4D visualizations over these datasets for virtual construction planning. However, existing solutions lack adequate visual mechanisms to inspect the underlying schedule and make inconsistencies readily apparent. The goal of this paper is to apply best practices of information visualization to improve 4D analysis of construction plans. We first present a review of previous work that identifies common use cases and limitations. We then consulted with AEC professionals to specify the main design requirements for such applications. These guided the development of CasCADe, a novel 4D visualization system where task sequencing and spatio-temporal simultaneity are immediately apparent. This unique framework enables the combination of diverse analytical features to create an information-rich analysis environment. We also describe how engineering collaborators used CasCADe to review the real-world construction plans of an Oil & Gas process plant. The system made evident schedule uncertainties, identified work-space conflicts and helped analyze other constructability issues. The results and contributions of this paper suggest new avenues for future research in information visualization for the AEC industry.

  14. Guide-bound structures of an RNA-targeting A-cleaving CRISPR-Cas13a enzyme

    PubMed Central

    Knott, Gavin J.; East-Seletsky, Alexandra; Cofsky, Joshua C.; Holton, James M.; Charles, Emeric; O’Connell, Mitchell R.; Doudna, Jennifer A.

    2018-01-01

    CRISPR adaptive immune systems protect bacteria from infections by deploying CRISPR RNA (crRNA)-guided enzymes to recognize and cut foreign nucleic acids. Type VI-A CRISPR-Cas systems include the Cas13a enzyme, an RNA-activated ribonuclease (RNase) capable of crRNA processing and single-stranded RNA degradation upon target transcript binding. Here we present the 2.0 Å resolution crystal structure of a crRNA-bound L. bacterium Cas13a (LbaCas13a), representing a recently discovered Cas13a enzyme subtype. This structure and accompanying biochemical experiments define for the first time the Cas13a catalytic residues that are directly responsible for crRNA maturation. In addition, the orientation of the foreign-derived target RNA-specifying sequence in the protein interior explains the conformational gating of Cas13a nuclease activation. These results describe how Cas13a enzymes generate functional crRNAs and how catalytic activity is blocked prior to target RNA recognition, with implications for both bacterial immunity and diagnostic applications. PMID:28892041

  15. CRISPR/Cas9 delivery with one single adenoviral vector devoid of all viral genes.

    PubMed

    Ehrke-Schulz, Eric; Schiwon, Maren; Leitner, Theo; Dávid, Stephan; Bergmann, Thorsten; Liu, Jing; Ehrhardt, Anja

    2017-12-07

    The Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/Cas9 system revolutionized the field of gene editing but viral delivery of the CRISPR/Cas9 system has not been fully explored. Here we adapted clinically relevant high-capacity adenoviral vectors (HCAdV) devoid of all viral genes for the delivery of the CRISPR/Cas9 machinery using a single viral vector. We present a platform enabling fast transfer of the Cas9 gene and gRNA expression units into the HCAdV genome including the option to choose between constitutive or inducible Cas9 expression and gRNA multiplexing. Efficacy and versatility of this pipeline was exemplified by producing different CRISPR/Cas9-HCAdV targeting the human papillomavirus (HPV) 18 oncogene E6, the dystrophin gene causing Duchenne muscular dystrophy (DMD) and the HIV co-receptor C-C chemokine receptor type 5 (CCR5). All CRISPR/Cas9-HCAdV proved to be efficient to deliver the respective CRISPR/Cas9 expression units and to introduce the desired DNA double strand breaks at their intended target sites in immortalized and primary cells.

  16. Guide-bound structures of an RNA-targeting A-cleaving CRISPR–Cas13a enzyme

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Knott, Gavin J.; East-Seletsky, Alexandra; Cofsky, Joshua C.

    CRISPR adaptive immune systems protect bacteria from infections by deploying CRISPR RNA (crRNA)-guided enzymes to recognize and cut foreign nucleic acids. Type VI-A CRISPR–Cas systems include the Cas13a enzyme, an RNA-activated RNase capable of crRNA processing and single-stranded RNA degradation upon target-transcript binding. Here we present the 2.0-Å resolution crystal structure of a crRNA-bound Lachnospiraceae bacterium Cas13a (LbaCas13a), representing a recently discovered Cas13a enzyme subtype. This structure and accompanying biochemical experiments define the Cas13a catalytic residues that are directly responsible for crRNA maturation. In addition, the orientation of the foreign-derived target-RNA-specifying sequence in the protein interior explains the conformational gatingmore » of Cas13a nuclease activation. These results describe how Cas13a enzymes generate functional crRNAs and how catalytic activity is blocked before target-RNA recognition, with implications for both bacterial immunity and diagnostic applications.« less

  17. Guide-bound structures of an RNA-targeting A-cleaving CRISPR–Cas13a enzyme

    DOE PAGES

    Knott, Gavin J.; East-Seletsky, Alexandra; Cofsky, Joshua C.; ...

    2017-09-11

    CRISPR adaptive immune systems protect bacteria from infections by deploying CRISPR RNA (crRNA)-guided enzymes to recognize and cut foreign nucleic acids. Type VI-A CRISPR–Cas systems include the Cas13a enzyme, an RNA-activated RNase capable of crRNA processing and single-stranded RNA degradation upon target-transcript binding. Here we present the 2.0-Å resolution crystal structure of a crRNA-bound Lachnospiraceae bacterium Cas13a (LbaCas13a), representing a recently discovered Cas13a enzyme subtype. This structure and accompanying biochemical experiments define the Cas13a catalytic residues that are directly responsible for crRNA maturation. In addition, the orientation of the foreign-derived target-RNA-specifying sequence in the protein interior explains the conformational gatingmore » of Cas13a nuclease activation. These results describe how Cas13a enzymes generate functional crRNAs and how catalytic activity is blocked before target-RNA recognition, with implications for both bacterial immunity and diagnostic applications.« less

  18. Using CAS to Solve a Mathematics Task: A Deconstruction

    ERIC Educational Resources Information Center

    Berger, Margot

    2010-01-01

    I investigate how and whether a heterogeneous group of first-year university mathematics students in South Africa harness the potential power of a computer algebra system (CAS) when doing a specific mathematics task. In order to do this, I develop a framework for deconstructing a mathematics task requiring the use of CAS, into its primary…

  19. Development of CRISPR/Cas9 mediated virus resistance in agriculturally important crops.

    PubMed

    Khatodia, Surender; Bhatotia, Kirti; Tuteja, Narendra

    2017-05-04

    Clustered regulatory interspaced short palindromic repeats (CRISPR)/CRISPR associated nuclease 9 (Cas9) system of targeted genome editing has already revolutionized the plant science research. This is a RNA guided programmable endonuclease based system composed of 2 components, the Cas9 nuclease and an engineered guide RNA targeting any DNA sequence of the form N20-NGG for novel genome editing applications. The CRISPR/Cas9 technology of targeted genome editing has been recently applied for imparting virus resistance in plants. The robustness, wide adaptability, and easy engineering of this system has proved its potential as an antiviral tool for plants. Novel DNA free genome editing by using the preassembled Cas9/gRNA ribonucleoprotein complex for development of virus resistance in any plant species have been prospected for the future. Also, in this review we have discussed the reports of CRISPR/Cas9 mediated virus resistance strategy against geminiviruses by targeting the viral genome and transgene free strategy against RNA viruses by targeting the host plant factors. In conclusion, CRISPR/Cas9 technology will provide a more durable and broad spectrum viral resistance in agriculturally important crops which will eventually lead to public acceptance and commercialization in the near future.

  20. In vivo and in vitro disease modeling with CRISPR/Cas9.

    PubMed

    Kato, Tomoko; Takada, Shuji

    2017-01-01

    In the past few years, extensive progress has been made in the development of genome-editing technology. Among several genome-editing tools, the clustered regularly interspaced short palindrome repeat-associated Cas9 nuclease (CRISPR/Cas9) system is particularly widely used owing to the ease of sequence-specific nuclease construction and the highly efficient introduction of mutations. The CRISPR/Cas9 system was originally constructed to induce small insertion and deletion mutations, but various methods have been developed to introduce point mutations, deletions, insertions, chromosomal translocations and so on. These methods should be useful for the reconstruction of disease-causing mutations in cultured cell lines and living organisms to elucidate disease pathogenesis and for disease prevention, treatment and drug discovery. This review summarizes the current technical aspects of the CRISPR/Cas9 system for disease modeling in cultured cells and living organisms, mainly mice. © The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  1. Cas9-based tools for targeted genome editing and transcriptional control.

    PubMed

    Xu, Tao; Li, Yongchao; Van Nostrand, Joy D; He, Zhili; Zhou, Jizhong

    2014-03-01

    Development of tools for targeted genome editing and regulation of gene expression has significantly expanded our ability to elucidate the mechanisms of interesting biological phenomena and to engineer desirable biological systems. Recent rapid progress in the study of a clustered, regularly interspaced short palindromic repeat (CRISPR)/CRISPR-associated (Cas) protein system in bacteria has facilitated the development of newly facile and programmable platforms for genome editing and transcriptional control in a sequence-specific manner. The core RNA-guided Cas9 endonuclease in the type II CRISPR system has been harnessed to realize gene mutation and DNA deletion and insertion, as well as transcriptional activation and repression, with multiplex targeting ability, just by customizing 20-nucleotide RNA components. Here we describe the molecular basis of the type II CRISPR/Cas system and summarize applications and factors affecting its utilization in model organisms. We also discuss the advantages and disadvantages of Cas9-based tools in comparison with widely used customizable tools, such as Zinc finger nucleases and transcription activator-like effector nucleases.

  2. Bacterial CRISPR/Cas DNA endonucleases: A revolutionary technology that could dramatically impact viral research and treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kennedy, Edward M.; Cullen, Bryan R., E-mail: bryan.cullen@duke.edu

    CRISPR/Cas systems mediate bacterial adaptive immune responses that evolved to protect bacteria from bacteriophage and other horizontally transmitted genetic elements. Several CRISPR/Cas systems exist but the simplest variant, referred to as Type II, has a single effector DNA endonuclease, called Cas9, which is guided to its viral DNA target by two small RNAs, the crRNA and the tracrRNA. Initial efforts to adapt the CRISPR/Cas system for DNA editing in mammalian cells, which focused on the Cas9 protein from Streptococcus pyogenes (Spy), demonstrated that Spy Cas9 can be directed to DNA targets in mammalian cells by tracrRNA:crRNA fusion transcripts called singlemore » guide RNAs (sgRNA). Upon binding, Cas9 induces DNA cleavage leading to mutagenesis as a result of error prone non-homologous end joining (NHEJ). Recently, the Spy Cas9 system has been adapted for high throughput screening of genes in human cells for their relevance to a particular phenotype and, more generally, for the targeted inactivation of specific genes, in cell lines and in vivo in a number of model organisms. The latter aim seems likely to be greatly enhanced by the recent development of Cas9 proteins from bacterial species such as Neisseria meningitidis and Staphyloccus aureus that are small enough to be expressed using adeno-associated (AAV)-based vectors that can be readily prepared at very high titers. The evolving Cas9-based DNA editing systems therefore appear likely to not only impact virology by allowing researchers to screen for human genes that affect the replication of pathogenic human viruses of all types but also to derive clonal human cell lines that lack individual gene products that either facilitate or restrict viral replication. Moreover, high titer AAV-based vectors offer the possibility of directly targeting DNA viruses that infect discrete sites in the human body, such as herpes simplex virus and hepatitis B virus, with the hope that the entire population of viral DNA

  3. [Using the CAS (computer-assisted surgery) system in arthroscopic cruciate ligament surgery--adaptation and application in clinical practice].

    PubMed

    Bernsmann, K; Rosenthal, A; Sati, M; Ansari, B; Wiese, M

    2001-01-01

    The anterior cruciate ligament (ACL) is of great importance for the knee joint function. In the case of a complete ligament injury there is hardly any chance for complete recovery. The clear advantages of an operative reconstruction by replacing the ACL has been shown in many trails. The accurate placement of the graft's insertions has a significant effect on the mid- and probably long-term outcome of this procedure. Reviewing the literature, there are poor long-term results of ACL replacement in 5 to 52% of all cases, depending on the score system. One of the main reasons for unacceptable results is graft misplacement. This led to the construction of a CAS system for ACL replacement. The system assists this surgical procedure by navigating the exact position of the drilling holes. The Potential deformation quantity of the transplant can be controlled by this system in real time. 40 computer-assisted ACL replacements have been performed under active use of the CAS system. The short-term results are encouraging, no special complications have been seen so far. Prospective long-term follow-up studies are ongoing. ACL reconstruction by manual devices has many sources of error. The CAS system is able to give the surgeon reasonable views that are unachieveable by conventional surgery. He is therefore able to control a source of error and to optimise the results. The feasibility of this device in clinical routine use has been proven.

  4. A newly discovered Bordetella species carries a transcriptionally active CRISPR-Cas with a small Cas9 endonuclease

    USDA-ARS?s Scientific Manuscript database

    The Cas9 endonuclease of the Type II-a clustered regularly interspersed short palindromic repeats (CRISPR), of Streptococcus pyogenes (SpCas9) has been adapted as a widely used tool for genome editing and genome engineering. Herein, we describe a gene encoding a novel Cas9 ortholog (BpsuCas9) and th...

  5. Efficient genomic correction methods in human iPS cells using CRISPR-Cas9 system.

    PubMed

    Li, Hongmei Lisa; Gee, Peter; Ishida, Kentaro; Hotta, Akitsu

    2016-05-15

    Precise gene correction using the CRISPR-Cas9 system in human iPS cells holds great promise for various applications, such as the study of gene functions, disease modeling, and gene therapy. In this review article, we summarize methods for effective editing of genomic sequences of iPS cells based on our experiences correcting dystrophin gene mutations with the CRISPR-Cas9 system. Designing specific sgRNAs as well as having efficient transfection methods and proper detection assays to assess genomic cleavage activities are critical for successful genome editing in iPS cells. In addition, because iPS cells are fragile by nature when dissociated into single cells, a step-by-step confirmation during the cell recovery process is recommended to obtain an adequate number of genome-edited iPS cell clones. We hope that the techniques described here will be useful for researchers from diverse backgrounds who would like to perform genome editing in iPS cells. Copyright © 2015 The Authors. Published by Elsevier Inc. All rights reserved.

  6. CRISPR-Cas Targeting of Host Genes as an Antiviral Strategy

    PubMed Central

    Chen, Shuliang; Yu, Xiao; Guo, Deyin

    2018-01-01

    Currently, a new gene editing tool—the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) associated (Cas) system—is becoming a promising approach for genetic manipulation at the genomic level. This simple method, originating from the adaptive immune defense system in prokaryotes, has been developed and applied to antiviral research in humans. Based on the characteristics of virus-host interactions and the basic rules of nucleic acid cleavage or gene activation of the CRISPR-Cas system, it can be used to target both the virus genome and host factors to clear viral reservoirs and prohibit virus infection or replication. Here, we summarize recent progress of the CRISPR-Cas technology in editing host genes as an antiviral strategy. PMID:29337866

  7. CRISPR-Cas9 nuclear dynamics and target recognition in living cells

    PubMed Central

    Ma, Hanhui; Tu, Li-Chun; Zhang, Shaojie; Grunwald, David

    2016-01-01

    The bacterial CRISPR-Cas9 system has been repurposed for genome engineering, transcription modulation, and chromosome imaging in eukaryotic cells. However, the nuclear dynamics of clustered regularly interspaced short palindromic repeats (CRISPR)–associated protein 9 (Cas9) guide RNAs and target interrogation are not well defined in living cells. Here, we deployed a dual-color CRISPR system to directly measure the stability of both Cas9 and guide RNA. We found that Cas9 is essential for guide RNA stability and that the nuclear Cas9–guide RNA complex levels limit the targeting efficiency. Fluorescence recovery after photobleaching measurements revealed that single mismatches in the guide RNA seed sequence reduce the target residence time from >3 h to as low as <2 min in a nucleotide identity- and position-dependent manner. We further show that the duration of target residence correlates with cleavage activity. These results reveal that CRISPR discriminates between genuine versus mismatched targets for genome editing via radical alterations in residence time. PMID:27551060

  8. Genome editing: the road of CRISPR/Cas9 from bench to clinic

    PubMed Central

    Eid, Ayman; Mahfouz, Magdy M

    2016-01-01

    Molecular scissors engineered for site-specific modification of the genome hold great promise for effective functional analyses of genes, genomes and epigenomes and could improve our understanding of the molecular underpinnings of disease states and facilitate novel therapeutic applications. Several platforms for molecular scissors that enable targeted genome engineering have been developed, including zinc-finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs) and, most recently, clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated-9 (Cas9). The CRISPR/Cas9 system's simplicity, facile engineering and amenability to multiplexing make it the system of choice for many applications. CRISPR/Cas9 has been used to generate disease models to study genetic diseases. Improvements are urgently needed for various aspects of the CRISPR/Cas9 system, including the system's precision, delivery and control over the outcome of the repair process. Here, we discuss the current status of genome engineering and its implications for the future of biological research and gene therapy. PMID:27741224

  9. Genome editing: the road of CRISPR/Cas9 from bench to clinic.

    PubMed

    Eid, Ayman; Mahfouz, Magdy M

    2016-10-14

    Molecular scissors engineered for site-specific modification of the genome hold great promise for effective functional analyses of genes, genomes and epigenomes and could improve our understanding of the molecular underpinnings of disease states and facilitate novel therapeutic applications. Several platforms for molecular scissors that enable targeted genome engineering have been developed, including zinc-finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs) and, most recently, clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated-9 (Cas9). The CRISPR/Cas9 system's simplicity, facile engineering and amenability to multiplexing make it the system of choice for many applications. CRISPR/Cas9 has been used to generate disease models to study genetic diseases. Improvements are urgently needed for various aspects of the CRISPR/Cas9 system, including the system's precision, delivery and control over the outcome of the repair process. Here, we discuss the current status of genome engineering and its implications for the future of biological research and gene therapy.

  10. Determining the Specificity of Cascade Binding, Interference, and Primed Adaptation In Vivo in the Escherichia coli Type I-E CRISPR-Cas System

    PubMed Central

    Cooper, Lauren A.; Stringer, Anne M.

    2018-01-01

    ABSTRACT In clustered regularly interspaced short palindromic repeat (CRISPR)-Cas (CRISPR-associated) immunity systems, short CRISPR RNAs (crRNAs) are bound by Cas proteins, and these complexes target invading nucleic acid molecules for degradation in a process known as interference. In type I CRISPR-Cas systems, the Cas protein complex that binds DNA is known as Cascade. Association of Cascade with target DNA can also lead to acquisition of new immunity elements in a process known as primed adaptation. Here, we assess the specificity determinants for Cascade-DNA interaction, interference, and primed adaptation in vivo, for the type I-E system of Escherichia coli. Remarkably, as few as 5 bp of crRNA-DNA are sufficient for association of Cascade with a DNA target. Consequently, a single crRNA promotes Cascade association with numerous off-target sites, and the endogenous E. coli crRNAs direct Cascade binding to >100 chromosomal sites. In contrast to the low specificity of Cascade-DNA interactions, >18 bp are required for both interference and primed adaptation. Hence, Cascade binding to suboptimal, off-target sites is inert. Our data support a model in which the initial Cascade association with DNA targets requires only limited sequence complementarity at the crRNA 5′ end whereas recruitment and/or activation of the Cas3 nuclease, a prerequisite for interference and primed adaptation, requires extensive base pairing. PMID:29666291

  11. The potential application and challenge of powerful CRISPR/Cas9 system in cardiovascular research.

    PubMed

    Li, Yangxin; Song, Yao-Hua; Liu, Bin; Yu, Xi-Yong

    2017-01-15

    CRISPR/Cas9 is a precision-guided munition found in bacteria to fight against invading viruses. This technology has enormous potential applications, including altering genes in both somatic and germ cells, as well as generating knockout animals. Compared to other gene editing techniques such as zinc finger nucleases and TALENS, CRISPR/Cas9 is much easier to use and highly efficient. Importantly, the multiplex capacity of this technology allows multiple genes to be edited simultaneously. CRISPR/Cas9 also has the potential to prevent and cure human diseases. In this review, we wish to highlight some key points regarding the future prospect of using CRISPR/Cas9 as a powerful tool for cardiovascular research, and as a novel therapeutic strategy to treat cardiovascular diseases. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  12. Methods for decoding Cas9 protospacer adjacent motif (PAM) sequences: A brief overview.

    PubMed

    Karvelis, Tautvydas; Gasiunas, Giedrius; Siksnys, Virginijus

    2017-05-15

    Recently the Cas9, an RNA guided DNA endonuclease, emerged as a powerful tool for targeted genome manipulations. Cas9 protein can be reprogrammed to cleave, bind or nick any DNA target by simply changing crRNA sequence, however a short nucleotide sequence, termed PAM, is required to initiate crRNA hybridization to the DNA target. PAM sequence is recognized by Cas9 protein and must be determined experimentally for each Cas9 variant. Exploration of Cas9 orthologs could offer a diversity of PAM sequences and novel biochemical properties that may be beneficial for genome editing applications. Here we briefly review and compare Cas9 PAM identification assays that can be adopted for other PAM-dependent CRISPR-Cas systems. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Tuning CRISPR-Cas9 Gene Drives in Saccharomyces cerevisiae

    PubMed Central

    Roggenkamp, Emily; Giersch, Rachael M.; Schrock, Madison N.; Turnquist, Emily; Halloran, Megan; Finnigan, Gregory C.

    2018-01-01

    Control of biological populations is an ongoing challenge in many fields, including agriculture, biodiversity, ecological preservation, pest control, and the spread of disease. In some cases, such as insects that harbor human pathogens (e.g., malaria), elimination or reduction of a small number of species would have a dramatic impact across the globe. Given the recent discovery and development of the CRISPR-Cas9 gene editing technology, a unique arrangement of this system, a nuclease-based “gene drive,” allows for the super-Mendelian spread and forced propagation of a genetic element through a population. Recent studies have demonstrated the ability of a gene drive to rapidly spread within and nearly eliminate insect populations in a laboratory setting. While there are still ongoing technical challenges to design of a more optimal gene drive to be used in wild populations, there are still serious ecological and ethical concerns surrounding the nature of this powerful biological agent. Here, we use budding yeast as a safe and fully contained model system to explore mechanisms that might allow for programmed regulation of gene drive activity. We describe four conserved features of all CRISPR-based drives and demonstrate the ability of each drive component—Cas9 protein level, sgRNA identity, Cas9 nucleocytoplasmic shuttling, and novel Cas9-Cas9 tandem fusions—to modulate drive activity within a population. PMID:29348295

  14. Vertical Navigation Control Laws and Logic for the Next Generation Air Transportation System

    NASA Technical Reports Server (NTRS)

    Hueschen, Richard M.; Khong, Thuan H.

    2013-01-01

    A vertical navigation (VNAV) outer-loop control system was developed to capture and track the vertical path segments of energy-efficient trajectories that are being developed for high-density operations in the evolving Next Generation Air Transportation System (NextGen). The VNAV control system has a speed-on-elevator control mode to pitch the aircraft for tracking a calibrated airspeed (CAS) or Mach number profile and a path control mode for tracking the VNAV altitude profile. Mode control logic was developed for engagement of either the speed or path control modes. The control system will level the aircraft to prevent it from flying through a constraint altitude. A stability analysis was performed that showed that the gain and phase margins of the VNAV control system significantly exceeded the design gain and phase margins. The system performance was assessed using a six-deg-of-freedom non-linear transport aircraft simulation and the performance is illustrated with time-history plots of recorded simulation data.

  15. History of CRISPR-Cas from Encounter with a Mysterious Repeated Sequence to Genome Editing Technology.

    PubMed

    Ishino, Yoshizumi; Krupovic, Mart; Forterre, Patrick

    2018-04-01

    Clustered regularly interspaced short palindromic repeat (CRISPR)-Cas systems are well-known acquired immunity systems that are widespread in archaea and bacteria. The RNA-guided nucleases from CRISPR-Cas systems are currently regarded as the most reliable tools for genome editing and engineering. The first hint of their existence came in 1987, when an unusual repetitive DNA sequence, which subsequently was defined as a CRISPR, was discovered in the Escherichia coli genome during an analysis of genes involved in phosphate metabolism. Similar sequence patterns were then reported in a range of other bacteria as well as in halophilic archaea, suggesting an important role for such evolutionarily conserved clusters of repeated sequences. A critical step toward functional characterization of the CRISPR-Cas systems was the recognition of a link between CRISPRs and the associated Cas proteins, which were initially hypothesized to be involved in DNA repair in hyperthermophilic archaea. Comparative genomics, structural biology, and advanced biochemistry could then work hand in hand, not only culminating in the explosion of genome editing tools based on CRISPR-Cas9 and other class II CRISPR-Cas systems but also providing insights into the origin and evolution of this system from mobile genetic elements denoted casposons. To celebrate the 30th anniversary of the discovery of CRISPR, this minireview briefly discusses the fascinating history of CRISPR-Cas systems, from the original observation of an enigmatic sequence in E. coli to genome editing in humans. Copyright © 2018 American Society for Microbiology.

  16. Human Induced Pluripotent Stem Cell NEUROG2 Dual Knockin Reporter Lines Generated by the CRISPR/Cas9 System.

    PubMed

    Li, Shenglan; Xue, Haipeng; Wu, Jianbo; Rao, Mahendra S; Kim, Dong H; Deng, Wenbin; Liu, Ying

    2015-12-15

    Human induced pluripotent stem cell (hiPSC) technologies are powerful tools for modeling development and disease, drug screening, and regenerative medicine. Faithful gene targeting in hiPSCs greatly facilitates these applications. We have developed a fast and precise clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR associated protein 9 (Cas9) technology-based method and obtained fluorescent protein and antibiotic resistance dual knockin reporters in hiPSC lines for neurogenin2 (NEUROG2), an important proneural transcription factor. Gene targeting efficiency was greatly improved in CRISPR/Cas9-mediated homology directed recombination (∼ 33% correctly targeted clones) compared to conventional targeting protocol (∼ 3%) at the same locus. No off-target events were detected. In addition, taking the advantage of the versatile applications of the CRISPR/Cas9 system, we designed transactivation components to transiently induce NEUROG2 expression, which helps identify transcription factor binding sites and trans-regulation regions of human NEUROG2. The strategy of using CRISPR/Cas9 genome editing coupled with fluorescence-activated cell sorting of neural progenitor cells in a knockin lineage hiPSC reporter platform might be broadly applicable in other stem cell derivatives and subpopulations.

  17. Human Induced Pluripotent Stem Cell NEUROG2 Dual Knockin Reporter Lines Generated by the CRISPR/Cas9 System

    PubMed Central

    Li, Shenglan; Xue, Haipeng; Wu, Jianbo; Rao, Mahendra S.; Kim, Dong H.; Deng, Wenbin

    2015-01-01

    Human induced pluripotent stem cell (hiPSC) technologies are powerful tools for modeling development and disease, drug screening, and regenerative medicine. Faithful gene targeting in hiPSCs greatly facilitates these applications. We have developed a fast and precise clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR associated protein 9 (Cas9) technology-based method and obtained fluorescent protein and antibiotic resistance dual knockin reporters in hiPSC lines for neurogenin2 (NEUROG2), an important proneural transcription factor. Gene targeting efficiency was greatly improved in CRISPR/Cas9-mediated homology directed recombination (∼33% correctly targeted clones) compared to conventional targeting protocol (∼3%) at the same locus. No off-target events were detected. In addition, taking the advantage of the versatile applications of the CRISPR/Cas9 system, we designed transactivation components to transiently induce NEUROG2 expression, which helps identify transcription factor binding sites and trans-regulation regions of human NEUROG2. The strategy of using CRISPR/Cas9 genome editing coupled with fluorescence-activated cell sorting of neural progenitor cells in a knockin lineage hiPSC reporter platform might be broadly applicable in other stem cell derivatives and subpopulations. PMID:26414932

  18. Regulation of Gene Editing Activity Directed by Single-Stranded Oligonucleotides and CRISPR/Cas9 Systems

    PubMed Central

    Bialk, Pawel; Rivera-Torres, Natalia; Strouse, Bryan; Kmiec, Eric B.

    2015-01-01

    Single-stranded DNA oligonucleotides (ssODNs) can direct the repair of a single base mutation in human genes. While the regulation of this gene editing reaction has been partially elucidated, the low frequency with which repair occurs has hampered development toward clinical application. In this work a CRISPR/Cas9 complex is employed to induce double strand DNA breakage at specific sites surrounding the nucleotide designated for exchange. The result is a significant elevation in ssODN-directed gene repair, validated by a phenotypic readout. By analysing reaction parameters, we have uncovered restrictions on gene editing activity involving CRISPR/Cas9 complexes. First, ssODNs that hybridize to the non-transcribed strand direct a higher level of gene repair than those that hybridize to the transcribed strand. Second, cleavage must be proximal to the targeted mutant base to enable higher levels of gene editing. Third, DNA cleavage enables a higher level of gene editing activity as compared to single-stranded DNA nicks, created by modified Cas9 (Nickases). Fourth, we calculated the hybridization potential and free energy levels of ssODNs that are complementary to the guide RNA sequences of CRISPRs used in this study. We find a correlation between free energy potential and the capacity of single-stranded oligonucleotides to inhibit specific DNA cleavage activity, thereby indirectly reducing gene editing activity. Our data provide novel information that might be taken into consideration in the design and usage of CRISPR/Cas9 systems with ssODNs for gene editing. PMID:26053390

  19. Regulation of Gene Editing Activity Directed by Single-Stranded Oligonucleotides and CRISPR/Cas9 Systems.

    PubMed

    Bialk, Pawel; Rivera-Torres, Natalia; Strouse, Bryan; Kmiec, Eric B

    2015-01-01

    Single-stranded DNA oligonucleotides (ssODNs) can direct the repair of a single base mutation in human genes. While the regulation of this gene editing reaction has been partially elucidated, the low frequency with which repair occurs has hampered development toward clinical application. In this work a CRISPR/Cas9 complex is employed to induce double strand DNA breakage at specific sites surrounding the nucleotide designated for exchange. The result is a significant elevation in ssODN-directed gene repair, validated by a phenotypic readout. By analysing reaction parameters, we have uncovered restrictions on gene editing activity involving CRISPR/Cas9 complexes. First, ssODNs that hybridize to the non-transcribed strand direct a higher level of gene repair than those that hybridize to the transcribed strand. Second, cleavage must be proximal to the targeted mutant base to enable higher levels of gene editing. Third, DNA cleavage enables a higher level of gene editing activity as compared to single-stranded DNA nicks, created by modified Cas9 (Nickases). Fourth, we calculated the hybridization potential and free energy levels of ssODNs that are complementary to the guide RNA sequences of CRISPRs used in this study. We find a correlation between free energy potential and the capacity of single-stranded oligonucleotides to inhibit specific DNA cleavage activity, thereby indirectly reducing gene editing activity. Our data provide novel information that might be taken into consideration in the design and usage of CRISPR/Cas9 systems with ssODNs for gene editing.

  20. CRISPR/Cas9-mediated targeted mutagenesis in grape

    PubMed Central

    Ban, Yusuke; Azuma, Akifumi; Onoue, Noriyuki; Moriguchi, Takaya; Yamamoto, Toshiya; Toki, Seiichi

    2017-01-01

    RNA-guided genome editing using the CRISPR/Cas9 CRISPR (clustered regularly interspaced short palindromic repeats)/Cas9 (CRISPR-associated protein 9) system has been applied successfully in several plant species. However, to date, there are few reports on the use of any of the current genome editing approaches in grape—an important fruit crop with a large market not only for table grapes but also for wine. Here, we report successful targeted mutagenesis in grape (Vitis vinifera L., cv. Neo Muscat) using the CRISPR/Cas9 system. When a Cas9 expression construct was transformed to embryonic calli along with a synthetic sgRNA expression construct targeting the Vitis vinifera phytoene desaturase (VvPDS) gene, regenerated plants with albino leaves were obtained. DNA sequencing confirmed that the VvPDS gene was mutated at the target site in regenerated grape plants. Interestingly, the ratio of mutated cells was higher in lower, older, leaves compared to that in newly appearing upper leaves. This result might suggest either that the proportion of targeted mutagenized cells is higher in older leaves due to the repeated induction of DNA double strand breaks (DSBs), or that the efficiency of precise DSBs repair in cells of old grape leaves is decreased. PMID:28542349

  1. CRISPR/Cas9—Advancing Orthopoxvirus Genome Editing for Vaccine and Vector Development

    PubMed Central

    Okoli, Arinze; Okeke, Malachy I.; Tryland, Morten; Moens, Ugo

    2018-01-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/associated protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its high efficiency, specificity, versatility, flexibility, simplicity and low cost have made the CRISPR/Cas9 system preferable to other guided site-specific nuclease-based systems such as TALENs (Transcription Activator-like Effector Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses. CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing virus infections, eradicating proviral DNA, and inhibiting viral replication in infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of Vaccinia virus paves the way for its application in editing other vaccine/vector-relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve some of the major hindrances to the development of OPXV-based recombinant vaccines and vectors, including sub-optimal immunogenicity; transgene and genome instability; reversion of attenuation; potential of spread of transgenes to wildtype strains and close contacts, which are important biosafety and risk assessment considerations. In this article, we review the published literature on the application of CRISPR/Cas9 in virus genome editing and discuss the potentials of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also discuss the application of CRISPR/Cas9 in combating viruses of clinical relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome them. PMID:29361752

  2. CRISPR/Cas9-mediated gene editing in human tripronuclear zygotes.

    PubMed

    Liang, Puping; Xu, Yanwen; Zhang, Xiya; Ding, Chenhui; Huang, Rui; Zhang, Zhen; Lv, Jie; Xie, Xiaowei; Chen, Yuxi; Li, Yujing; Sun, Ying; Bai, Yaofu; Songyang, Zhou; Ma, Wenbin; Zhou, Canquan; Huang, Junjiu

    2015-05-01

    Genome editing tools such as the clustered regularly interspaced short palindromic repeat (CRISPR)-associated system (Cas) have been widely used to modify genes in model systems including animal zygotes and human cells, and hold tremendous promise for both basic research and clinical applications. To date, a serious knowledge gap remains in our understanding of DNA repair mechanisms in human early embryos, and in the efficiency and potential off-target effects of using technologies such as CRISPR/Cas9 in human pre-implantation embryos. In this report, we used tripronuclear (3PN) zygotes to further investigate CRISPR/Cas9-mediated gene editing in human cells. We found that CRISPR/Cas9 could effectively cleave the endogenous β-globin gene (HBB). However, the efficiency of homologous recombination directed repair (HDR) of HBB was low and the edited embryos were mosaic. Off-target cleavage was also apparent in these 3PN zygotes as revealed by the T7E1 assay and whole-exome sequencing. Furthermore, the endogenous delta-globin gene (HBD), which is homologous to HBB, competed with exogenous donor oligos to act as the repair template, leading to untoward mutations. Our data also indicated that repair of the HBB locus in these embryos occurred preferentially through the non-crossover HDR pathway. Taken together, our work highlights the pressing need to further improve the fidelity and specificity of the CRISPR/Cas9 platform, a prerequisite for any clinical applications of CRSIPR/Cas9-mediated editing.

  3. Development of a genome-editing CRISPR/Cas9 system in thermophilic fungal Myceliophthora species and its application to hyper-cellulase production strain engineering.

    PubMed

    Liu, Qian; Gao, Ranran; Li, Jingen; Lin, Liangcai; Zhao, Junqi; Sun, Wenliang; Tian, Chaoguang

    2017-01-01

    Over the past 3 years, the CRISPR/Cas9 system has revolutionized the field of genome engineering. However, its application has not yet been validated in thermophilic fungi. Myceliophthora thermophila , an important thermophilic biomass-degrading fungus, has attracted industrial interest for the production of efficient thermostable enzymes. Genetic manipulation of Myceliophthora is crucial for metabolic engineering and to unravel the mechanism of lignocellulose deconstruction. The lack of a powerful, versatile genome-editing tool has impeded the broader exploitation of M. thermophila in biotechnology. In this study, a CRISPR/Cas9 system for efficient multiplexed genome engineering was successfully developed in the thermophilic species M. thermophila and M. heterothallica . This CRISPR/Cas9 system could efficiently mutate the imported amdS gene in the genome via NHEJ-mediated events. As a proof of principle, the genes of the cellulase production pathway, including cre - 1 , res - 1 , gh1 - 1, and alp - 1 , were chosen as editing targets. Simultaneous multigene disruptions of up to four of these different loci were accomplished with neomycin selection marker integration via a single transformation using the CRISPR/Cas9 system. Using this genome-engineering tool, multiple strains exhibiting pronounced hyper-cellulase production were generated, in which the extracellular secreted protein and lignocellulase activities were significantly increased (up to 5- and 13-fold, respectively) compared with the parental strain. A genome-wide engineering system for thermophilic fungi was established based on CRISPR/Cas9. Successful expansion of this system without modification to M. heterothallica indicates it has wide adaptability and flexibility for use in other Myceliophthora species. This system could greatly accelerate strain engineering of thermophilic fungi for production of industrial enzymes, such as cellulases as shown in this study and possibly bio-based fuels and

  4. [CAS in rhino-surgical procedures in the growing age].

    PubMed

    Schipper, J; Maier, W; Gellrich, N-C; Arapakis, I; Hochmuth, A; Laszig, R

    2005-01-01

    Rhinosurgery in children and adolescents meets special requirements: Limited cooperation and reduced limits for the organ dose for ionizing radiological examinations aggravate diagnostics. On the other side, bone sutures and bone growth areas have to be respected intraoperatively, and regions of bones not yet calcified have to be distinguished from possible tumor infiltration. Computer assisted surgery (CAS) can help to identify these areas safely. 5 patients, from the first to the 20 (th) year of life, suffering from tumors, malformation syndromes or therapy resistant nasal polyposis were treated with CAS in rhinosurgery. In addition to radiological diagnostics, we performed 3D computed tomography of the skull for CAS. CAS enabled us to intraoperatively respect possible areas of bone growth, to identify regions with thin, not bonily developed cranial vault and to safely distinguish bone sutures from ethmoidal cells. CAS helped the surgeon to navigate in the not yet developed paranasal sinus system. CAS is a useful complementary method in rhinosurgery of the developing skull of the child. In spite of the additional 3D computed tomography, the calculated organ dose of the ocular lense amounted to 5 millisievert, so a recommended maximal organ dose for the ocular lense of 15 millisievert was not exceeded.

  5. Peptide/Cas9 nanostructures for ribonucleoprotein cell membrane transport and gene edition.

    PubMed

    Lostalé-Seijo, Irene; Louzao, Iria; Juanes, Marisa; Montenegro, Javier

    2017-12-01

    The discovery of RNA guided endonucleases has emerged as one of the most important tools for gene edition and biotechnology. The selectivity and simplicity of the CRISPR/Cas9 strategy allows the straightforward targeting and editing of particular loci in the cell genome without the requirement of protein engineering. However, the transfection of plasmids encoding the Cas9 and the guide RNA could lead to undesired permanent recombination and immunogenic responses. Therefore, the direct delivery of transient Cas9 ribonucleoprotein constitutes an advantageous strategy for gene edition and other potential therapeutic applications of the CRISPR/Cas9 system. The covalent fusion of Cas9 with penetrating peptides requires multiple incubation steps with the target cells to achieve efficient levels of gene edition. These and other recent reports suggested that covalent conjugation of the anionic Cas9 ribonucleoprotein to cationic peptides would be associated with a hindered nuclease activity due to undesired electrostatic interactions. We here report a supramolecular strategy for the direct delivery of Cas9 by an amphiphilic penetrating peptide that was prepared by a hydrazone bond formation between a cationic peptide scaffold and a hydrophobic aldehyde tail. The peptide/protein non-covalent nanoparticles performed with similar efficiency and less toxicity than one of the best methods described to date. To the best of our knowledge this report constitutes the first supramolecular strategy for the direct delivery of Cas9 using a penetrating peptide vehicle. The results reported here confirmed that peptide amphiphilic vectors can deliver Cas9 in a single incubation step, with good efficiency and low toxicity. This work will encourage the search and development of conceptually new synthetic systems for transitory endonucleases direct delivery.

  6. La prise en charge du pneumothorax spontané: à propos de 138 cas

    PubMed Central

    Habibi, Bouchra; Achachi, Leila; Hayoun, Sohaib; Raoufi, Mohammed; Herrak, Laila; Ftouh, Mustapha El

    2017-01-01

    Le pneumothorax est définit par la présence d’air dans la cavité pleurale. L’objectif de notre étude rétrospective du pneumothorax spontanés au servie de pneumologie à l’hôpital Ibn Sina rabat (2009-2011) est de déterminer le profil épidémiologique, clinique, radiologique, thérapeutique et évolutif. Il s’agit de 138 patients: 128 hommes et 10 femmes (17 à 83 ans), un âge moyen de 44,5 +/- 17,4 ans; sexe ratio 12/8. Le tabagisme est noté chez 81,2%. La symptomatologie clinique est la douleur thoracique (92%), la dyspnée (60%). Et sur la radiographie thoracique: on trouve un PNO (pneumothorax) unilatéral total (110 cas); partiel (10 cas); localisé (6 cas); bilatéral (4 cas); à droite dans 51,4% et à gauche dans 45,7%. On a recensé 70% de pneumothorax spontanés primitifs et 30% de PNO secondaire à (BPCO 44%, et tuberculose pulmonaire 39%). La prise en charge initiale est l’hospitalisation de tous les patients : le drainage thoracique (95%), l’exsufflation à l’aiguille (1%). Le repos et l’O2 (4%). Le retour du poumon à la paroi a été obtenu avant 10 jours chez 63%. L’évolution est favorable chez 89%. Et les complications immédiates: l’emphysème sous cutané (5 cas); une infection (6 cas) et 3 décès (arrêt cardio-respiratoire); les complications à distance sont les récidives dans 11,6%; une 1ère récidive chez 13 cas (drainage thoracique chez 11 cas et oxygénothérapie chez 2 cas) et une 2ème récidive chez 3 cas (recours à la chirurgie). Ce travail montre l’intérêt du drainage thoracique et la surveillance dans la prise en charge du pneumothorax pour éviter les complications et surtout pour éviter les récidives avec un éventuel recours à la chirurgie. PMID:28533875

  7. The filamentous ascomycete Sordaria macrospora can survive in ambient air without carbonic anhydrases.

    PubMed

    Lehneck, Ronny; Elleuche, Skander; Pöggeler, Stefanie

    2014-06-01

    The rapid interconversion of carbon dioxide and bicarbonate (hydrogen carbonate) is catalysed by metalloenzymes termed carbonic anhydrases (CAs). CAs have been identified in all three domains of life and can be divided into five evolutionarily unrelated classes (α, β, γ, δ and ζ) that do not share significant sequence similarities. The function of the mammalian, prokaryotic and plant α-CAs has been intensively studied but the function of CAs in filamentous ascomycetes is mostly unknown. The filamentous ascomycete Sordaria macrospora codes for four CAs, three of the β-class and one of the α-class. Here, we present a functional analysis of CAS4, the S. macrospora α-class CA. The CAS4 protein was post-translationally glycosylated and secreted. The knockout strain Δcas4 had a significantly reduced rate of ascospore germination. To determine the cas genes required for S. macrospora growth under ambient air conditions, we constructed double and triple mutations of the four cas genes in all possible combinations and a quadruple mutant. Vegetative growth rate of the quadruple mutant lacking all cas genes was drastically reduced compared to the wild type and invaded the agar under normal air conditions. Likewise the fruiting bodies were embedded in the agar and completely devoid of mature ascospores. © 2014 John Wiley & Sons Ltd.

  8. CRISPR-Cas9-Mediated Genome Editing and Transcriptional Control in Yarrowia lipolytica.

    PubMed

    Schwartz, Cory; Wheeldon, Ian

    2018-01-01

    The discovery and adaptation of RNA-guided nucleases has resulted in the rapid development of efficient, scalable, and easily accessible synthetic biology tools for targeted genome editing and transcriptional control. In these systems, for example CRISPR-Cas9 from Streptococcus pyogenes, a protein with nuclease activity is targeted to a specific nucleotide sequence by a short RNA molecule, whereupon binding it cleaves the targeted nucleotide strand. To extend this genome-editing ability to the industrially important oleaginous yeast Yarrowia lipolytica, we developed a set of easily usable and effective CRISPR-Cas9 episomal vectors. In this protocols chapter, we first present a method by which arbitrary protein-coding genes can be disrupted via indel formation after CRISPR-Cas9 targeting. A second method demonstrates how the same CRISPR-Cas9 system can be used to induce markerless gene cassette integration into the genome by inducing homologous recombination after DNA cleavage by Cas9. Finally, we describe how a catalytically inactive form of Cas9 fused to a transcriptional repressor can be used to control transcription of native genes in Y. lipolytica. The CRISPR-Cas9 tools and strategies described here greatly increase the types of genome editing and transcriptional control that can be achieved in Y. lipolytica, and promise to facilitate more advanced engineering of this important oleaginous host.

  9. Multiplex CRISPR/Cas9 system impairs HCMV replication by excising an essential viral gene.

    PubMed

    Gergen, Janina; Coulon, Flora; Creneguy, Alison; Elain-Duret, Nathan; Gutierrez, Alejandra; Pinkenburg, Olaf; Verhoeyen, Els; Anegon, Ignacio; Nguyen, Tuan Huy; Halary, Franck Albert; Haspot, Fabienne

    2018-01-01

    Anti-HCMV treatments used in immunosuppressed patients reduce viral replication, but resistant viral strains can emerge. Moreover, these drugs do not target latently infected cells. We designed two anti-viral CRISPR/Cas9 strategies to target the UL122/123 gene, a key regulator of lytic replication and reactivation from latency. The singleplex strategy contains one gRNA to target the start codon. The multiplex strategy contains three gRNAs to excise the complete UL122/123 gene. Primary fibroblasts and U-251 MG cells were transduced with lentiviral vectors encoding Cas9 and one or three gRNAs. Both strategies induced mutations in the target gene and a concomitant reduction of immediate early (IE) protein expression in primary fibroblasts. Further detailed analysis in U-251 MG cells showed that the singleplex strategy induced 50% of indels in the viral genome, leading to a reduction in IE protein expression. The multiplex strategy excised the IE gene in 90% of all viral genomes and thus led to the inhibition of IE protein expression. Consequently, viral genome replication and late protein expression were reduced by 90%. Finally, the production of new viral particles was nearly abrogated. In conclusion, the multiplex anti-UL122/123 CRISPR/Cas9 system can target the viral genome efficiently enough to significantly prevent viral replication.

  10. CRISPR-Cas9 technology: applications and human disease modelling.

    PubMed

    Torres-Ruiz, Raul; Rodriguez-Perales, Sandra

    2017-01-01

    Genome engineering is a powerful tool for a wide range of applications in biomedical research and medicine. The development of the clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system has revolutionized the field of gene editing, thus facilitating efficient genome editing through the creation of targeted double-strand breaks of almost any organism and cell type. In addition, CRISPR-Cas9 technology has been used successfully for many other purposes, including regulation of endogenous gene expression, epigenome editing, live-cell labelling of chromosomal loci, edition of single-stranded RNA and high-throughput gene screening. The implementation of the CRISPR-Cas9 system has increased the number of available technological alternatives for studying gene function, thus enabling generation of CRISPR-based disease models. Although many mechanistic questions remain to be answered and several challenges have yet to be addressed, the use of CRISPR-Cas9-based genome engineering technologies will increase our knowledge of disease processes and their treatment in the near future. © The Author 2016. Published by Oxford University Press. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  11. Genetic screens in human cells using the CRISPR-Cas9 system.

    PubMed

    Wang, Tim; Wei, Jenny J; Sabatini, David M; Lander, Eric S

    2014-01-03

    The bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 system for genome editing has greatly expanded the toolbox for mammalian genetics, enabling the rapid generation of isogenic cell lines and mice with modified alleles. Here, we describe a pooled, loss-of-function genetic screening approach suitable for both positive and negative selection that uses a genome-scale lentiviral single-guide RNA (sgRNA) library. sgRNA expression cassettes were stably integrated into the genome, which enabled a complex mutant pool to be tracked by massively parallel sequencing. We used a library containing 73,000 sgRNAs to generate knockout collections and performed screens in two human cell lines. A screen for resistance to the nucleotide analog 6-thioguanine identified all expected members of the DNA mismatch repair pathway, whereas another for the DNA topoisomerase II (TOP2A) poison etoposide identified TOP2A, as expected, and also cyclin-dependent kinase 6, CDK6. A negative selection screen for essential genes identified numerous gene sets corresponding to fundamental processes. Last, we show that sgRNA efficiency is associated with specific sequence motifs, enabling the prediction of more effective sgRNAs. Collectively, these results establish Cas9/sgRNA screens as a powerful tool for systematic genetic analysis in mammalian cells.

  12. High-temperature protein G is essential for activity of the Escherichia coli clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system.

    PubMed

    Yosef, Ido; Goren, Moran G; Kiro, Ruth; Edgar, Rotem; Qimron, Udi

    2011-12-13

    Prokaryotic DNA arrays arranged as clustered regularly interspaced short palindromic repeats (CRISPR), along with their associated proteins, provide prokaryotes with adaptive immunity by RNA-mediated targeting of alien DNA or RNA matching the sequences between the repeats. Here, we present a thorough screening system for the identification of bacterial proteins participating in immunity conferred by the Escherichia coli CRISPR system. We describe the identification of one such protein, high-temperature protein G (HtpG), a homolog of the eukaryotic chaperone heat-shock protein 90. We demonstrate that in the absence of htpG, the E. coli CRISPR system loses its suicidal activity against λ prophage and its ability to provide immunity from lysogenization. Transcomplementation of htpG restores CRISPR activity. We further show that inactivity of the CRISPR system attributable to htpG deficiency can be suppressed by expression of Cas3, a protein that is essential for its activity. Accordingly, we also find that the steady-state level of overexpressed Cas3 is significantly enhanced following HtpG expression. We conclude that HtpG is a newly identified positive modulator of the CRISPR system that is essential for maintaining functional levels of Cas3.

  13. High-temperature protein G is essential for activity of the Escherichia coli clustered regularly interspaced short palindromic repeats (CRISPR)/Cas system

    PubMed Central

    Yosef, Ido; Goren, Moran G.; Kiro, Ruth; Edgar, Rotem; Qimron, Udi

    2011-01-01

    Prokaryotic DNA arrays arranged as clustered regularly interspaced short palindromic repeats (CRISPR), along with their associated proteins, provide prokaryotes with adaptive immunity by RNA-mediated targeting of alien DNA or RNA matching the sequences between the repeats. Here, we present a thorough screening system for the identification of bacterial proteins participating in immunity conferred by the Escherichia coli CRISPR system. We describe the identification of one such protein, high-temperature protein G (HtpG), a homolog of the eukaryotic chaperone heat-shock protein 90. We demonstrate that in the absence of htpG, the E. coli CRISPR system loses its suicidal activity against λ prophage and its ability to provide immunity from lysogenization. Transcomplementation of htpG restores CRISPR activity. We further show that inactivity of the CRISPR system attributable to htpG deficiency can be suppressed by expression of Cas3, a protein that is essential for its activity. Accordingly, we also find that the steady-state level of overexpressed Cas3 is significantly enhanced following HtpG expression. We conclude that HtpG is a newly identified positive modulator of the CRISPR system that is essential for maintaining functional levels of Cas3. PMID:22114197

  14. Chromatin accessibility and guide sequence secondary structure affect CRISPR-Cas9 gene editing efficiency.

    PubMed

    Jensen, Kristopher Torp; Fløe, Lasse; Petersen, Trine Skov; Huang, Jinrong; Xu, Fengping; Bolund, Lars; Luo, Yonglun; Lin, Lin

    2017-07-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-associated protein 9 (CRISPR-Cas9) systems have emerged as the method of choice for genome editing, but large variations in on-target efficiencies continue to limit their applicability. Here, we investigate the effect of chromatin accessibility on Cas9-mediated gene editing efficiency for 20 gRNAs targeting 10 genomic loci in HEK293T cells using both SpCas9 and the eSpCas9(1.1) variant. Our study indicates that gene editing is more efficient in euchromatin than in heterochromatin, and we validate this finding in HeLa cells and in human fibroblasts. Furthermore, we investigate the gRNA sequence determinants of CRISPR-Cas9 activity using a surrogate reporter system and find that the efficiency of Cas9-mediated gene editing is dependent on guide sequence secondary structure formation. This knowledge can aid in the further improvement of tools for gRNA design. © 2017 Federation of European Biochemical Societies.

  15. Applications of CRISPR/Cas9 in the Mammalian Central Nervous System



    PubMed Central

    Savell, Katherine E.; Day, Jeremy J.

    2017-01-01

    Within the central nervous system, gene regulatory mechanisms are crucial regulators of cellular development and function, and dysregulation of these systems is commonly observed in major neuropsychiatric and neurological disorders. However, due to a lack of tools to specifically modulate the genome and epigenome in the central nervous system, many molecular and genetic mechanisms underlying cognitive function and behavior are still unknown. Although genome editing tools have been around for decades, the recent emergence of inexpensive, straightforward, and widely accessible CRISPR/Cas9 systems has led to a revolution in gene editing. The development of the catalytically dead Cas9 (dCas9) expanded this flexibility even further by acting as an anchoring system for fused effector proteins, structural scaffolds, and RNAs. Together, these advances have enabled robust, modular approaches for specific targeting and modification of the local chromatin environment at a single gene. This review highlights these advancements and how the combination of powerful modulatory tools paired with the versatility of CRISPR-Cas9-based systems offer great potential for understanding the underlying genetic and epigenetic contributions of neuronal function, behavior, and neurobiological diseases. PMID:29259522

  16. 48 CFR 970.3002 - CAS program requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 5 2011-10-01 2011-10-01 false CAS program requirements. 970.3002 Section 970.3002 Federal Acquisition Regulations System DEPARTMENT OF ENERGY AGENCY SUPPLEMENTARY REGULATIONS DOE MANAGEMENT AND OPERATING CONTRACTS Cost Accounting Standards Administration 970...

  17. Rapid and tunable method to temporally control gene editing based on conditional Cas9 stabilization. | Office of Cancer Genomics

    Cancer.gov

    The CRISPR/Cas9 system is a powerful tool for studying gene function. Here, we describe a method that allows temporal control of CRISPR/Cas9 activity based on conditional Cas9 destabilization. We demonstrate that fusing an FKBP12-derived destabilizing domain to Cas9 (DD-Cas9) enables conditional Cas9 expression and temporal control of gene editing in the presence of an FKBP12 synthetic ligand. This system can be easily adapted to co-express, from the same promoter, DD-Cas9 with any other gene of interest without co-modulation of the latter.

  18. [The application of CRISPR/Cas9 genome editing technology in cancer research].

    PubMed

    Wang, Da-yong; Ma, Ning; Hui, Yang; Gao, Xu

    2016-01-01

    The CRISPR/Cas9 (clustered regularly interspaced short palindromic repeats/CRISPR-associated protein-9 nuclease) genome editing technology has become more and more popular in gene editing because of its simple design and easy operation. Using the CRISPR/Cas9 system, researchers can perform site-directed genome modification at the base level. Moreover, it has been widely used in genome editing in multiple species and related cancer research. In this review, we summarize the application of the CRISPR/Cas9 system in cancer research based on the latest research progresses as well as our understanding of cancer research and genome editing techniques.

  19. Homology-integrated CRISPR-Cas (HI-CRISPR) system for one-step multigene disruption in Saccharomyces cerevisiae.

    PubMed

    Bao, Zehua; Xiao, Han; Liang, Jing; Zhang, Lu; Xiong, Xiong; Sun, Ning; Si, Tong; Zhao, Huimin

    2015-05-15

    One-step multiple gene disruption in the model organism Saccharomyces cerevisiae is a highly useful tool for both basic and applied research, but it remains a challenge. Here, we report a rapid, efficient, and potentially scalable strategy based on the type II Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-CRISPR associated proteins (Cas) system to generate multiple gene disruptions simultaneously in S. cerevisiae. A 100 bp dsDNA mutagenizing homologous recombination donor is inserted between two direct repeats for each target gene in a CRISPR array consisting of multiple donor and guide sequence pairs. An ultrahigh copy number plasmid carrying iCas9, a variant of wild-type Cas9, trans-encoded RNA (tracrRNA), and a homology-integrated crRNA cassette is designed to greatly increase the gene disruption efficiency. As proof of concept, three genes, CAN1, ADE2, and LYP1, were simultaneously disrupted in 4 days with an efficiency ranging from 27 to 87%. Another three genes involved in an artificial hydrocortisone biosynthetic pathway, ATF2, GCY1, and YPR1, were simultaneously disrupted in 6 days with 100% efficiency. This homology-integrated CRISPR (HI-CRISPR) strategy represents a powerful tool for creating yeast strains with multiple gene knockouts.

  20. 48 CFR 970.3002-1 - CAS applicability.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 5 2011-10-01 2011-10-01 false CAS applicability. 970.3002-1 Section 970.3002-1 Federal Acquisition Regulations System DEPARTMENT OF ENERGY AGENCY SUPPLEMENTARY REGULATIONS DOE MANAGEMENT AND OPERATING CONTRACTS Cost Accounting Standards Administration 970...

  1. Induced mutation and epigenetics modification in plants for crop improvement by targeting CRISPR/Cas9 technology.

    PubMed

    Khan, Muhammad Hafeez Ullah; Khan, Shahid U; Muhammad, Ali; Hu, Limin; Yang, Yang; Fan, Chuchuan

    2018-06-01

    Clustered regularly interspaced palindromic repeats associated protein Cas9 (CRISPR-Cas9), originally an adaptive immunity system of prokaryotes, is revolutionizing genome editing technologies with minimal off-targets in the present era. The CRISPR/Cas9 is now highly emergent, advanced, and highly specific tool for genome engineering. The technology is widely used to animal and plant genomes to achieve desirable results. The present review will encompass how CRISPR-Cas9 is revealing its beneficial role in characterizing plant genetic functions, genomic rearrangement, how it advances the site-specific mutagenesis, and epigenetics modification in plants to improve the yield of field crops with minimal side-effects. The possible pitfalls of using and designing CRISPR-Cas9 for plant genome editing are also discussed for its more appropriate applications in plant biology. Therefore, CRISPR/Cas9 system has multiple benefits that mostly scientists select for genome editing in several biological systems. © 2017 Wiley Periodicals, Inc.

  2. Relationship between drug resistance and the clustered, regularly interspaced, short, palindromic repeat-associated protein genes cas1 and cas2 in Shigella from giant panda dung

    PubMed Central

    Ren, Lu; Deng, Lin-Hua; Zhang, Ri-Peng; Wang, Cheng-Dong; Li, De-Sheng; Xi, Li-Xin; Chen, Zhen-rong; Yang, Rui; Huang, Jie; Zeng, Yang-ru; Wu, Hong-Lin; Cao, San-Jie; Wu, Rui; Huang, Yong; Yan, Qi-Gui

    2017-01-01

    Abstract Background: To detect drug resistance in Shigella obtained from the dung of the giant panda, explore the factors leading to drug resistance in Shigella, understand the characteristics of clustered, regularly interspaced, short, palindromic repeats (CRISPR), and assess the relationship between CRISPR and drug resistance. Methods: We collected fresh feces from 27 healthy giant pandas in the Giant Panda Conservation base (Wolong, China). We identified the strains of Shigella in the samples by using nucleotide sequence analysis. Further, the Kirby-Bauer paper method was used to determine drug sensitivity of the Shigella strains. CRISPR-associated protein genes cas1 and cas2 in Shigella were detected by polymerase chain reaction (PCR), and the PCR products were sequenced and compared. Results: We isolated and identified 17 strains of Shigella from 27 samples, including 14 strains of Shigella flexneri, 2 strains of Shigella sonnei, and 1 strain of Shigella dysenteriae. Further, drug resistance to cefazolin, imipenem, and amoxicillin–clavulanic acid was identified as a serious problem, as multidrug-resistant strains were detected. Further, cas1 and cas2 showed different degrees of point mutations. Conclusion: The CRISPR system widely exists in Shigella and shares homology with that in Escherichia coli. The cas1 and cas 2 mutations contribute to the different levels of resistance. Point mutations at sites 3176455, 3176590, and 3176465 in cas1 (a); sites 3176989, 3176992, and 3176995 in cas1 (b); sites 3176156 and 3176236 in cas2 may affect the resistance of bacteria, cause emergence of multidrug resistance, and increase the types of drug resistance. PMID:28207509

  3. Relationship between drug resistance and the clustered, regularly interspaced, short, palindromic repeat-associated protein genes cas1 and cas2 in Shigella from giant panda dung.

    PubMed

    Ren, Lu; Deng, Lin-Hua; Zhang, Ri-Peng; Wang, Cheng-Dong; Li, De-Sheng; Xi, Li-Xin; Chen, Zhen-Rong; Yang, Rui; Huang, Jie; Zeng, Yang-Ru; Wu, Hong-Lin; Cao, San-Jie; Wu, Rui; Huang, Yong; Yan, Qi-Gui

    2017-02-01

    To detect drug resistance in Shigella obtained from the dung of the giant panda, explore the factors leading to drug resistance in Shigella, understand the characteristics of clustered, regularly interspaced, short, palindromic repeats (CRISPR), and assess the relationship between CRISPR and drug resistance. We collected fresh feces from 27 healthy giant pandas in the Giant Panda Conservation base (Wolong, China). We identified the strains of Shigella in the samples by using nucleotide sequence analysis. Further, the Kirby-Bauer paper method was used to determine drug sensitivity of the Shigella strains. CRISPR-associated protein genes cas1 and cas2 in Shigella were detected by polymerase chain reaction (PCR), and the PCR products were sequenced and compared. We isolated and identified 17 strains of Shigella from 27 samples, including 14 strains of Shigella flexneri, 2 strains of Shigella sonnei, and 1 strain of Shigella dysenteriae. Further, drug resistance to cefazolin, imipenem, and amoxicillin-clavulanic acid was identified as a serious problem, as multidrug-resistant strains were detected. Further, cas1 and cas2 showed different degrees of point mutations. The CRISPR system widely exists in Shigella and shares homology with that in Escherichia coli. The cas1 and cas 2 mutations contribute to the different levels of resistance. Point mutations at sites 3176455, 3176590, and 3176465 in cas1 (a); sites 3176989, 3176992, and 3176995 in cas1 (b); sites 3176156 and 3176236 in cas2 may affect the resistance of bacteria, cause emergence of multidrug resistance, and increase the types of drug resistance.

  4. Advances in CRISPR-Cas9 genome engineering: lessons learned from RNA interference

    PubMed Central

    Barrangou, Rodolphe; Birmingham, Amanda; Wiemann, Stefan; Beijersbergen, Roderick L.; Hornung, Veit; Smith, Anja van Brabant

    2015-01-01

    The discovery that the machinery of the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)-Cas9 bacterial immune system can be re-purposed to easily create deletions, insertions and replacements in the mammalian genome has revolutionized the field of genome engineering and re-invigorated the field of gene therapy. Many parallels have been drawn between the newly discovered CRISPR-Cas9 system and the RNA interference (RNAi) pathway in terms of their utility for understanding and interrogating gene function in mammalian cells. Given this similarity, the CRISPR-Cas9 field stands to benefit immensely from lessons learned during the development of RNAi technology. We examine how the history of RNAi can inform today's challenges in CRISPR-Cas9 genome engineering such as efficiency, specificity, high-throughput screening and delivery for in vivo and therapeutic applications. PMID:25800748

  5. Creating a RAW264.7 CRISPR-Cas9 Genome Wide Library

    PubMed Central

    Napier, Brooke A; Monack, Denise M

    2017-01-01

    The bacterial clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9 genome editing tools are used in mammalian cells to knock-out specific genes of interest to elucidate gene function. The CRISPR-Cas9 system requires that the mammalian cell expresses Cas9 endonuclease, guide RNA (gRNA) to lead the endonuclease to the gene of interest, and the PAM sequence that links the Cas9 to the gRNA. CRISPR-Cas9 genome wide libraries are used to screen the effect of each gene in the genome on the cellular phenotype of interest, in an unbiased high-throughput manner. In this protocol, we describe our method of creating a CRISPR-Cas9 genome wide library in a transformed murine macrophage cell-line (RAW264.7). We have employed this library to identify novel mediators in the caspase-11 cell death pathway (Napier et al., 2016); however, this library can then be used to screen the importance of specific genes in multiple murine macrophage cellular pathways. PMID:28868328

  6. CRISPR-Cas9 therapeutics in cancer: promising strategies and present challenges.

    PubMed

    Yi, Lang; Li, Jinming

    2016-12-01

    Cancer is characterized by multiple genetic and epigenetic alterations that drive malignant cell proliferation and confer chemoresistance. The ability to correct or ablate such mutations holds immense promise for combating cancer. Recently, because of its high efficiency and accuracy, the CRISPR-Cas9 genome editing technique has been widely used in cancer therapeutic explorations. Several studies used CRISPR-Cas9 to directly target cancer cell genomic DNA in cellular and animal cancer models which have shown therapeutic potential in expanding our anticancer protocols. Moreover, CRISPR-Cas9 can also be employed to fight oncogenic infections, explore anticancer drugs, and engineer immune cells and oncolytic viruses for cancer immunotherapeutic applications. Here, we summarize these preclinical CRISPR-Cas9-based therapeutic strategies against cancer, and discuss the challenges and improvements in translating therapeutic CRISPR-Cas9 into clinical use, which will facilitate better application of this technique in cancer research. Further, we propose potential directions of the CRISPR-Cas9 system in cancer therapy. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. RNA and DNA Targeting by a Reconstituted Thermus thermophilus Type III-A CRISPR-Cas System.

    PubMed

    Liu, Tina Y; Iavarone, Anthony T; Doudna, Jennifer A

    2017-01-01

    CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated) systems are RNA-guided adaptive immunity pathways used by bacteria and archaea to defend against phages and plasmids. Type III-A systems use a multisubunit interference complex called Csm, containing Cas proteins and a CRISPR RNA (crRNA) to target cognate nucleic acids. The Csm complex is intriguing in that it mediates RNA-guided targeting of both RNA and transcriptionally active DNA, but the mechanism is not well understood. Here, we overexpressed the five components of the Thermus thermophilus (T. thermophilus) Type III-A Csm complex (TthCsm) with a defined crRNA sequence, and purified intact TthCsm complexes from E. coli cells. The complexes were thermophilic, targeting complementary ssRNA more efficiently at 65°C than at 37°C. Sequence-independent, endonucleolytic cleavage of single-stranded DNA (ssDNA) by TthCsm was triggered by recognition of a complementary ssRNA, and required a lack of complementarity between the first 8 nucleotides (5' tag) of the crRNA and the 3' flanking region of the ssRNA. Mutation of the histidine-aspartate (HD) nuclease domain of the TthCsm subunit, Cas10/Csm1, abolished DNA cleavage. Activation of DNA cleavage was dependent on RNA binding but not cleavage. This leads to a model in which binding of an ssRNA target to the Csm complex would stimulate cleavage of exposed ssDNA in the cell, such as could occur when the RNA polymerase unwinds double-stranded DNA (dsDNA) during transcription. Our findings establish an amenable, thermostable system for more in-depth investigation of the targeting mechanism using structural biology methods, such as cryo-electron microscopy and x-ray crystallography.

  8. RNA targeting with CRISPR-Cas13.

    PubMed

    Abudayyeh, Omar O; Gootenberg, Jonathan S; Essletzbichler, Patrick; Han, Shuo; Joung, Julia; Belanto, Joseph J; Verdine, Vanessa; Cox, David B T; Kellner, Max J; Regev, Aviv; Lander, Eric S; Voytas, Daniel F; Ting, Alice Y; Zhang, Feng

    2017-10-12

    RNA has important and diverse roles in biology, but molecular tools to manipulate and measure it are limited. For example, RNA interference can efficiently knockdown RNAs, but it is prone to off-target effects, and visualizing RNAs typically relies on the introduction of exogenous tags. Here we demonstrate that the class 2 type VI RNA-guided RNA-targeting CRISPR-Cas effector Cas13a (previously known as C2c2) can be engineered for mammalian cell RNA knockdown and binding. After initial screening of 15 orthologues, we identified Cas13a from Leptotrichia wadei (LwaCas13a) as the most effective in an interference assay in Escherichia coli. LwaCas13a can be heterologously expressed in mammalian and plant cells for targeted knockdown of either reporter or endogenous transcripts with comparable levels of knockdown as RNA interference and improved specificity. Catalytically inactive LwaCas13a maintains targeted RNA binding activity, which we leveraged for programmable tracking of transcripts in live cells. Our results establish CRISPR-Cas13a as a flexible platform for studying RNA in mammalian cells and therapeutic development.

  9. Parameters affecting frequency of CRISPR/Cas9 mediated targeted mutagenesis in rice.

    PubMed

    Mikami, Masafumi; Toki, Seiichi; Endo, Masaki

    2015-10-01

    Frequency of CRISPR/Cas9-mediated targeted mutagenesis varies depending on Cas9 expression level and culture period of rice callus. Recent reports have demonstrated that the CRISPR/Cas9 system can function as a sequence-specific nuclease in various plant species. Induction of mutation in proliferating tissue during embryogenesis or in germline cells is a practical means of generating heritable mutations. In the case of plant species in which cultured cells are used for transformation, non-chimeric plants can be obtained when regeneration occurs from mutated cells. Since plantlets are regenerated from both mutated and non-mutated cells in a random manner, any increment in the proportion of mutated cells in Cas9- and guide RNA (gRNA)-expressing cells will help increase the number of plants containing heritable mutations. In this study, we examined factors affecting mutation frequency in rice calli. Following sequential transformation of rice calli with Cas9- and gRNA- expression constructs, the mutation frequency in independent Cas9 transgenic lines was analyzed. A positive correlation between Cas9 expression level and mutation frequency was found. This positive relationship was observed regardless of whether the transgene or an endogenous gene was used as the target for CRISPR/Cas9-mediated mutagenesis. Furthermore, we found that extending the culture period increased the proportion of mutated cells as well as the variety of mutations obtained. Because mutated and non-mutated cells might proliferate equally, these results suggest that a prolonged tissue culture period increases the chance of inducing de novo mutations in non-mutated cells. This fundamental knowledge will help improve systems for obtaining non-chimeric regenerated plants in many plant species.

  10. Survival and Evolution of CRISPR–Cas System in Prokaryotes and Its Applications

    PubMed Central

    Shabbir, Muhammad Abu Bakr; Hao, Haihong; Shabbir, Muhammad Zubair; Hussain, Hafiz Iftikhar; Iqbal, Zahid; Ahmed, Saeed; Sattar, Adeel; Iqbal, Mujahid; Li, Jun; Yuan, Zonghui

    2016-01-01

    Prokaryotes have developed numerous innate immune mechanisms in order to fend off bacteriophage or plasmid attack. One of these immune systems is clustered regularly interspaced short palindromic repeats (CRISPR). CRISPR-associated proteins play a key role in survival of prokaryotes against invaders, as these systems cleave DNA of foreign genetic elements. Beyond providing immunity, these systems have significant impact in altering the bacterial physiology in term of its virulence and pathogenicity, as well as evolution. Also, due to their diverse nature of functionality, cas9 endoribonuclease can be easily reprogrammed with the help of guide RNAs, showing unprecedented potential and significance for gene editing in treating genetic diseases. Here, we also discuss the use of NgAgo–gDNA system in genome editing of human cells. PMID:27725818

  11. Active and adaptive Legionella CRISPR-Cas reveals a recurrent challenge to the pathogen.

    PubMed

    Rao, Chitong; Guyard, Cyril; Pelaz, Carmen; Wasserscheid, Jessica; Bondy-Denomy, Joseph; Dewar, Ken; Ensminger, Alexander W

    2016-10-01

    Clustered regularly interspaced short palindromic repeats with CRISPR-associated gene (CRISPR-Cas) systems are widely recognized as critical genome defense systems that protect microbes from external threats such as bacteriophage infection. Several isolates of the intracellular pathogen Legionella pneumophila possess multiple CRISPR-Cas systems (type I-C, type I-F and type II-B), yet the targets of these systems remain unknown. With the recent observation that at least one of these systems (II-B) plays a non-canonical role in supporting intracellular replication, the possibility remained that these systems are vestigial genome defense systems co-opted for other purposes. Our data indicate that this is not the case. Using an established plasmid transformation assay, we demonstrate that type I-C, I-F and II-B CRISPR-Cas provide protection against spacer targets. We observe efficient laboratory acquisition of new spacers under 'priming' conditions, in which initially incomplete target elimination leads to the generation of new spacers and ultimate loss of the invasive DNA. Critically, we identify the first known target of L. pneumophila CRISPR-Cas: a 30 kb episome of unknown function whose interbacterial transfer is guarded against by CRISPR-Cas. We provide evidence that the element can subvert CRISPR-Cas by mutating its targeted sequences - but that primed spacer acquisition may limit this mechanism of escape. Rather than generally impinging on bacterial fitness, this element drives a host specialization event - with improved fitness in Acanthamoeba but a reduced ability to replicate in other hosts and conditions. These observations add to a growing body of evidence that host range restriction can serve as an existential threat to L. pneumophila in the wild. © 2016 The Authors Cellular Microbiology Published by John Wiley & Sons Ltd.

  12. AAV-CRISPR/Cas9-Mediated Depletion of VEGFR2 Blocks Angiogenesis In Vitro.

    PubMed

    Wu, Wenyi; Duan, Yajian; Ma, Gaoen; Zhou, Guohong; Park-Windhol, Cindy; D'Amore, Patricia A; Lei, Hetian

    2017-12-01

    Pathologic angiogenesis is a component of many diseases, including neovascular age-related macular degeneration, proliferation diabetic retinopathy, as well as tumor growth and metastasis. The purpose of this project was to examine whether the system of adeno-associated viral (AAV)-mediated CRISPR (clustered regularly interspaced short palindromic repeats)-associated endonuclease (Cas)9 can be used to deplete expression of VEGF receptor 2 (VEGFR2) in human vascular endothelial cells in vitro and thus suppress its downstream signaling events. The dual AAV system of CRISPR/Cas9 from Streptococcus pyogenes (AAV-SpGuide and -SpCas9) was adapted to edit genomic VEGFR2 in primary human retinal microvascular endothelial cells (HRECs). In this system, the endothelial-specific promoter for intercellular adhesion molecule 2 (ICAM2) was cloned into the dual AAV vectors of SpGuide and SpCas9 for driving expression of green fluorescence protein (GFP) and SpCas9, respectively. These two AAV vectors were applied to production of recombinant AAV serotype 5 (rAAV5), which were used to infect HRECs for depletion of VEGFR2. Protein expression was determined by Western blot; and cell proliferation, migration, as well as tube formation were examined. AAV5 effectively infected vascular endothelial cells (ECs) and retinal pigment epithelial (RPE) cells; the ICAM2 promoter drove expression of GFP and SpCas9 in HRECs, but not in RPE cells. The results showed that the rAAV5-CRISPR/Cas9 depleted VEGFR2 by 80% and completely blocked VEGF-induced activation of Akt, and proliferation, migration as well as tube formation of HRECs. AAV-CRISRP/Cas9-mediated depletion of VEGFR2 is a potential therapeutic strategy for pathologic angiogenesis.

  13. Benefits of Genomic Insights and CRISPR-Cas Signatures to Monitor Potential Pathogens across Drinking Water Production and Distribution Systems

    PubMed Central

    Zhang, Ya; Kitajima, Masaaki; Whittle, Andrew J.; Liu, Wen-Tso

    2017-01-01

    The occurrence of pathogenic bacteria in drinking water distribution systems (DWDSs) is a major health concern, and our current understanding is mostly related to pathogenic species such as Legionella pneumophila and Mycobacterium avium but not to bacterial species closely related to them. In this study, genomic-based approaches were used to characterize pathogen-related species in relation to their abundance, diversity, potential pathogenicity, genetic exchange, and distribution across an urban drinking water system. Nine draft genomes recovered from 10 metagenomes were identified as Legionella (4 draft genomes), Mycobacterium (3 draft genomes), Parachlamydia (1 draft genome), and Leptospira (1 draft genome). The pathogenicity potential of these genomes was examined by the presence/absence of virulence machinery, including genes belonging to Type III, IV, and VII secretion systems and their effectors. Several virulence factors known to pathogenic species were detected with these retrieved draft genomes except the Leptospira-related genome. Identical clustered regularly interspaced short palindromic repeats-CRISPR-associated proteins (CRISPR-Cas) genetic signatures were observed in two draft genomes recovered at different stages of the studied system, suggesting that the spacers in CRISPR-Cas could potentially be used as a biomarker in the monitoring of Legionella related strains at an evolutionary scale of several years across different drinking water production and distribution systems. Overall, metagenomics approach was an effective and complementary tool of culturing techniques to gain insights into the pathogenic characteristics and the CRISPR-Cas signatures of pathogen-related species in DWDSs. PMID:29097994

  14. Nucleic Acid-Dependent Conformational Changes in CRISPR-Cas9 Revealed by Site-Directed Spin Labeling.

    PubMed

    Vazquez Reyes, Carolina; Tangprasertchai, Narin S; Yogesha, S D; Nguyen, Richard H; Zhang, Xiaojun; Rajan, Rakhi; Qin, Peter Z

    2017-06-01

    In a type II clustered regularly interspaced short palindromic repeats (CRISPR) system, RNAs that are encoded at the CRISPR locus complex with the CRISPR-associated (Cas) protein Cas9 to form an RNA-guided nuclease that cleaves double-stranded DNAs at specific sites. In recent years, the CRISPR-Cas9 system has been successfully adapted for genome engineering in a wide range of organisms. Studies have indicated that a series of conformational changes in Cas9, coordinated by the RNA and the target DNA, direct the protein into its active conformation, yet details on these conformational changes, as well as their roles in the mechanism of function of Cas9, remain to be elucidated. Here, nucleic acid-dependent conformational changes in Streptococcus pyogenes Cas9 (SpyCas9) were investigated using the method of site-directed spin labeling (SDSL). Single nitroxide spin labels were attached, one at a time, at one of the two native cysteine residues (Cys80 and Cys574) of SpyCas9, and the spin-labeled proteins were shown to maintain their function. X-band continuous-wave electron paramagnetic resonance spectra of the nitroxide attached at Cys80 revealed conformational changes of SpyCas9 that are consistent with a large-scale domain re-arrangement upon binding to its RNA partner. The results demonstrate the use of SDSL to monitor conformational changes in CRISPR-Cas9, which will provide key information for understanding the mechanism of CRISPR function.

  15. Comparison of genome engineering using the CRISPR-Cas9 system in C. glabrata wild-type and lig4 strains.

    PubMed

    Cen, Yuke; Timmermans, Bea; Souffriau, Ben; Thevelein, Johan M; Van Dijck, Patrick

    2017-10-01

    Candida glabrata is reported as the second most prevalent human opportunistic fungal pathogen in North America and is threatening patients all over the world. Its incidence is rising, while it has developed resistance to the most widely used antifungal drugs, necessitating new approaches based on better insight into the biology of the organism. Despite its close phylogenetic relationship with Saccharomyces cerevisiae, generating precise genomic alterations in this species is problematic. Previously we have shown that deletion of LIG4, which encodes an enzyme involved in Non-Homologous End Joining (NHEJ), strongly enhances the probability of obtaining correctly modified transformants. In this work we used the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and CRISPR associated protein 9 (Cas9) system to genetically engineer the C. glabrata genome, targeting the genes ADE2, MET15 and SOK2, located on different chromosomes. We used the CRISPR-Cas9 technology to replace the open reading frame (ORF) by the SAT1 selective marker or introduced a premature stop codon in ADE2 and MET15, as they are easily scored by their adenine or methionine auxotrophy, respectively. The SOK2 gene was modified by insertion of a triple HA-tag sequence and the transformants were verified in a western blot. The CRISPR-Cas9 mediated targeting efficiency varies depending on the gene targeted and the genetic modification performed. We show that CRISPR-Cas9 mediated genome editing is more efficient than the conventional method in the wild-type strain, moreover it has the big advantage being marker-free. In previous work, we showed that the targeting efficiency is highly increased in the lig4Δ strain using the conventional way to delete genes in C. glabrata. Using the CRISPR-Cas9 system in this strain, the percentage of correct transformants is consistently higher compared to the wild-type strain. This indicates that using the lig4 mutant as such is already a strong

  16. The Impact of Chromatin Dynamics on Cas9-Mediated Genome Editing in Human Cells.

    PubMed

    Daer, René M; Cutts, Josh P; Brafman, David A; Haynes, Karmella A

    2017-03-17

    In order to efficiently edit eukaryotic genomes, it is critical to test the impact of chromatin dynamics on CRISPR/Cas9 function and develop strategies to adapt the system to eukaryotic contexts. So far, research has extensively characterized the relationship between the CRISPR endonuclease Cas9 and the composition of the RNA-DNA duplex that mediates the system's precision. Evidence suggests that chromatin modifications and DNA packaging can block eukaryotic genome editing by custom-built DNA endonucleases like Cas9; however, the underlying mechanism of Cas9 inhibition is unclear. Here, we demonstrate that closed, gene-silencing-associated chromatin is a mechanism for the interference of Cas9-mediated DNA editing. Our assays use a transgenic cell line with a drug-inducible switch to control chromatin states (open and closed) at a single genomic locus. We show that closed chromatin inhibits binding and editing at specific target sites and that artificial reversal of the silenced state restores editing efficiency. These results provide new insights to improve Cas9-mediated editing in human and other mammalian cells.

  17. Beyond editing: repurposing CRISPR-Cas9 for precision genome regulation and interrogation.

    PubMed

    Dominguez, Antonia A; Lim, Wendell A; Qi, Lei S

    2016-01-01

    The bacterial CRISPR-Cas9 system has emerged as a multifunctional platform for sequence-specific regulation of gene expression. This Review describes the development of technologies based on nuclease-deactivated Cas9, termed dCas9, for RNA-guided genomic transcription regulation, both by repression through CRISPR interference (CRISPRi) and by activation through CRISPR activation (CRISPRa). We highlight different uses in diverse organisms, including bacterial and eukaryotic cells, and summarize current applications of harnessing CRISPR-dCas9 for multiplexed, inducible gene regulation, genome-wide screens and cell fate engineering. We also provide a perspective on future developments of the technology and its applications in biomedical research and clinical studies.

  18. Application of CRISPR/Cas9 genome editing to the study and treatment of disease.

    PubMed

    Pellagatti, Andrea; Dolatshad, Hamid; Valletta, Simona; Boultwood, Jacqueline

    2015-07-01

    CRISPR/Cas is a microbial adaptive immune system that uses RNA-guided nucleases to cleave foreign genetic elements. The CRISPR/Cas9 method has been engineered from the type II prokaryotic CRISPR system and uses a single-guide RNA to target the Cas9 nuclease to a specific genomic sequence. Cas9 induces double-stranded DNA breaks which are repaired either by imperfect non-homologous end joining to generate insertions or deletions (indels) or, if a repair template is provided, by homology-directed repair. Due to its specificity, simplicity and versatility, the CRISPR/Cas9 system has recently emerged as a powerful tool for genome engineering in various species. This technology can be used to investigate the function of a gene of interest or to correct gene mutations in cells via genome editing, paving the way for future gene therapy approaches. Improvements to the efficiency of CRISPR repair, in particular to increase the rate of gene correction and to reduce undesired off-target effects, and the development of more effective delivery methods will be required for its broad therapeutic application.

  19. Optimized paired-sgRNA/Cas9 cloning and expression cassette triggers high-efficiency multiplex genome editing in kiwifruit.

    PubMed

    Wang, Zupeng; Wang, Shuaibin; Li, Dawei; Zhang, Qiong; Li, Li; Zhong, Caihong; Liu, Yifei; Huang, Hongwen

    2018-01-13

    Kiwifruit is an important fruit crop; however, technologies for its functional genomic and molecular improvement are limited. The clustered regulatory interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein (Cas) system has been successfully applied to genetic improvement in many crops, but its editing capability is variable depending on the different combinations of the synthetic guide RNA (sgRNA) and Cas9 protein expression devices. Optimizing conditions for its use within a particular species is therefore needed to achieve highly efficient genome editing. In this study, we developed a new cloning strategy for generating paired-sgRNA/Cas9 vectors containing four sgRNAs targeting the kiwifruit phytoene desaturase gene (AcPDS). Comparing to the previous method of paired-sgRNA cloning, our strategy only requires the synthesis of two gRNA-containing primers which largely reduces the cost. We further compared efficiencies of paired-sgRNA/Cas9 vectors containing different sgRNA expression devices, including both the polycistronic tRNA-sgRNA cassette (PTG) and the traditional CRISPR expression cassette. We found the mutagenesis frequency of the PTG/Cas9 system was 10-fold higher than that of the CRISPR/Cas9 system, coinciding with the relative expressions of sgRNAs in two different expression cassettes. In particular, we identified large chromosomal fragment deletions induced by the paired-sgRNAs of the PTG/Cas9 system. Finally, as expected, we found both systems can successfully induce the albino phenotype of kiwifruit plantlets regenerated from the G418-resistance callus lines. We conclude that the PTG/Cas9 system is a more powerful system than the traditional CRISPR/Cas9 system for kiwifruit genome editing, which provides valuable clues for optimizing CRISPR/Cas9 editing system in other plants. © 2018 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons

  20. CRISPR/Cas9-Assisted Transformation-Efficient Reaction (CRATER) for Near-Perfect Selective Transformation

    NASA Technical Reports Server (NTRS)

    Rothschild, Lynn J.; Greenberg, Daniel T.; Takahashi, Jack R.; Thompson, Kirsten A.; Maheshwari, Akshay J.; Kent, Ryan E.; McCutcheon, Griffin; Shih, Joseph D.; Calvet, Charles; Devlin, Tyler D.; hide

    2015-01-01

    The CRISPR (Clustered, Regularly Interspaced, Short Palindromic Repeats)/Cas9 system has revolutionized genome editing by providing unprecedented DNA-targeting specificity. Here we demonstrate that this system can be also applied in vitro to fundamental cloning steps to facilitate efficient plasmid selection for transformation and selective gene insertion into plasmid vectors by cleaving unwanted plasmid byproducts with a single-guide RNA (sgRNA)-Cas9 nuclease complex. Using fluorescent and chromogenic proteins as reporters, we demonstrate that CRISPR/Cas9 cleavage excludes multiple plasmids as well as unwanted ligation byproducts resulting in an unprecedented increase in the transformation success rate from approximately 20% to nearly 100%. Thus, this CRISPR/Cas9-Assisted Transformation-Efficient Reaction (CRATER) protocol is a novel, inexpensive, and convenient application to conventional molecular cloning to achieve near-perfect selective transformation.

  1. [Construction of Rev-erbβ gene knockout HEK293 cell line with CRISPR/Cas9 system].

    PubMed

    Chen, Fang; Zhang, Weifeng; Zhao, Junli; Yang, Peiyan; Ma, Rui; Xia, Haibin

    2016-11-01

    Objective To prepare Rev-erbβ knockout HEK293 cells using clustered regularly interspaced short palindromic repeats/Cas 9 nuclease (CRISPR/Cas9) gene editing technology. Methods The knock-in or knockout of Rev-erbβ gene could be realized by single-guide RNA (sgRNA)-mediated Cas9 cutting of target DNA, and followed by DNA homologous recombination or non-homologous end joining-mediated DNA repair. Firstly, four sgRNAs were designed for Rev-erbβ gene. The sgRNA1 and sgRNA2 with the higher activity were respectively used to construct pCMV-hCas9-U6-Rev-erbβ sgRNA1 and pCMV-hCas9-U6-Rev-erbβ sgRNA2. Then, pCMV-hCas9-U6-Rev-erbβ sgRNA1, pCMV-hCas9-U6-Rev-erbβ sgRNA2 and pAd5-E1/hRev-erbβ donor plasmid vectors were co-transfected into HEK293 cells. Through drug screening, cloning and sequencing, the Rev-erbβ gene-knockout HEK293 (Rev-erbβ -/- ) cell lines were obtained with one chain integrated with exogenous gene fragment and the other chain for deletion mutants. Finally, the HEK293 (Rev-erbβ -/- ) cell lines (C3-6) was detected with real-time quantitative PCR and Western blotting. Results Expression of Rev-erbβ mRNA and protein was undetectable in HEK293 Rev-erbβ -/- cell line. Conclusion Using CRISPR/Cas9 technology, the HEK293 Rev-erbβ -/- cell line has been successfully constructed, which would provide an effective tool for the study on the function of Rev-erbβ.

  2. Generation of gene-modified goats targeting MSTN and FGF5 via zygote injection of CRISPR/Cas9 system

    PubMed Central

    Wang, Xiaolong; Yu, Honghao; Lei, Anmin; Zhou, Jiankui; Zeng, Wenxian; Zhu, Haijing; Dong, Zhiming; Niu, Yiyuan; Shi, Bingbo; Cai, Bei; Liu, Jinwang; Huang, Shuai; Yan, Hailong; Zhao, Xiaoe; Zhou, Guangxian; He, Xiaoling; Chen, Xiaoxu; Yang, Yuxin; Jiang, Yu; Shi, Lei; Tian, Xiue; Wang, Yongjun; Ma, Baohua; Huang, Xingxu; Qu, Lei; Chen, Yulin

    2015-01-01

    Recent advances in the study of the CRISPR/Cas9 system have provided a precise and versatile approach for genome editing in various species. However, the applicability and efficiency of this method in large animal models, such as the goat, have not been extensively studied. Here, by co-injection of one-cell stage embryos with Cas9 mRNA and sgRNAs targeting two functional genes (MSTN and FGF5), we successfully produced gene-modified goats with either one or both genes disrupted. The targeting efficiency of MSTN and FGF5 in cultured primary fibroblasts was as high as 60%, while the efficiency of disrupting MSTN and FGF5 in 98 tested animals was 15% and 21% respectively, and 10% for double gene modifications. The on- and off-target mutations of the target genes in fibroblasts, as well as in somatic tissues and testis of founder and dead animals, were carefully analyzed. The results showed that simultaneous editing of several sites was achieved in large animals, demonstrating that the CRISPR/Cas9 system has the potential to become a robust and efficient gene engineering tool in farm animals, and therefore will be critically important and applicable for breeding. PMID:26354037

  3. Clean Air Slots Amid Dense Atmospheric Pollution in Southern Africa

    NASA Technical Reports Server (NTRS)

    Hobbs, Peter V.

    2003-01-01

    During the flights of the University of Washington's Convair-580 in the Southern African Regional Science Initiative (SAFARI 2000) in southern Africa, a phenomenon was observed that has not been reported previously. This was the occurrence of thin layers of remarkably clean air, sandwiched between heavily polluted air, which persisted for many hours during the day. Photographs are shown of these clean air slots (CAS), and particle concentrations and light scattering coefficients in and around such slot are presented. An explanation is proposed for the propensity of CAS to form in southern Africa during the dry season.

  4. Generation and Inheritance of Targeted Mutations in Potato (Solanum tuberosum L.) Using the CRISPR/Cas System

    PubMed Central

    Butler, Nathaniel M.; Atkins, Paul A.; Voytas, Daniel F.; Douches, David S.

    2015-01-01

    Genome editing using sequence-specific nucleases (SSNs) offers an alternative approach to conventional genetic engineering and an opportunity to extend the benefits of genetic engineering in agriculture. Currently available SSN platforms, such as zinc finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs), and CRISPR/Cas (clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated systems (Cas)) have been used in a range of plant species for targeted mutagenesis via non-homologous end joining (NHEJ) are just beginning to be explored in crops such as potato (Solanum tuberosum Group Tuberosum L.). In this study, CRISPR/Cas reagents expressing one of two single-guide RNA (sgRNA) targeting the potato ACETOLACTATE SYNTHASE1 (StALS1) gene were tested for inducing targeted mutations in callus and stable events of diploid and tetraploid potato using Agrobacterium-mediated transformation with either a conventional T-DNA or a modified geminivirus T-DNA. The percentage of primary events with targeted mutations ranged from 3–60% per transformation and from 0–29% above an expected threshold based on the number of ALS alleles. Primary events with targeted mutation frequencies above the expected threshold were used for mutation cloning and inheritance studies using clonal propagation and crosses or selfing. Four of the nine primary events used for mutation cloning had more than one mutation type, and eight primary events contained targeted mutations that were maintained across clonal generations. Somatic mutations were most evident in the diploid background with three of the four primary events having more than two mutation types at a single ALS locus. Conversely, in the tetraploid background, four of the five candidates carried only one mutation type. Single targeted mutations were inherited through the germline of both diploid and tetraploid primary events with transmission percentages ranging from 87–100%. This

  5. Generation and Inheritance of Targeted Mutations in Potato (Solanum tuberosum L.) Using the CRISPR/Cas System.

    PubMed

    Butler, Nathaniel M; Atkins, Paul A; Voytas, Daniel F; Douches, David S

    2015-01-01

    Genome editing using sequence-specific nucleases (SSNs) offers an alternative approach to conventional genetic engineering and an opportunity to extend the benefits of genetic engineering in agriculture. Currently available SSN platforms, such as zinc finger nucleases (ZFNs), transcription activator-like effector nucleases (TALENs), and CRISPR/Cas (clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated systems (Cas)) have been used in a range of plant species for targeted mutagenesis via non-homologous end joining (NHEJ) are just beginning to be explored in crops such as potato (Solanum tuberosum Group Tuberosum L.). In this study, CRISPR/Cas reagents expressing one of two single-guide RNA (sgRNA) targeting the potato ACETOLACTATE SYNTHASE1 (StALS1) gene were tested for inducing targeted mutations in callus and stable events of diploid and tetraploid potato using Agrobacterium-mediated transformation with either a conventional T-DNA or a modified geminivirus T-DNA. The percentage of primary events with targeted mutations ranged from 3-60% per transformation and from 0-29% above an expected threshold based on the number of ALS alleles. Primary events with targeted mutation frequencies above the expected threshold were used for mutation cloning and inheritance studies using clonal propagation and crosses or selfing. Four of the nine primary events used for mutation cloning had more than one mutation type, and eight primary events contained targeted mutations that were maintained across clonal generations. Somatic mutations were most evident in the diploid background with three of the four primary events having more than two mutation types at a single ALS locus. Conversely, in the tetraploid background, four of the five candidates carried only one mutation type. Single targeted mutations were inherited through the germline of both diploid and tetraploid primary events with transmission percentages ranging from 87-100%. This demonstration

  6. CRISPR/Cas9-Based Multiplex Genome Editing in Monocot and Dicot Plants.

    PubMed

    Ma, Xingliang; Liu, Yao-Guang

    2016-07-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9-mediated genome targeting system has been applied to a variety of organisms, including plants. Compared to other genome-targeting technologies such as zinc-finger nucleases (ZFNs) and transcription activator-like effector nucleases (TALENs), the CRISPR/Cas9 system is easier to use and has much higher editing efficiency. In addition, multiple "single guide RNAs" (sgRNAs) with different target sequences can be designed to direct the Cas9 protein to multiple genomic sites for simultaneous multiplex editing. Here, we present a procedure for highly efficient multiplex genome targeting in monocot and dicot plants using a versatile and robust CRISPR/Cas9 vector system, emphasizing the construction of binary constructs with multiple sgRNA expression cassettes in one round of cloning using Golden Gate ligation. We also describe the genotyping of targeted mutations in transgenic plants by direct Sanger sequencing followed by decoding of superimposed sequencing chromatograms containing biallelic or heterozygous mutations using the Web-based tool DSDecode. © 2016 by John Wiley & Sons, Inc. Copyright © 2016 John Wiley & Sons, Inc.

  7. Off-target Effects in CRISPR/Cas9-mediated Genome Engineering

    PubMed Central

    Zhang, Xiao-Hui; Tee, Louis Y; Wang, Xiao-Gang; Huang, Qun-Shan; Yang, Shi-Hua

    2015-01-01

    CRISPR/Cas9 is a versatile genome-editing technology that is widely used for studying the functionality of genetic elements, creating genetically modified organisms as well as preclinical research of genetic disorders. However, the high frequency of off-target activity (≥50%)—RGEN (RNA-guided endonuclease)-induced mutations at sites other than the intended on-target site—is one major concern, especially for therapeutic and clinical applications. Here, we review the basic mechanisms underlying off-target cutting in the CRISPR/Cas9 system, methods for detecting off-target mutations, and strategies for minimizing off-target cleavage. The improvement off-target specificity in the CRISPR/Cas9 system will provide solid genotype–phenotype correlations, and thus enable faithful interpretation of genome-editing data, which will certainly facilitate the basic and clinical application of this technology. PMID:26575098

  8. [CAS General Standards 2012

    ERIC Educational Resources Information Center

    Council for the Advancement of Standards in Higher Education, 2011

    2011-01-01

    The mission of the Council for the Advancement of Standards in Higher Education (CAS) is to promote the improvement of programs and services to enhance the quality of student learning and development. CAS is a consortium of professional associations who work collaboratively to develop and promulgate standards and guidelines and to encourage…

  9. Period variations of Algol-type eclipsing binaries AD And, TWCas and IV Cas

    NASA Astrophysics Data System (ADS)

    Parimucha, Štefan; Gajdoš, Pavol; Kudak, Viktor; Fedurco, Miroslav; Vaňko, Martin

    2018-04-01

    We present new analyses of variations in O – C diagrams of three Algol-type eclipsing binary stars: AD And, TW Cas and IV Cas. We have used all published minima times (including visual and photographic) as well as newly determined ones from our and SuperWasp observations. We determined orbital parameters of 3rd bodies in the systems with statistically significant errors, using our code based on genetic algorithms and Markov chain Monte Carlo simulations. We confirmed the multiple nature of AD And and the triple-star model of TW Cas, and we proposed a quadruple-star model of IV Cas.

  10. The use of CRISPR/Cas associated technologies for cell transplant applications.

    PubMed

    Cowan, Peter J

    2016-10-01

    In this review, I will summarize recent developments in the use of the clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (Cas9) genome editing system for cell transplant applications, ranging from transplantation of corrected autologous patient stem cells to treat inherited diseases, to the tailoring of donor pigs for cell xenotransplantation. Rational engineering of the Cas9 nuclease to improve its specificity will also be discussed. Over the past year, CRISPR/Cas9 has been used in preclinical studies to correct mutations in a rapidly increasing spectrum of diseases including hematological, neuromuscular, and respiratory disorders. The growing popularity of CRISPR/Cas9 over earlier genome editing platforms is partly due to its ease of use and flexibility, which is evident from the success of complex manipulations such as specific deletion of up to 725 kb in patient-derived stem cells, and simultaneous disruption of up to 62 endogenous retrovirus loci in pig cells. In addition, high-fidelity variants of Cas9 with greatly increased specificity are now available. CRISPR/Cas9 is a fast-evolving technology that is likely to have a significant impact on autologous, allogeneic, and xenogeneic cell transplantation.

  11. CRISPR/Cas9 in insects: Applications, best practices and biosafety concerns.

    PubMed

    Taning, Clauvis Nji Tizi; Van Eynde, Benigna; Yu, Na; Ma, Sanyuan; Smagghe, Guy

    2017-04-01

    Discovered as a bacterial adaptive immune system, CRISPR/Cas9 (clustered, regularly interspaced, short palindromic repeat/CRISPR associated) is being developed as an attractive tool in genome editing. Due to its high specificity and applicability, CRISPR/Cas9-mediated gene editing has been employed in a multitude of organisms and cells, including insects, for not only fundamental research such as gene function studies, but also applied research such as modification of organisms of economic importance. Despite the rapid increase in the use of CRISPR in insect genome editing, results still differ from each study, principally due to existing differences in experimental parameters, such as the Cas9 and guide RNA form, the delivery method, the target gene and off-target effects. Here, we review current reports on the successes of CRISPR/Cas9 applications in diverse insects and insect cells. We furthermore summarize several best practices to give a useful checklist of CRISPR/Cas9 experimental setup in insects for beginners. Lastly, we discuss the biosafety concerns related to the release of CRISPR/Cas9-edited insects into the environment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. Air ejector augmented compressed air energy storage system

    DOEpatents

    Ahrens, F.W.; Kartsounes, G.T.

    Energy is stored in slack demand periods by charging a plurality of underground reservoirs with air to the same peak storage pressure, during peak demand periods throttling the air from one storage reservoir into a gas turbine system at a constant inlet pressure until the air presure in the reservoir falls to said constant inlet pressure, thereupon permitting air in a second reservoir to flow into said gas turbine system while drawing air from the first reservoir through a variable geometry air ejector and adjusting said variable geometry air ejector, said air flow being essentially at the constant inlet pressure of the gas turbine system.

  13. Air ejector augmented compressed air energy storage system

    DOEpatents

    Ahrens, Frederick W.; Kartsounes, George T.

    1980-01-01

    Energy is stored in slack demand periods by charging a plurality of underground reservoirs with air to the same peak storage pressure, during peak demand periods throttling the air from one storage reservoir into a gas turbine system at a constant inlet pressure until the air pressure in the reservoir falls to said constant inlet pressure, thereupon permitting air in a second reservoir to flow into said gas turbine system while drawing air from the first reservoir through a variable geometry air ejector and adjusting said variable geometry air ejector, said air flow being essentially at the constant inlet pressure of the gas turbine system.

  14. Mutagenesis of FAD2 genes in peanut with CRISPR/Cas9

    USDA-ARS?s Scientific Manuscript database

    The CRISPR/Cas9 system is known for its precise and efficient gene-editing of a targeted region in a variety of organisms including plants. We targeted FAD2 gene region to perform CRISPR/Cas9 gene-editing in peanut. The FAD2 gene encodes fatty acid desaturase which catalyzes the conversion of oleic ...

  15. Baculoviral delivery of CRISPR/Cas9 facilitates efficient genome editing in human cells

    PubMed Central

    Hindriksen, Sanne; Bramer, Arne J.; Truong, My Anh; Vromans, Martijn J. M.; Post, Jasmin B.; Verlaan-Klink, Ingrid; Snippert, Hugo J.; Lens, Susanne M. A.

    2017-01-01

    The CRISPR/Cas9 system is a highly effective tool for genome editing. Key to robust genome editing is the efficient delivery of the CRISPR/Cas9 machinery. Viral delivery systems are efficient vehicles for the transduction of foreign genes but commonly used viral vectors suffer from a limited capacity in the genetic information they can carry. Baculovirus however is capable of carrying large exogenous DNA fragments. Here we investigate the use of baculoviral vectors as a delivery vehicle for CRISPR/Cas9 based genome-editing tools. We demonstrate transduction of a panel of cell lines with Cas9 and an sgRNA sequence, which results in efficient knockout of all four targeted subunits of the chromosomal passenger complex (CPC). We further show that introduction of a homology directed repair template into the same CRISPR/Cas9 baculovirus facilitates introduction of specific point mutations and endogenous gene tags. Tagging of the CPC recruitment factor Haspin with the fluorescent reporter YFP allowed us to study its native localization as well as recruitment to the cohesin subunit Pds5B. PMID:28640891

  16. Primary Airway Epithelial Cell Gene Editing Using CRISPR-Cas9.

    PubMed

    Everman, Jamie L; Rios, Cydney; Seibold, Max A

    2018-01-01

    The adaptation of the clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR associated endonuclease 9 (CRISPR-Cas9) machinery from prokaryotic organisms has resulted in a gene editing system that is highly versatile, easily constructed, and can be leveraged to generate human cells knocked out (KO) for a specific gene. While standard transfection techniques can be used for the introduction of CRISPR-Cas9 expression cassettes to many cell types, delivery by this method is not efficient in many primary cell types, including primary human airway epithelial cells (AECs). More efficient delivery in AECs can be achieved through lentiviral-mediated transduction, allowing the CRISPR-Cas9 system to be integrated into the genome of the cell, resulting in stable expression of the nuclease machinery and increasing editing rates. In parallel, advancements have been made in the culture, expansion, selection, and differentiation of AECs, which allow the robust generation of a bulk edited AEC population from transduced cells. Applying these methods, we detail here our latest protocol to generate mucociliary epithelial cultures knocked out for a specific gene from donor-isolated primary human basal airway epithelial cells. This protocol includes methods to: (1) design and generate lentivirus which targets a specific gene for KO with CRISPR-Cas9 machinery, (2) efficiently transduce AECs, (3) culture and select for a bulk edited AEC population, (4) molecularly screen AECs for Cas9 cutting and specific sequence edits, and (5) further expand and differentiate edited cells to a mucociliary airway epithelial culture. The AEC knockouts generated using this protocol provide an excellent primary cell model system with which to characterize the function of genes involved in airway dysfunction and disease.

  17. Split Cas9, Not Hairs - Advancing the Therapeutic Index of CRISPR Technology.

    PubMed

    Schmelas, Carolin; Grimm, Dirk

    2018-01-05

    The discovery that the bacterial CRISPR/Cas9 system can be translated into mammalian cells continues to have an unprecedented impact on the biomedical research community, as it largely facilitates efforts to experimentally interrogate or therapeutically modify the cellular genome. In particular, CRISPR promises the ability to correct disease-associated genetic defects, or to target and destroy invading foreign DNA, in a simple, efficient, and selective manner directly in affected human cells or tissues. Here, we highlight a set of exciting new strategies that aim at further increasing the therapeutic index of CRISPR technologies, by reducing the size of Cas9 expression cassettes and thus enhancing their compatibility with viral gene delivery vectors. Specifically, we discuss the concept of splitCas9 whereby the Cas9 holo-protein is segregated into two parts that are expressed individually and reunited in the cell by various means, including use of 1) the gRNA as a scaffold for Cas9 assembly; 2) the rapamycin-controlled FKBP/FRB system; 3) the light-regulated Magnet system; or 4) inteins. We describe how these avenues, despite pursuing the identical aim, differ in critical features comprising the extent of spatio-temporal control of CRISPR activity, and discuss additional improvements to their efficiency or specificity that should foster their clinical translation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. A CRISPR/Cas9 and Cre/Lox system-based express vaccine development strategy against re-emerging Pseudorabies virus.

    PubMed

    Liang, Xun; Sun, Leqiang; Yu, Teng; Pan, Yongfei; Wang, Dongdong; Hu, Xueying; Fu, Zhenfang; He, Qigai; Cao, Gang

    2016-01-18

    Virus evolves rapidly to escape vaccine-induced immunity, posing a desperate demand for efficient vaccine development biotechnologies. Here we present an express vaccine development strategy based on CRISPR/Cas9 and Cre/Lox system against re-emerging Pseudorabies virus, which caused the recent devastating swine pseudorabies outbreak in China. By CRISPR/Cas9 system, the virulent genes of the newly isolated strain were simultaneously substituted by marker genes, which were subsequently excised using Cre/Lox system for vaccine safety concern. Notably, single cell FACS technology was applied to further promote virus purification efficiency. The combination of these state-of-art technologies greatly accelerated vaccine development. Finally, vaccination and challenge experiments proved this vaccine candidate's protective efficacy in pigs and the promise to control current pseudorabies outbreak. This is, to our knowledge, the first successful vaccine development based on gene edit technologies, demonstrating these technologies leap from laboratory to industry. It may pave the way for future express antiviral vaccine development.

  19. A CRISPR/Cas9 and Cre/Lox system-based express vaccine development strategy against re-emerging Pseudorabies virus

    PubMed Central

    Liang, Xun; Sun, Leqiang; Yu, Teng; Pan, Yongfei; Wang, Dongdong; Hu, Xueying; Fu, Zhenfang; He, Qigai; Cao, Gang

    2016-01-01

    Virus evolves rapidly to escape vaccine-induced immunity, posing a desperate demand for efficient vaccine development biotechnologies. Here we present an express vaccine development strategy based on CRISPR/Cas9 and Cre/Lox system against re-emerging Pseudorabies virus, which caused the recent devastating swine pseudorabies outbreak in China. By CRISPR/Cas9 system, the virulent genes of the newly isolated strain were simultaneously substituted by marker genes, which were subsequently excised using Cre/Lox system for vaccine safety concern. Notably, single cell FACS technology was applied to further promote virus purification efficiency. The combination of these state-of-art technologies greatly accelerated vaccine development. Finally, vaccination and challenge experiments proved this vaccine candidate’s protective efficacy in pigs and the promise to control current pseudorabies outbreak. This is, to our knowledge, the first successful vaccine development based on gene edit technologies, demonstrating these technologies leap from laboratory to industry. It may pave the way for future express antiviral vaccine development. PMID:26777545

  20. Beyond editing: repurposing CRISPR–Cas9 for precision genome regulation and interrogation

    PubMed Central

    Dominguez, Antonia A.; Lim, Wendell A.; Qi, Lei S.

    2016-01-01

    The bacterial CRISPR–Cas9 system has emerged as a multifunctional platform for sequence-specific regulation of gene expression. This Review describes the development of technologies based on nuclease-deactivated Cas9, termed dCas9, for RNA-guided genomic transcription regulation, both by repression through CRISPR interference (CRISPRi) and by activation through CRISPR activation (CRISPRa). We highlight different uses in diverse organisms, including bacterial and eukaryotic cells, and summarize current applications of harnessing CRISPR–dCas9 for multiplexed, inducible gene regulation, genome-wide screens and cell fate engineering. We also provide a perspective on future developments of the technology and its applications in biomedical research and clinical studies. PMID:26670017

  1. CRISPRscan: designing highly efficient sgRNAs for CRISPR/Cas9 targeting in vivo

    PubMed Central

    Moreno-Mateos, Miguel A.; Vejnar, Charles E.; Beaudoin, Jean-Denis; Fernandez, Juan P.; Mis, Emily K.; Khokha, Mustafa K.; Giraldez, Antonio J.

    2015-01-01

    CRISPR/Cas9 technology provides a powerful system for genome engineering. However, variable activity across different single guide RNAs (sgRNAs) remains a significant limitation. We have analyzed the molecular features that influence sgRNA stability, activity and loading into Cas9 in vivo. We observe that guanine enrichment and adenine depletion increase sgRNA stability and activity, while loading, nucleosome positioning and Cas9 off-target binding are not major determinants. We additionally identified truncated and 5′ mismatch-containing sgRNAs as efficient alternatives to canonical sgRNAs. Based on these results, we created a predictive sgRNA-scoring algorithm (CRISPRscan.org) that effectively captures the sequence features affecting Cas9/sgRNA activity in vivo. Finally, we show that targeting Cas9 to the germ line using a Cas9-nanos-3′-UTR fusion can generate maternal-zygotic mutants, increase viability and reduce somatic mutations. Together, these results provide novel insights into the determinants that influence Cas9 activity and a framework to identify highly efficient sgRNAs for genome targeting in vivo. PMID:26322839

  2. Suppression of HBV replication by the expression of nickase- and nuclease dead-Cas9.

    PubMed

    Kurihara, Takeshi; Fukuhara, Takasuke; Ono, Chikako; Yamamoto, Satomi; Uemura, Kentaro; Okamoto, Toru; Sugiyama, Masaya; Motooka, Daisuke; Nakamura, Shota; Ikawa, Masato; Mizokami, Masashi; Maehara, Yoshihiko; Matsuura, Yoshiharu

    2017-07-21

    Complete removal of hepatitis B virus (HBV) DNA from nuclei is difficult by the current therapies. Recent reports have shown that a novel genome-editing tool using Cas9 with a single-guide RNA (sgRNA) system can cleave the HBV genome in vitro and in vivo. However, induction of a double-strand break (DSB) on the targeted genome by Cas9 risks undesirable off-target cleavage on the host genome. Nickase-Cas9 cleaves a single strand of DNA, and thereby two sgRNAs are required for inducing DSBs. To avoid Cas9-induced off-target mutagenesis, we examined the effects of the expressions of nickase-Cas9 and nuclease dead Cas9 (d-Cas9) with sgRNAs on HBV replication. The expression of nickase-Cas9 with a pair of sgRNAs cleaved the target HBV genome and suppressed the viral-protein expression and HBV replication in vitro. Moreover, nickase-Cas9 with the sgRNA pair cleaved the targeted HBV genome in mouse liver. Interestingly, d-Cas9 expression with the sgRNAs also suppressed HBV replication in vitro without cleaving the HBV genome. These results suggest the possible use of nickase-Cas9 and d-Cas9 with a pair of sgRNAs for eliminating HBV DNA from the livers of chronic hepatitis B patients with low risk of undesirable off-target mutation on the host genome.

  3. Spermatogenic Cell-Specific Gene Mutation in Mice via CRISPR-Cas9.

    PubMed

    Bai, Meizhu; Liang, Dan; Wang, Yinghua; Li, Qing; Wu, Yuxuan; Li, Jinsong

    2016-05-20

    Tissue-specific knockout technology enables the analysis of the gene function in specific tissues in adult mammals. However, conventional strategy for producing tissue-specific knockout mice is a time- and labor-consuming process, restricting rapid study of the gene function in vivo. CRISPR-Cas9 system from bacteria is a simple and efficient gene-editing technique, which has enabled rapid generation of gene knockout lines in mouse by direct injection of CRISPR-Cas9 into zygotes. Here, we demonstrate CRISPR-Cas9-mediated spermatogenic cell-specific disruption of Scp3 gene in testes in one step. We first generated transgenic mice by pronuclear injection of a plasmid containing Hspa2 promoter driving Cas9 expression and showed Cas9 specific expression in spermatogenic cells. We then produced transgenic mice carrying Hspa2 promoter driven Cas9 and constitutive expressed sgRNA targeting Scp3 gene. Male founders were infertile due to developmental arrest of spermatogenic cells while female founders could produce progeny normally. Consistently, male progeny from female founders were infertile and females could transmit the transgenes to the next generation. Our study establishes a CRISPR-Cas9-based one-step strategy to analyze the gene function in adult tissues by a temporal-spatial pattern. Copyright © 2016 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  4. Cas13d Is a Compact RNA-Targeting Type VI CRISPR Effector Positively Modulated by a WYL-Domain-Containing Accessory Protein.

    PubMed

    Yan, Winston X; Chong, Shaorong; Zhang, Huaibin; Makarova, Kira S; Koonin, Eugene V; Cheng, David R; Scott, David A

    2018-04-19

    Bacterial class 2 CRISPR-Cas systems utilize a single RNA-guided protein effector to mitigate viral infection. We aggregated genomic data from multiple sources and constructed an expanded database of predicted class 2 CRISPR-Cas systems. A search for novel RNA-targeting systems identified subtype VI-D, encoding dual HEPN domain-containing Cas13d effectors and putative WYL-domain-containing accessory proteins (WYL1 and WYL-b1 through WYL-b5). The median size of Cas13d proteins is 190 to 300 aa smaller than that of Cas13a-Cas13c. Despite their small size, Cas13d orthologs from Eubacterium siraeum (Es) and Ruminococcus sp. (Rsp) are active in both CRISPR RNA processing and targeting, as well as collateral RNA cleavage, with no target-flanking sequence requirements. The RspWYL1 protein stimulates RNA cleavage by both EsCas13d and RspCas13d, demonstrating a common regulatory mechanism for divergent Cas13d orthologs. The small size, minimal targeting constraints, and modular regulation of Cas13d effectors further expands the CRISPR toolkit for RNA manipulation and detection. Copyright © 2018 Elsevier Inc. All rights reserved.

  5. CRISPR-Cas encoding of a digital movie into the genomes of a population of living bacteria.

    PubMed

    Shipman, Seth L; Nivala, Jeff; Macklis, Jeffrey D; Church, George M

    2017-07-20

    DNA is an excellent medium for archiving data. Recent efforts have illustrated the potential for information storage in DNA using synthesized oligonucleotides assembled in vitro. A relatively unexplored avenue of information storage in DNA is the ability to write information into the genome of a living cell by the addition of nucleotides over time. Using the Cas1-Cas2 integrase, the CRISPR-Cas microbial immune system stores the nucleotide content of invading viruses to confer adaptive immunity. When harnessed, this system has the potential to write arbitrary information into the genome. Here we use the CRISPR-Cas system to encode the pixel values of black and white images and a short movie into the genomes of a population of living bacteria. In doing so, we push the technical limits of this information storage system and optimize strategies to minimize those limitations. We also uncover underlying principles of the CRISPR-Cas adaptation system, including sequence determinants of spacer acquisition that are relevant for understanding both the basic biology of bacterial adaptation and its technological applications. This work demonstrates that this system can capture and stably store practical amounts of real data within the genomes of populations of living cells.

  6. FDA Regulation of Clinical Applications of CRISPR-CAS Gene-Editing Technology.

    PubMed

    Grant, Evita V

    Scientists have repurposed an adaptive immune system of single cell organisms to create a new type of gene-editing tool: CRISPR (clustered regularly interspaced short palindromic repeats)-Cas technology. Scientists in China have reported its use in the genome modification of non-viable human embryos. This has ignited a spirited debate about the moral, ethical, scientific, and social implications of human germline genome engineering. There have also been calls for regulations; however, FDA has yet to formally announce its oversight of clinical applications of CRISPR-Cas systems. This paper reviews FDA regulation of previously controversial biotechnology breakthroughs, recombinant DNA and human cloning. It then shows that FDA is well positioned to regulate CRISPR-Cas clinical applications, due to its legislative mandates, its existing regulatory frameworks for gene therapies and assisted reproductive technologies, and other considerations.

  7. The therapeutic application of CRISPR/Cas9 technologies for HIV

    PubMed Central

    Saayman, Sheena; Ali, Stuart A.; Morris, Kevin V.; Weinberg, Marc S.

    2015-01-01

    Introduction The use of antiretroviral therapy (ART) has led to a significant decrease in morbidity and mortality in HIV-infected individuals. Nevertheless gene-based therapies represent a promising therapeutic paradigm for HIV-1, as they have the potential for sustained viral inhibition and reduced treatment interventions. One new method amendable to a gene-based therapy is the clustered regularly interspaced palindromic repeats (CRISPR)/Cas9 gene editing system. Areas covered CRISPR/Cas9 can be engineered to successfully modulate an array of disease-causing genetic elements. We discuss the diverse roles that CRISPR/Cas9 may play in targeting HIV and eradicating infection. The Cas9 nuclease coupled with one or more small guide RNAs (sgRNAs) can target the provirus to mediate excision of the integrated viral genome. Moreover, a modified nuclease deficient Cas9 fused to transcription activating domains may induce targeted activation of proviral gene expression allowing for the purging of the latent reservoirs. These technologies can also be exploited to target host dependency factors such as the co-receptor CCR5, thus preventing cellular entry of the virus. Expert opinion The diversity of the CRISPR/Cas9 technologies hold great promise for targeting different stages of the viral life cycle, and have the capacity for mediating an effective and sustained genetic therapy against HIV. PMID:25865334

  8. Involvement of the CasK/R two-component system in optimal unsaturation of the Bacillus cereus fatty acids during low-temperature growth.

    PubMed

    Diomandé, Sara Esther; Nguyen-the, Christophe; Abee, Tjakko; Tempelaars, Marcel H; Broussolle, Véronique; Brillard, Julien

    2015-11-20

    Bacillus cereus sensu lato is composed of a set of ubiquitous strains including human pathogens that can survive a range of food processing conditions, grow in refrigerated food, and sometimes cause food poisoning. We previously identified the two-component system CasK/R that plays a key role in cold adaptation. To better understand the CasK/R-controlled mechanisms that support low-temperature adaptation, we performed a transcriptomic analysis on the ATCC 14579 strain and its isogenic ∆casK/R mutant grown at 12°C. Several genes involved in fatty acid (FA) metabolism were downregulated in the mutant, including desA and desB encoding FA acyl-lipid desaturases that catalyze the formation of a double-bond on the FA chain in positions ∆5 and ∆10, respectively. A lower proportion of FAs presumably unsaturated by DesA was observed in the ΔcasK/R strain compared to the parental strain while no difference was found for FAs presumably unsaturated by DesB. Addition of phospholipids from egg yolk lecithin rich in unsaturated FAs, to growth medium, abolished the cold-growth impairment of ΔcasK/R suggesting that exogenous unsaturated FAs can support membrane-level modifications and thus compensate for the decreased production of these FAs in the B. cereus ∆casK/R mutant during growth at low temperature. Our findings indicate that CasK/R is involved in the regulation of FA metabolism, and is necessary for cold adaptation of B. cereus unless an exogenous source of unsaturated FAs is available. Copyright © 2015 Elsevier B.V. All rights reserved.

  9. Development of a CRISPR/Cas9 genome editing toolbox for Corynebacterium glutamicum.

    PubMed

    Liu, Jiao; Wang, Yu; Lu, Yujiao; Zheng, Ping; Sun, Jibin; Ma, Yanhe

    2017-11-16

    Corynebacterium glutamicum is an important industrial workhorse and advanced genetic engineering tools are urgently demanded. Recently, the clustered regularly interspaced short palindromic repeats (CRISPR) and their CRISPR-associated proteins (Cas) have revolutionized the field of genome engineering. The CRISPR/Cas9 system that utilizes NGG as protospacer adjacent motif (PAM) and has good targeting specificity can be developed into a powerful tool for efficient and precise genome editing of C. glutamicum. Herein, we developed a versatile CRISPR/Cas9 genome editing toolbox for C. glutamicum. Cas9 and gRNA expression cassettes were reconstituted to combat Cas9 toxicity and facilitate effective termination of gRNA transcription. Co-transformation of Cas9 and gRNA expression plasmids was exploited to overcome high-frequency mutation of cas9, allowing not only highly efficient gene deletion and insertion with plasmid-borne editing templates (efficiencies up to 60.0 and 62.5%, respectively) but also simple and time-saving operation. Furthermore, CRISPR/Cas9-mediated ssDNA recombineering was developed to precisely introduce small modifications and single-nucleotide changes into the genome of C. glutamicum with efficiencies over 80.0%. Notably, double-locus editing was also achieved in C. glutamicum. This toolbox works well in several C. glutamicum strains including the widely-used strains ATCC 13032 and ATCC 13869. In this study, we developed a CRISPR/Cas9 toolbox that could facilitate markerless gene deletion, gene insertion, precise base editing, and double-locus editing in C. glutamicum. The CRISPR/Cas9 toolbox holds promise for accelerating the engineering of C. glutamicum and advancing its application in the production of biochemicals and biofuels.

  10. No evidence of inhibition of horizontal gene transfer by CRISPR-Cas on evolutionary timescales.

    PubMed

    Gophna, Uri; Kristensen, David M; Wolf, Yuri I; Popa, Ovidiu; Drevet, Christine; Koonin, Eugene V

    2015-09-01

    The CRISPR (clustered, regularly, interspaced, short, palindromic repeats)-Cas (CRISPR-associated genes) systems of archaea and bacteria provide adaptive immunity against viruses and other selfish elements and are believed to curtail horizontal gene transfer (HGT). Limiting acquisition of new genetic material could be one of the sources of the fitness cost of CRISPR-Cas maintenance and one of the causes of the patchy distribution of CRISPR-Cas among bacteria, and across environments. We sought to test the hypothesis that the activity of CRISPR-Cas in microbes is negatively correlated with the extent of recent HGT. Using three independent measures of HGT, we found no significant dependence between the length of CRISPR arrays, which reflects the activity of the immune system, and the estimated number of recent HGT events. In contrast, we observed a significant negative dependence between the estimated extent of HGT and growth temperature of microbes, which could be explained by the lower genetic diversity in hotter environments. We hypothesize that the relevant events in the evolution of resistance to mobile elements and proclivity for HGT, to which CRISPR-Cas systems seem to substantially contribute, occur on the population scale rather than on the timescale of species evolution.

  11. CRISPR/Cas9 Technology as an Emerging Tool for Targeting Amyotrophic Lateral Sclerosis (ALS)

    PubMed Central

    Juranek, Judyta; Maksymowicz, Wojciech

    2018-01-01

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein-9 nuclease (Cas9) is a genome editing tool that has recently caught enormous attention due to its novelty, feasibility, and affordability. This system naturally functions as a defense mechanism in bacteria and has been repurposed as an RNA-guided DNA editing tool. Unlike zinc-finger nucleases (ZFNs) and transcription activator-like effector nucleases (TALENs), CRISPR/Cas9 takes advantage of an RNA-guided DNA endonuclease enzyme, Cas9, which is able to generate double-strand breaks (DSBs) at specific genomic locations. It triggers cellular endogenous DNA repair pathways, contributing to the generation of desired modifications in the genome. The ability of the system to precisely disrupt DNA sequences has opened up new avenues in our understanding of amyotrophic lateral sclerosis (ALS) pathogenesis and the development of new therapeutic approaches. In this review, we discuss the current knowledge of the principles and limitations of the CRISPR/Cas9 system, as well as strategies to improve these limitations. Furthermore, we summarize novel approaches of engaging the CRISPR/Cas9 system in establishing an adequate model of neurodegenerative disease and in the treatment of SOD1-linked forms of ALS. We also highlight possible applications of this system in the therapy of ALS, both the inherited type as well as ALS of sporadic origin. PMID:29562705

  12. CRISPR/Cas9 Technology as an Emerging Tool for Targeting Amyotrophic Lateral Sclerosis (ALS).

    PubMed

    Kruminis-Kaszkiel, Ewa; Juranek, Judyta; Maksymowicz, Wojciech; Wojtkiewicz, Joanna

    2018-03-19

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein-9 nuclease (Cas9) is a genome editing tool that has recently caught enormous attention due to its novelty, feasibility, and affordability. This system naturally functions as a defense mechanism in bacteria and has been repurposed as an RNA-guided DNA editing tool. Unlike zinc-finger nucleases (ZFNs) and transcription activator-like effector nucleases (TALENs), CRISPR/Cas9 takes advantage of an RNA-guided DNA endonuclease enzyme, Cas9, which is able to generate double-strand breaks (DSBs) at specific genomic locations. It triggers cellular endogenous DNA repair pathways, contributing to the generation of desired modifications in the genome. The ability of the system to precisely disrupt DNA sequences has opened up new avenues in our understanding of amyotrophic lateral sclerosis (ALS) pathogenesis and the development of new therapeutic approaches. In this review, we discuss the current knowledge of the principles and limitations of the CRISPR/Cas9 system, as well as strategies to improve these limitations. Furthermore, we summarize novel approaches of engaging the CRISPR/Cas9 system in establishing an adequate model of neurodegenerative disease and in the treatment of SOD1-linked forms of ALS. We also highlight possible applications of this system in the therapy of ALS, both the inherited type as well as ALS of sporadic origin.

  13. Engineering Plant Immunity: Using CRISPR/Cas9 to Generate Virus Resistance

    PubMed Central

    Zaidi, Syed Shan-e-Ali; Tashkandi, Manal; Mansoor, Shahid; Mahfouz, Magdy M.

    2016-01-01

    Plant viruses infect many economically important crops, including wheat, cotton, maize, cassava, and other vegetables. These viruses pose a serious threat to agriculture worldwide, as decreases in cropland area per capita may cause production to fall short of that required to feed the increasing world population. Under these circumstances, conventional strategies can fail to control rapidly evolving and emerging plant viruses. Genome-engineering strategies have recently emerged as promising tools to introduce desirable traits in many eukaryotic species, including plants. Among these genome engineering technologies, the CRISPR (clustered regularly interspaced palindromic repeats)/CRISPR-associated 9 (CRISPR/Cas9) system has received special interest because of its simplicity, efficiency, and reproducibility. Recent studies have used CRISPR/Cas9 to engineer virus resistance in plants, either by directly targeting and cleaving the viral genome, or by modifying the host plant genome to introduce viral immunity. Here, we briefly describe the biology of the CRISPR/Cas9 system and plant viruses, and how different genome engineering technologies have been used to target these viruses. We further describe the main findings from recent studies of CRISPR/Cas9-mediated viral interference and discuss how these findings can be applied to improve global agriculture. We conclude by pinpointing the gaps in our knowledge and the outstanding questions regarding CRISPR/Cas9-mediated viral immunity. PMID:27877187

  14. Genome editing via delivery of Cas9 ribonucleoprotein.

    PubMed

    DeWitt, Mark A; Corn, Jacob E; Carroll, Dana

    2017-05-15

    The CRISPR-Cas genome editing system is very powerful. The format of the CRISPR reagents and the means of delivery are often important factors in targeting efficiency. Delivery of recombinant Cas9 protein and guide RNA (gRNA) as a preformed ribonucleoprotein (RNP) complex has recently emerged as a powerful and general approach to genome editing. Here we outline methods to produce and deliver Cas9 RNPs. A donor DNA carrying desired sequence changes can also be included to program precise sequence introduction or replacement. RNP delivery limits exposure to genome editing reagents, reduces off-target events, drives high rates of homology-dependent repair, and can be applied to embryos to rapidly generate animal models. RNP delivery thus minimizes some of the pitfalls of alternative editing modalities and is rapidly being adopted by the genome editing community. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. Spacer-length DNA intermediates are associated with Cas1 in cells undergoing primed CRISPR adaptation.

    PubMed

    Musharova, Olga; Klimuk, Evgeny; Datsenko, Kirill A; Metlitskaya, Anastasia; Logacheva, Maria; Semenova, Ekaterina; Severinov, Konstantin; Savitskaya, Ekaterina

    2017-04-07

    During primed CRISPR adaptation spacers are preferentially selected from DNA recognized by CRISPR interference machinery, which in the case of Type I CRISPR-Cas systems consists of CRISPR RNA (crRNA) bound effector Cascade complex that locates complementary targets, and Cas3 executor nuclease/helicase. A complex of Cas1 and Cas2 proteins is capable of inserting new spacers in the CRISPR array. Here, we show that in Escherichia coli cells undergoing primed adaptation, spacer-sized fragments of foreign DNA are associated with Cas1. Based on sensitivity to digestion with nucleases, the associated DNA is not in a standard double-stranded state. Spacer-sized fragments are cut from one strand of foreign DNA in Cas1- and Cas3-dependent manner. These fragments are generated from much longer S1-nuclease sensitive fragments of foreign DNA that require Cas3 for their production. We propose that in the course of CRISPR interference Cas3 generates fragments of foreign DNA that are recognized by the Cas1-Cas2 adaptation complex, which excises spacer-sized fragments and channels them for insertion into CRISPR array. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  16. Characterization of Genomic Deletion Efficiency Mediated by Clustered Regularly Interspaced Palindromic Repeats (CRISPR)/Cas9 Nuclease System in Mammalian Cells*♦

    PubMed Central

    Canver, Matthew C.; Bauer, Daniel E.; Dass, Abhishek; Yien, Yvette Y.; Chung, Jacky; Masuda, Takeshi; Maeda, Takahiro; Paw, Barry H.; Orkin, Stuart H.

    2014-01-01

    The clustered regularly interspaced palindromic repeats (CRISPR)/CRISPR-associated (Cas) 9 nuclease system has provided a powerful tool for genome engineering. Double strand breaks may trigger nonhomologous end joining repair, leading to frameshift mutations, or homology-directed repair using an extrachromosomal template. Alternatively, genomic deletions may be produced by a pair of double strand breaks. The efficiency of CRISPR/Cas9-mediated genomic deletions has not been systematically explored. Here, we present a methodology for the production of deletions in mammalian cells, ranging from 1.3 kb to greater than 1 Mb. We observed a high frequency of intended genomic deletions. Nondeleted alleles are nonetheless often edited with inversions or small insertion/deletions produced at CRISPR recognition sites. Deleted alleles also typically include small insertion/deletions at predicted deletion junctions. We retrieved cells with biallelic deletion at a frequency exceeding that of probabilistic expectation. We demonstrate an inverse relationship between deletion frequency and deletion size. This work suggests that CRISPR/Cas9 is a robust system to produce a spectrum of genomic deletions to allow investigation of genes and genetic elements. PMID:24907273

  17. Applications of CRISPR/Cas9 in retinal degenerative diseases

    PubMed Central

    Peng, Ying-Qian; Tang, Luo-Sheng; Yoshida, Shigeo; Zhou, Ye-Di

    2017-01-01

    Gene therapy is a potentially effective treatment for retinal degenerative diseases. Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9) system has been developed as a new genome-editing tool in ophthalmic studies. Recent advances in researches showed that CRISPR/Cas9 has been applied in generating animal models as well as gene therapy in vivo of retinitis pigmentosa (RP) and leber congenital amaurosis (LCA). It has also been shown as a potential attempt for clinic by combining with other technologies such as adeno-associated virus (AAV) and induced pluripotent stem cells (iPSCs). In this review, we highlight the main points of further prospect of using CRISPR/Cas9 in targeting retinal degeneration. We also emphasize the potential applications of this technique in treating retinal degenerative diseases. PMID:28503441

  18. Fitting CRISPR-associated Cas3 into the helicase family tree.

    PubMed

    Jackson, Ryan N; Lavin, Matthew; Carter, Joshua; Wiedenheft, Blake

    2014-02-01

    Helicases utilize NTPs to modulate their binding to nucleic acids and many of these enzymes also unwind DNA or RNA duplexes in an NTP-dependent fashion. These proteins are phylogenetically related but functionally diverse, with essential roles in virtually all aspects of nucleic acid metabolism. A new class of helicases associated with RNA-guided adaptive immune systems in bacteria and archaea has recently been identified. Prokaryotes acquire resistance to invading genetic parasites by integrating short fragments of foreign nucleic acids into repetitive loci in the host chromosome known as CRISPRs (Clustered Regularly Interspaced Short Palindromic Repeats). CRISPR-associated gene 3 (cas3) encodes a conserved helicase protein that is essential for phage defense. Here we review recent advances in Cas3 biology, and provide a new phylogenetic framework that positions Cas3 in the helicase family tree. We anticipate that this Cas3 phylogeny will guide future biochemical and structural studies. Copyright © 2014. Published by Elsevier Ltd.

  19. CRISPR/Cas9 System as a Valuable Genome Editing Tool for Wine Yeasts with Application to Decrease Urea Production

    PubMed Central

    Vigentini, Ileana; Gebbia, Marinella; Belotti, Alessandra; Foschino, Roberto; Roth, Frederick P.

    2017-01-01

    An extensive repertoire of molecular tools is available for genetic analysis in laboratory strains of S. cerevisiae. Although this has widely contributed to the interpretation of gene functionality within haploid laboratory isolates, the genetics of metabolism in commercially-relevant polyploid yeast strains is still poorly understood. Genetic engineering in industrial yeasts is undergoing major changes due to Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and CRISPR-associated protein (Cas) engineering approaches. Here we apply the CRISPR/Cas9 system to two commercial “starter” strains of S. cerevisiae (EC1118, AWRI796), eliminating the CAN1 arginine permease pathway to generate strains with reduced urea production (18.5 and 35.5% for EC1118 and AWRI796, respectively). In a wine-model environment based on two grape musts obtained from Chardonnay and Cabernet Sauvignon cultivars, both S. cerevisiae starter strains and CAN1 mutants completed the must fermentation in 8–12 days. However, recombinant strains carrying the can1 mutation failed to produce urea, suggesting that the genetic modification successfully impaired the arginine metabolism. In conclusion, the reduction of urea production in a wine-model environment confirms that the CRISPR/Cas9 system has been successfully established in S. cerevisiae wine yeasts. PMID:29163459

  20. CRISPR-Cas systems target a diverse collection of invasive mobile genetic elements in human microbiomes

    PubMed Central

    2013-01-01

    Background Bacteria and archaea develop immunity against invading genomes by incorporating pieces of the invaders' sequences, called spacers, into a clustered regularly interspaced short palindromic repeats (CRISPR) locus between repeats, forming arrays of repeat-spacer units. When spacers are expressed, they direct CRISPR-associated (Cas) proteins to silence complementary invading DNA. In order to characterize the invaders of human microbiomes, we use spacers from CRISPR arrays that we had previously assembled from shotgun metagenomic datasets, and identify contigs that contain these spacers' targets. Results We discover 95,000 contigs that are putative invasive mobile genetic elements, some targeted by hundreds of CRISPR spacers. We find that oral sites in healthy human populations have a much greater variety of mobile genetic elements than stool samples. Mobile genetic elements carry genes encoding diverse functions: only 7% of the mobile genetic elements are similar to known phages or plasmids, although a much greater proportion contain phage- or plasmid-related genes. A small number of contigs share similarity with known integrative and conjugative elements, providing the first examples of CRISPR defenses against this class of element. We provide detailed analyses of a few large mobile genetic elements of various types, and a relative abundance analysis of mobile genetic elements and putative hosts, exploring the dynamic activities of mobile genetic elements in human microbiomes. A joint analysis of mobile genetic elements and CRISPRs shows that protospacer-adjacent motifs drive their interaction network; however, some CRISPR-Cas systems target mobile genetic elements lacking motifs. Conclusions We identify a large collection of invasive mobile genetic elements in human microbiomes, an important resource for further study of the interaction between the CRISPR-Cas immune system and invaders. PMID:23628424

  1. Fundamental CRISPR-Cas9 tools and current applications in microbial systems.

    PubMed

    Tian, Pingfang; Wang, Jia; Shen, Xiaolin; Rey, Justin Forrest; Yuan, Qipeng; Yan, Yajun

    2017-09-01

    Derived from the bacterial adaptive immune system, CRISPR technology has revolutionized conventional genetic engineering methods and unprecedentedly facilitated strain engineering. In this review, we outline the fundamental CRISPR tools that have been employed for strain optimization. These tools include CRISPR editing, CRISPR interference, CRISPR activation and protein imaging. To further characterize the CRISPR technology, we present current applications of these tools in microbial systems, including model- and non-model industrial microorganisms. Specially, we point out the major challenges of the CRISPR tools when utilized for multiplex genome editing and sophisticated expression regulation. To address these challenges, we came up with strategies that place emphasis on the amelioration of DNA repair efficiency through CRISPR-Cas9-assisted recombineering. Lastly, multiple promising research directions were proposed, mainly focusing on CRISPR-based construction of microbial ecosystems toward high production of desired chemicals.

  2. Synthetic CRISPR RNA-Cas9-guided genome editing in human cells.

    PubMed

    Rahdar, Meghdad; McMahon, Moira A; Prakash, Thazha P; Swayze, Eric E; Bennett, C Frank; Cleveland, Don W

    2015-12-22

    Genome editing with the clustered, regularly interspaced, short palindromic repeats (CRISPR)-Cas9 nuclease system is a powerful technology for manipulating genomes, including introduction of gene disruptions or corrections. Here we develop a chemically modified, 29-nucleotide synthetic CRISPR RNA (scrRNA), which in combination with unmodified transactivating crRNA (tracrRNA) is shown to functionally replace the natural guide RNA in the CRISPR-Cas9 nuclease system and to mediate efficient genome editing in human cells. Incorporation of rational chemical modifications known to protect against nuclease digestion and stabilize RNA-RNA interactions in the tracrRNA hybridization region of CRISPR RNA (crRNA) yields a scrRNA with enhanced activity compared with the unmodified crRNA and comparable gene disruption activity to the previously published single guide RNA. Taken together, these findings provide a platform for therapeutic applications, especially for nervous system disease, using successive application of cell-permeable, synthetic CRISPR RNAs to activate and then silence Cas9 nuclease activity.

  3. The Development of a Viral Mediated CRISPR/Cas9 System with Doxycycline Dependent gRNA Expression for Inducible In vitro and In vivo Genome Editing

    PubMed Central

    de Solis, Christopher A.; Ho, Anthony; Holehonnur, Roopashri; Ploski, Jonathan E.

    2016-01-01

    The RNA-guided Cas9 nuclease, from the type II prokaryotic Clustered Regularly Interspersed Short Palindromic Repeats (CRISPR) adaptive immune system, has been adapted and utilized by scientists to edit the genomes of eukaryotic cells. Here, we report the development of a viral mediated CRISPR/Cas9 system that can be rendered inducible utilizing doxycycline (Dox) and can be delivered to cells in vitro and in vivo utilizing adeno-associated virus (AAV). Specifically, we developed an inducible gRNA (gRNAi) AAV vector that is designed to express the gRNA from a H1/TO promoter. This AAV vector is also designed to express the Tet repressor (TetR) to regulate the expression of the gRNAi in a Dox dependent manner. We show that H1/TO promoters of varying length and a U6/TO promoter can edit DNA with similar efficiency in vitro, in a Dox dependent manner. We also demonstrate that our inducible gRNAi vector can be used to edit the genomes of neurons in vivo within the mouse brain in a Dox dependent manner. Genome editing can be induced in vivo with this system by supplying animals Dox containing food for as little as 1 day. This system might be cross compatible with many existing S. pyogenes Cas9 systems (i.e., Cas9 mouse, CRISPRi, etc.), and therefore it likely can be used to render these systems inducible as well. PMID:27587996

  4. CRISPR/Cas9 mediated targeted mutagenesis of the fast growing cyanobacterium Synechococcus elongatus UTEX 2973.

    PubMed

    Wendt, Kristen E; Ungerer, Justin; Cobb, Ryan E; Zhao, Huimin; Pakrasi, Himadri B

    2016-06-23

    As autotrophic prokaryotes, cyanobacteria are ideal chassis organisms for sustainable production of various useful compounds. The newly characterized cyanobacterium Synechococcus elongatus UTEX 2973 is a promising candidate for serving as a microbial cell factory because of its unusually rapid growth rate. Here, we seek to develop a genetic toolkit that enables extensive genomic engineering of Synechococcus 2973 by implementing a CRISPR/Cas9 editing system. We targeted the nblA gene because of its important role in biological response to nitrogen deprivation conditions. First, we determined that the Streptococcus pyogenes Cas9 enzyme is toxic in cyanobacteria, and conjugational transfer of stable, replicating constructs containing the cas9 gene resulted in lethality. However, after switching to a vector that permitted transient expression of the cas9 gene, we achieved markerless editing in 100 % of cyanobacterial exconjugants after the first patch. Moreover, we could readily cure the organisms of antibiotic resistance, resulting in a markerless deletion strain. High expression levels of the Cas9 protein in Synechococcus 2973 appear to be toxic and result in cell death. However, introduction of a CRISPR/Cas9 genome editing system on a plasmid backbone that leads to transient cas9 expression allowed for efficient markerless genome editing in a wild type genetic background.

  5. Cas9-Guide RNA Directed Genome Editing in Soybean[OPEN

    PubMed Central

    Li, Zhongsen; Liu, Zhan-Bin; Xing, Aiqiu; Moon, Bryan P.; Koellhoffer, Jessica P.; Huang, Lingxia; Ward, R. Timothy; Clifton, Elizabeth; Falco, S. Carl; Cigan, A. Mark

    2015-01-01

    Recently discovered bacteria and archaea adaptive immune system consisting of clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) endonuclease has been explored in targeted genome editing in different species. Streptococcus pyogenes Cas9-guide RNA (gRNA) was successfully applied to generate targeted mutagenesis, gene integration, and gene editing in soybean (Glycine max). Two genomic sites, DD20 and DD43 on chromosome 4, were mutagenized with frequencies of 59% and 76%, respectively. Sequencing randomly selected transgenic events confirmed that the genome modifications were specific to the Cas9-gRNA cleavage sites and consisted of small deletions or insertions. Targeted gene integrations through homology-directed recombination were detected by border-specific polymerase chain reaction analysis for both sites at callus stage, and one DD43 homology-directed recombination event was transmitted to T1 generation. T1 progenies of the integration event segregated according to Mendelian laws and clean homozygous T1 plants with the donor gene precisely inserted at the DD43 target site were obtained. The Cas9-gRNA system was also successfully applied to make a directed P178S mutation of acetolactate synthase1 gene through in planta gene editing. PMID:26294043

  6. Fusion of SpCas9 to E. coli Rec A protein enhances CRISPR-Cas9 mediated gene knockout in mammalian cells.

    PubMed

    Lin, Lin; Petersen, Trine Skov; Jensen, Kristopher Torp; Bolund, Lars; Kühn, Ralf; Luo, Yonglun

    2017-04-10

    Mammalian cells repair double-strand DNA breaks (DSB) by a range of different pathways following DSB induction by the engineered clustered regularly interspaced short palindromic repeats (CRISPR)-associated protein Cas9. While CRISPR-Cas9 thus enables predesigned modifications of the genome, applications of CRISPR-Cas9-mediated genome-editing are frequently hampered by the unpredictable and varying pathways for DSB repair in mammalian cells. Here we present a strategy of fusing Cas9 to recombinant proteins for fine-tuning of the DSB repair preferences in mammalian cells. By fusing Streptococcus Pyogenes Cas9 (SpCas9) to the recombinant protein A (Rec A, NP_417179.1) from Escherichia coli, we create a recombinant Cas9 protein (rSpCas9) which enhances the generation of indel mutations at DSB sites in mammalian cells, increases the frequency of DSB repair by homology-directed single-strand annealing (SSA), and represses homology-directed gene conversion by approximately 33%. Our study thus proves for the first time that fusing SpCas9 to recombinant proteins can influence the balance between DSB repair pathways in mammalian cells. This approach may form the basis for further investigations of the applications of recombinant Cas9 proteins to fine-tuning DSB repair pathways in eukaryotic cells. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Building Cre Knockin Rat Lines Using CRISPR/Cas9.

    PubMed

    Ma, Yuanwu; Zhang, Lianfeng; Huang, Xingxu

    2017-01-01

    Conditional gene inactivation strategy helps researchers to study the gene functions that are critical in embryogenesis or in defined tissues of adulthood. The Cre/loxP system is widely used for conditional gene inactivation/activation in cells or organisms. Cre knockin animal lines are essential for gene expression or inactivation in a spatially and temporally restricted manner. However, to generate a Cre knockin line by traditional approach is laborious. Recently, the clustered regularly interspaced short palindromic repeats and CRISPR-associated protein 9 (CRISPR/Cas9) has been proven as a simple and efficient genome-editing tool. We have used CRISPR/Cas9 system to generate rat strains that carry Cre genes in different targeted gene loci by direct delivery of gRNAs/Cas9/donors into fertilized eggs. Here, we described a stepwise procedure for the generation of Cre knockin rat, including target site selection, RNA preparation, the construction of the template donor, pronuclear injection, and the genotyping of precise Cre insertion in F 0 rats. Taken together, the establishment of Cre knockin line can be achieved within 6 weeks.

  8. Disabling a Type I-E CRISPR-Cas Nuclease with a Bacteriophage-Encoded Anti-CRISPR Protein

    PubMed Central

    Shah, Megha; Mejdani, Marios; Calmettes, Charles; Moraes, Trevor F.

    2017-01-01

    ABSTRACT CRISPR (clustered regularly interspaced short palindromic repeat)-Cas adaptive immune systems are prevalent defense mechanisms in bacteria and archaea. They provide sequence-specific detection and neutralization of foreign nucleic acids such as bacteriophages and plasmids. One mechanism by which phages and other mobile genetic elements are able to overcome the CRISPR-Cas system is through the expression of anti-CRISPR proteins. Over 20 different families of anti-CRISPR proteins have been described, each of which inhibits a particular type of CRISPR-Cas system. In this work, we determined the structure of type I-E anti-CRISPR protein AcrE1 by X-ray crystallography. We show that AcrE1 binds to the CRISPR-associated helicase/nuclease Cas3 and that the C-terminal region of the anti-CRISPR protein is important for its inhibitory activity. We further show that AcrE1 can convert the endogenous type I-E CRISPR system into a programmable transcriptional repressor. PMID:29233895

  9. Spectroscopic studies of three Cepheids with high positive pulsation period increments: SZ Cas, BY Cas, and RU Sct

    NASA Astrophysics Data System (ADS)

    Usenko, I. A.; Klochkova, V. G.

    2015-07-01

    Three high-resolution spectra have been taken at different times with the 6-m SAO RAS telescope (LYNX and PFES spectrographs) for three Cepheids exhibiting high positive period increments: the small-amplitude (DCEPS) SZ Cas and BY Cas and the classical (DCEP) RU Sct. SZ Cas and RU Sct are members of the Galactic open clusters χ and h Per and Trump 35, respectively. Analysis of the spectra has shown that the interstellar Na I D1 and D2 lines in all objects are considerably stronger than the atmospheric ones and are redshifted in SZ Cas and BY Cas and blushifted in RU Sct. The core of the H α absorption line in BY Cas has an asymmetric knifelike shape, while RU Sct exhibits an intense emission in the blue wing of this line. Such phenomena are observed in long-period Cepheids and bright hypergiants with an extended envelope. In this case, the strong Mg Ib 5183.62 Å and Ba II 5853.67, 6141.713, and 6496.90 Å lines with low χlow in SZ Cas and RU Sct also show characteristic knifelike profiles with an asymmetry in the red region, while the Ba II 4934.095 Å line shows similar profiles in the blue one. The absorption lines of neutral atoms and singly ionized metals with different lowerlevel excitation potentials exhibit different degrees of asymmetry: from a pronounced one with secondary components in BY Cas (similar to those in the small-amplitude Cepheid BG Cru pulsating in the first overtone and having an envelope) to its insignificance or virtual absence in SZ Cas and RU Sct. Analysis of the secular changes in mean T eff determined from photometric color indices and spectra over the last 55 years for these stars has revealed periodic fluctuations of 200 K for SZ Cas and BY Cas and 500 K for RU Sct. For SZ Cas and RU Sct, T eff determined in some years from some color indices show much lower values, which together with the temperature fluctuations can be associated with mass loss and dust formation. Based on these facts, we hypothesize the existence of

  10. Genome-scale CRISPR-Cas9 knockout and transcriptional activation screening.

    PubMed

    Joung, Julia; Konermann, Silvana; Gootenberg, Jonathan S; Abudayyeh, Omar O; Platt, Randall J; Brigham, Mark D; Sanjana, Neville E; Zhang, Feng

    2017-04-01

    Forward genetic screens are powerful tools for the unbiased discovery and functional characterization of specific genetic elements associated with a phenotype of interest. Recently, the RNA-guided endonuclease Cas9 from the microbial CRISPR (clustered regularly interspaced short palindromic repeats) immune system has been adapted for genome-scale screening by combining Cas9 with pooled guide RNA libraries. Here we describe a protocol for genome-scale knockout and transcriptional activation screening using the CRISPR-Cas9 system. Custom- or ready-made guide RNA libraries are constructed and packaged into lentiviral vectors for delivery into cells for screening. As each screen is unique, we provide guidelines for determining screening parameters and maintaining sufficient coverage. To validate candidate genes identified by the screen, we further describe strategies for confirming the screening phenotype, as well as genetic perturbation, through analysis of indel rate and transcriptional activation. Beginning with library design, a genome-scale screen can be completed in 9-15 weeks, followed by 4-5 weeks of validation.

  11. CRISPR/Cas9 for Human Genome Engineering and Disease Research.

    PubMed

    Xiong, Xin; Chen, Meng; Lim, Wendell A; Zhao, Dehua; Qi, Lei S

    2016-08-31

    The clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR-associated 9 (Cas9) system, a versatile RNA-guided DNA targeting platform, has been revolutionizing our ability to modify, manipulate, and visualize the human genome, which greatly advances both biological research and therapeutics development. Here, we review the current development of CRISPR/Cas9 technologies for gene editing, transcription regulation, genome imaging, and epigenetic modification. We discuss the broad application of this system to the study of functional genomics, especially genome-wide genetic screening, and to therapeutics development, including establishing disease models, correcting defective genetic mutations, and treating diseases.

  12. Formation of lactoferrin/sodium caseinate complexes and their adsorption behaviour at the air/water interface.

    PubMed

    Li, Quanyang; Zhao, Zhengtao

    2017-10-01

    This research investigated the complexation behaviour between lactoferrin (Lf) and sodium caseinate (NaCas) before and after heat treatment. The results showed that heating facilitated their interaction and different complexes were formed at different Lf/NaCas ratios. The presence of low concentrations of NaCas resulted in the rapid precipitation of Lf, while no precipitation was observed at the NaCas concentrations higher than Lf/NaCas ratio of 2:1. The formed complexes at the ratio of 2:1 have an average diameter of 194±9.0nm and they exhibited a great capacity in lowering the air/water interfacial tension. Further increase of NaCas concentration to ratios of 1:1 and 1:2 resulted in the formation of smaller complexes with average diameters of 60±2.5nm. The complexes formed at these two ratios showed similar adsorption behaviour at the air/water interface and they exhibited lower capacity in decreasing the interfacial tension than the ratio of 2:1. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. A Biophysical Model of CRISPR/Cas9 Activity for Rational Design of Genome Editing and Gene Regulation

    PubMed Central

    Farasat, Iman; Salis, Howard M.

    2016-01-01

    The ability to precisely modify genomes and regulate specific genes will greatly accelerate several medical and engineering applications. The CRISPR/Cas9 (Type II) system binds and cuts DNA using guide RNAs, though the variables that control its on-target and off-target activity remain poorly characterized. Here, we develop and parameterize a system-wide biophysical model of Cas9-based genome editing and gene regulation to predict how changing guide RNA sequences, DNA superhelical densities, Cas9 and crRNA expression levels, organisms and growth conditions, and experimental conditions collectively control the dynamics of dCas9-based binding and Cas9-based cleavage at all DNA sites with both canonical and non-canonical PAMs. We combine statistical thermodynamics and kinetics to model Cas9:crRNA complex formation, diffusion, site selection, reversible R-loop formation, and cleavage, using large amounts of structural, biochemical, expression, and next-generation sequencing data to determine kinetic parameters and develop free energy models. Our results identify DNA supercoiling as a novel mechanism controlling Cas9 binding. Using the model, we predict Cas9 off-target binding frequencies across the lambdaphage and human genomes, and explain why Cas9’s off-target activity can be so high. With this improved understanding, we propose several rules for designing experiments for minimizing off-target activity. We also discuss the implications for engineering dCas9-based genetic circuits. PMID:26824432

  14. CRISPR/Cas9 in Stem Cell Research: Current Application and Future Perspective.

    PubMed

    Patmanathan, Sathya Narayanan; Gnanasegaran, Nareshwaran; Lim, Moon Nian; Husaini, Roslina; Fakiruddin, Kamal Shaik; Zakaria, Zubaidah

    2018-06-12

    The clustered regularly interspaced short palindromic repeats-associated protein 9 or CRISPR/Cas9 system is one of the hottest topics discussed lately due to its robustness and effectiveness in genome editing. The technology has been widely used in life science research including microbial, plant, animal, and human cell studies. Combined with the pluripotency of stem cells, the technology represents a powerful tool to generate various cell types for disease modeling, drug screening, toxicology, and targeted therapies. Generally, the CRISPR/Cas9 system has been applied in genetic modification of pluripotent or multipotent stem cells, after which the cells are differentiated into specific cell types and used for functional analysis or even clinical transplantation. Recent advancement in CRISPR/Cas9 technology has widened the scope of stem cell research and its therapeutic application. This review provides an overview of the current application and the prospect of CRISPR/Cas9 technology, particularly in stem cell research and therapy. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  15. Multimode drug inducible CRISPR/Cas9 devices for transcriptional activation and genome editing

    PubMed Central

    Lu, Jia; Zhao, Chen; Zhao, Yingze; Zhang, Jingfang; Zhang, Yue; Chen, Li; Han, Qiyuan; Ying, Yue; Peng, Shuai; Ai, Runna; Wang, Yu

    2018-01-01

    Abstract Precise investigation and manipulation of dynamic biological processes often requires molecular modulation in a controlled inducible manner. The clustered, regularly interspaced, short palindromic repeats (CRISPR)/CRISPR associated protein 9 (Cas9) has emerged as a versatile tool for targeted gene editing and transcriptional programming. Here, we designed and vigorously optimized a series of Hybrid drug Inducible CRISPR/Cas9 Technologies (HIT) for transcriptional activation by grafting a mutated human estrogen receptor (ERT2) to multiple CRISPR/Cas9 systems, which renders them 4-hydroxytamoxifen (4-OHT) inducible for the access of genome. Further, extra functionality of simultaneous genome editing was achieved with one device we named HIT2. Optimized terminal devices herein delivered advantageous performances in comparison with several existing designs. They exerted selective, titratable, rapid and reversible response to drug induction. In addition, these designs were successfully adapted to an orthogonal Cas9. HIT systems developed in this study can be applied for controlled modulation of potentially any genomic loci in multiple modes. PMID:29237052

  16. Efficient gene knock-out and knock-in with transgenic Cas9 in Drosophila.

    PubMed

    Xue, Zhaoyu; Ren, Mengda; Wu, Menghua; Dai, Junbiao; Rong, Yikang S; Gao, Guanjun

    2014-03-21

    Bacterial Cas9 nuclease induces site-specific DNA breaks using small gRNA as guides. Cas9 has been successfully introduced into Drosophila for genome editing. Here, we improve the versatility of this method by developing a transgenic system that expresses Cas9 in the Drosophila germline. Using this system, we induced inheritable knock-out mutations by injecting only the gRNA into embryos, achieved highly efficient mutagenesis by expressing gRNA from the promoter of a novel non-coding RNA gene, and recovered homologous recombination-based knock-in of a fluorescent marker at a rate of 4.5% by co-injecting gRNA with a circular DNA donor. Copyright © 2014 Xue et al.

  17. Cas9-catalyzed DNA Cleavage Generates Staggered Ends: Evidence from Molecular Dynamics Simulations

    NASA Astrophysics Data System (ADS)

    Zuo, Zhicheng; Liu, Jin

    2016-11-01

    The CRISPR-associated endonuclease Cas9 from Streptococcus pyogenes (spCas9) along with a single guide RNA (sgRNA) has emerged as a versatile toolbox for genome editing. Despite recent advances in the mechanism studies on spCas9-sgRNA-mediated double-stranded DNA (dsDNA) recognition and cleavage, it is still unclear how the catalytic Mg2+ ions induce the conformation changes toward the catalytic active state. It also remains controversial whether Cas9 generates blunt-ended or staggered-ended breaks with overhangs in the DNA. To investigate these issues, here we performed the first all-atom molecular dynamics simulations of the spCas9-sgRNA-dsDNA system with and without Mg2+ bound. The simulation results showed that binding of two Mg2+ ions at the RuvC domain active site could lead to structurally and energetically favorable coordination ready for the non-target DNA strand cleavage. Importantly, we demonstrated with our simulations that Cas9-catalyzed DNA cleavage produces 1-bp staggered ends rather than generally assumed blunt ends.

  18. Oncogenic Human Papillomavirus: Application of CRISPR/Cas9 Therapeutic Strategies for Cervical Cancer.

    PubMed

    Zhen, Shuai; Li, Xu

    2017-01-01

    Oncogenic human papillomaviruses (HPVs) cause different types of cancer especially cervical cancer. HPV-associated carcinogenesis provides a classical model system for clustered regularly interspaced short palindromic repeats (CRISPR/Cas9) based cancer therapies since the viral oncogenes E6 and E7 are exclusively expressed in cancerous cells. Sequence-specific gene knockdown/knockout using CRISPR/Cas9 shows promise as a novel therapeutic approach for the treatment of a variety of diseases that currently lack effective treatments. However, CRISPR/Cas9-based targeting therapy requires further validation of its efficacy in vitro and in vivo to eliminate the potential off-target effects, necessitates verification of the delivery vehicles and the combinatory use of conventional therapies with CRISPR/Cas9 to ensure the feasibility and safety. In this review we discuss the potential of combining CRISPR/Cas9 with other treatment options as therapies for oncogenic HPVs-associated carcinogenesis. and present our assessment of the promising path to the development of CRISPR/Cas9 therapeutic strategies for clinical settings. © 2017 The Author(s). Published by S. Karger AG, Basel.

  19. Transformation of OODT CAS to Perform Larger Tasks

    NASA Technical Reports Server (NTRS)

    Mattmann, Chris; Freeborn, Dana; Crichton, Daniel; Hughes, John; Ramirez, Paul; Hardman, Sean; Woollard, David; Kelly, Sean

    2008-01-01

    A computer program denoted OODT CAS has been transformed to enable performance of larger tasks that involve greatly increased data volumes and increasingly intensive processing of data on heterogeneous, geographically dispersed computers. Prior to the transformation, OODT CAS (also alternatively denoted, simply, 'CAS') [wherein 'OODT' signifies 'Object-Oriented Data Technology' and 'CAS' signifies 'Catalog and Archive Service'] was a proven software component used to manage scientific data from spaceflight missions. In the transformation, CAS was split into two separate components representing its canonical capabilities: file management and workflow management. In addition, CAS was augmented by addition of a resource-management component. This third component enables CAS to manage heterogeneous computing by use of diverse resources, including high-performance clusters of computers, commodity computing hardware, and grid computing infrastructures. CAS is now more easily maintainable, evolvable, and reusable. These components can be used separately or, taking advantage of synergies, can be used together. Other elements of the transformation included addition of a separate Web presentation layer that supports distribution of data products via Really Simple Syndication (RSS) feeds, and provision for full Resource Description Framework (RDF) exports of metadata.

  20. Characterization and Evolution of Salmonella CRISPR-Cas Systems

    DTIC Science & Technology

    2014-01-01

    aeruginosa and Streptococcus pyogenes ( Cady et al., 2011; Deltcheva et al., 2011). In the former, CRISPR-Cas has been linked to the regulation of...Science 321, 960–964. Cady , K. C., White, A. S., Hammond, J. H., Abendroth, M. D., Karthikeyan, R. S., Lalitha, P., Zegans, M. E. & O’Toole, G. A...40, 5569–5576. Zegans, M. E., Wagner, J. C., Cady , K. C., Murphy, D. M., Hammond, J. H. & O’Toole, G. A. (2009). Interaction between bacteriophage

  1. Use of CRISPR/Cas Genome Editing Technology for Targeted Mutagenesis in Rice.

    PubMed

    Xu, Rongfang; Wei, Pengcheng; Yang, Jianbo

    2017-01-01

    Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/CRISPR-associated protein (Cas) system is a newly emerging mutagenesis (gene-editing) tool in genetic engineering. Among the agriculturally important crops, several genes have been successfully mutated by the system, and some agronomic important traits have been rapidly generated, which indicates the potential applications in both scientific research and plant breeding. In this chapter, we describe a standard gene-editing procedure to effectively target rice genes and to make specific rice mutants using the CRISPR/Cas9 system mediated by Agrobacterium transformation.

  2. Sulfonamide inhibition studies of two β-carbonic anhydrases from the ascomycete fungus Sordaria macrospora, CAS1 and CAS2.

    PubMed

    Vullo, Daniela; Lehneck, Ronny; Pöggeler, Stefanie; Supuran, Claudiu T

    2018-12-01

    The two β-carbonic anhydrases (CAs, EC 4.2.1.1) recently cloned and purified from the ascomycete fungus Sordaria macrospora, CAS1 and CAS2, were investigated for their inhibition with a panel of 39 aromatic, heterocyclic, and aliphatic sulfonamides and one sulfamate, many of which are clinically used agents. CAS1 was efficiently inhibited by tosylamide, 3-fluorosulfanilamide, and 3-chlorosulfanilamide (K I s in the range of 43.2-79.6 nM), whereas acetazolamide, methazolamide, topiramate, ethoxzolamide, dorzolamide, and brinzolamide were medium potency inhibitors (K I s in the range of 360-445 nM). CAS2 was less sensitive to sulfonamide inhibitors. The best CAS2 inhibitors were 5-amino-1,3,4-thiadiazole-2-sulfonamide (the deacetylated acetazolamide precursor) and 4-hydroxymethyl-benzenesulfonamide, with K I s in the range of 48.1-92.5 nM. Acetazolamide, dorzolamide, ethoxzolamide, topiramate, sulpiride, indisulam, celecoxib, and sulthiame were medium potency CAS2 inhibitors (K I s of 143-857 nM). Many other sulfonamides showed affinities in the high micromolar range or were ineffective as CAS1/2 inhibitors. Small changes in the structure of the inhibitor led to important differences of the activity. As these enzymes may show applications for the removal of anthropically generated polluting gases, finding modulators of their activity may be crucial for designing environmental-friendly CO 2 capture processes.

  3. Efficient and Heritable Gene Targeting in Tilapia by CRISPR/Cas9

    PubMed Central

    Li, Minghui; Yang, Huihui; Zhao, Jiue; Fang, Lingling; Shi, Hongjuan; Li, Mengru; Sun, Yunlv; Zhang, Xianbo; Jiang, Dongneng; Zhou, Linyan; Wang, Deshou

    2014-01-01

    Studies of gene function in non-model animals have been limited by the approaches available for eliminating gene function. The CRISPR/Cas9 (clustered regularly interspaced short palindromic repeats/CRISPR associated) system has recently become a powerful tool for targeted genome editing. Here, we report the use of the CRISPR/Cas9 system to disrupt selected genes, including nanos2, nanos3, dmrt1, and foxl2, with efficiencies as high as 95%. In addition, mutations in dmrt1 and foxl2 induced by CRISPR/Cas9 were efficiently transmitted through the germline to F1. Obvious phenotypes were observed in the G0 generation after mutation of germ cell or somatic cell-specific genes. For example, loss of Nanos2 and Nanos3 in XY and XX fish resulted in germ cell-deficient gonads as demonstrated by GFP labeling and Vasa staining, respectively, while masculinization of somatic cells in both XY and XX gonads was demonstrated by Dmrt1 and Cyp11b2 immunohistochemistry and by up-regulation of serum androgen levels. Our data demonstrate that targeted, heritable gene editing can be achieved in tilapia, providing a convenient and effective approach for generating loss-of-function mutants. Furthermore, our study shows the utility of the CRISPR/Cas9 system for genetic engineering in non-model species like tilapia and potentially in many other teleost species. PMID:24709635

  4. Harnessing the native type I-B CRISPR-Cas for genome editing in a polyploid archaeon.

    PubMed

    Cheng, Feiyue; Gong, Luyao; Zhao, Dahe; Yang, Haibo; Zhou, Jian; Li, Ming; Xiang, Hua

    2017-11-20

    Research on CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR associated protein) systems has led to the revolutionary CRISPR/Cas9 genome editing technique. However, for most archaea and half of bacteria, exploitation of their native CRISPR-Cas machineries may be more straightforward and convenient. In this study, we harnessed the native type I-B CRISPR-Cas system for precise genome editing in the polyploid haloarchaeon Haloarcula hispanica. After testing different designs, the editing tool was optimized to be a single plasmid that carries both the self-targeting mini-CRISPR and a 600-800 bp donor. Significantly, chromosomal modifications, such as gene deletion, gene tagging or single nucleotide substitution, were precisely introduced into the vast majority of the transformants. Moreover, we showed that simultaneous editing of two genomic loci could also be readily achieved by one step. In summary, our data demonstrate that the haloarchaeal CRISPR-Cas system can be harnessed for genome editing in this polyploid archaeon, and highlight the convenience and efficiency of the native CRISPR-based genome editing strategy. Copyright © 2017 Institute of Genetics and Developmental Biology, Chinese Academy of Sciences, and Genetics Society of China. Published by Elsevier Ltd. All rights reserved.

  5. Bipartite recognition of target RNAs activates DNA cleavage by the Type III-B CRISPR–Cas system

    PubMed Central

    Elmore, Joshua R.; Sheppard, Nolan F.; Ramia, Nancy; Deighan, Trace; Li, Hong; Terns, Rebecca M.; Terns, Michael P.

    2016-01-01

    CRISPR–Cas systems eliminate nucleic acid invaders in bacteria and archaea. The effector complex of the Type III-B Cmr system cleaves invader RNAs recognized by the CRISPR RNA (crRNA ) of the complex. Here we show that invader RNAs also activate the Cmr complex to cleave DNA. As has been observed for other Type III systems, Cmr eliminates plasmid invaders in Pyrococcus furiosus by a mechanism that depends on transcription of the crRNA target sequence within the plasmid. Notably, we found that the target RNA per se induces DNA cleavage by the Cmr complex in vitro. DNA cleavage activity does not depend on cleavage of the target RNA but notably does require the presence of a short sequence adjacent to the target sequence within the activating target RNA (rPAM [RNA protospacer-adjacent motif]). The activated complex does not require a target sequence (or a PAM) in the DNA substrate. Plasmid elimination by the P. furiosus Cmr system also does not require the Csx1 (CRISPR-associated Rossman fold [CARF] superfamily) protein. Plasmid silencing depends on the HD nuclease and Palm domains of the Cmr2 (Cas10 superfamily) protein. The results establish the Cmr complex as a novel DNA nuclease activated by invader RNAs containing a crRNA target sequence and a rPAM. PMID:26848045

  6. CRISPR/Cas9 mediated targeted mutagenesis of the fast growing cyanobacterium Synechococcus elongatus UTEX 2973

    DOE PAGES

    Wendt, Kristen E.; Ungerer, Justin; Cobb, Ryan E.; ...

    2016-06-23

    As autotrophic prokaryotes, cyanobacteria are ideal chassis organisms for sustainable production of various useful compounds. The newly characterized cyanobacterium Synechococcus elongatus UTEX 2973 is a promising candidate for serving as a microbial cell factory because of its unusually rapid growth rate. Here, we seek to develop a genetic toolkit that enables extensive genomic engineering of Synechococcus 2973 by implementing a CRISPR/Cas9 editing system. We targeted the nblA gene because of its important role in biological response to nitrogen deprivation conditions. First, we determined that the Streptococcus pyogenes Cas9 enzyme is toxic in cyanobacteria, and conjugational transfer of stable, replicating constructsmore » containing the cas9 gene resulted in lethality. However, after switching to a vector that permitted transient expression of the cas9 gene, we achieved markerless editing in 100 % of cyanobacterial exconjugants after the first patch. Moreover, we could readily cure the organisms of antibiotic resistance, resulting in a markerless deletion strain. In conclusion, high expression levels of the Cas9 protein in Synechococcus 2973 appear to be toxic and result in cell death. However, introduction of a CRISPR/Cas9 genome editing system on a plasmid backbone that leads to transient cas9 expression allowed for efficient markerless genome editing in a wild type genetic background.« less

  7. CRISPR/Cas9 mediated targeted mutagenesis of the fast growing cyanobacterium Synechococcus elongatus UTEX 2973

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wendt, Kristen E.; Ungerer, Justin; Cobb, Ryan E.

    As autotrophic prokaryotes, cyanobacteria are ideal chassis organisms for sustainable production of various useful compounds. The newly characterized cyanobacterium Synechococcus elongatus UTEX 2973 is a promising candidate for serving as a microbial cell factory because of its unusually rapid growth rate. Here, we seek to develop a genetic toolkit that enables extensive genomic engineering of Synechococcus 2973 by implementing a CRISPR/Cas9 editing system. We targeted the nblA gene because of its important role in biological response to nitrogen deprivation conditions. First, we determined that the Streptococcus pyogenes Cas9 enzyme is toxic in cyanobacteria, and conjugational transfer of stable, replicating constructsmore » containing the cas9 gene resulted in lethality. However, after switching to a vector that permitted transient expression of the cas9 gene, we achieved markerless editing in 100 % of cyanobacterial exconjugants after the first patch. Moreover, we could readily cure the organisms of antibiotic resistance, resulting in a markerless deletion strain. In conclusion, high expression levels of the Cas9 protein in Synechococcus 2973 appear to be toxic and result in cell death. However, introduction of a CRISPR/Cas9 genome editing system on a plasmid backbone that leads to transient cas9 expression allowed for efficient markerless genome editing in a wild type genetic background.« less

  8. CRISPR-Cas9 for medical genetic screens: applications and future perspectives.

    PubMed

    Xue, Hui-Ying; Ji, Li-Juan; Gao, Ai-Mei; Liu, Ping; He, Jing-Dong; Lu, Xiao-Jie

    2016-02-01

    CRISPR-Cas9 (clustered regularly interspaced short palindromic repeats-CRISPR associated nuclease 9) systems have emerged as versatile and convenient (epi)genome editing tools and have become an important player in medical genetic research. CRISPR-Cas9 and its variants such as catalytically inactivated Cas9 (dead Cas9, dCas9) and scaffold-incorporating single guide sgRNA (scRNA) have been applied in various genomic screen studies. CRISPR screens enable high-throughput interrogation of gene functions in health and diseases. Compared with conventional RNAi screens, CRISPR screens incur less off-target effects and are more versatile in that they can be used in multiple formats such as knockout, knockdown and activation screens, and can target coding and non-coding regions throughout the genome. This powerful screen platform holds the potential of revolutionising functional genomic studies in the near future. Herein, we introduce the mechanisms of (epi)genome editing mediated by CRISPR-Cas9 and its variants, introduce the procedures and applications of CRISPR screen in functional genomics, compare it with conventional screen tools and at last discuss current challenges and opportunities and propose future directions. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  9. CRISPR-Cas Defense System and Potential Prophages in Cyanobacteria Associated with the Coral Black Band Disease

    PubMed Central

    Buerger, Patrick; Wood-Charlson, Elisha M.; Weynberg, Karen D.; Willis, Bette L.; van Oppen, Madeleine J. H.

    2016-01-01

    Understanding how pathogens maintain their virulence is critical to developing tools to mitigate disease in animal populations. We sequenced and assembled the first draft genome of Roseofilum reptotaenium AO1, the dominant cyanobacterium underlying pathogenicity of the virulent coral black band disease (BBD), and analyzed parts of the BBD-associated Geitlerinema sp. BBD_1991 genome in silico. Both cyanobacteria are equipped with an adaptive, heritable clustered regularly interspaced short palindromic repeats (CRISPR)-Cas defense system type I-D and have potential virulence genes located within several prophage regions. The defense system helps to prevent infection by viruses and mobile genetic elements via identification of short fingerprints of the intruding DNA, which are stored as templates in the bacterial genome, in so-called “CRISPRs.” Analysis of CRISPR target sequences (protospacers) revealed an unusually high number of self-targeting spacers in R. reptotaenium AO1 and extraordinary long CRIPSR arrays of up to 260 spacers in Geitlerinema sp. BBD_1991. The self-targeting spacers are unlikely to be a form of autoimmunity; instead these target an incomplete lysogenic bacteriophage. Lysogenic virus induction experiments with mitomycin C and UV light did not reveal an actively replicating virus population in R. reptotaenium AO1 cultures, suggesting that phage functionality is compromised or excision could be blocked by the CRISPR-Cas system. Potential prophages were identified in three regions of R. reptotaenium AO1 and five regions of Geitlerinema sp. BBD_1991, containing putative BBD relevant virulence genes, such as an NAD-dependent epimerase/dehydratase (a homolog in terms of functionality to the third and fourth most expressed gene in BBD), lysozyme/metalloendopeptidases and other lipopolysaccharide modification genes. To date, viruses have not been considered to be a component of the BBD consortium or a contributor to the virulence of R. reptotaenium

  10. CRISPR-Cas Defense System and Potential Prophages in Cyanobacteria Associated with the Coral Black Band Disease.

    PubMed

    Buerger, Patrick; Wood-Charlson, Elisha M; Weynberg, Karen D; Willis, Bette L; van Oppen, Madeleine J H

    2016-01-01

    Understanding how pathogens maintain their virulence is critical to developing tools to mitigate disease in animal populations. We sequenced and assembled the first draft genome of Roseofilum reptotaenium AO1, the dominant cyanobacterium underlying pathogenicity of the virulent coral black band disease (BBD), and analyzed parts of the BBD-associated Geitlerinema sp. BBD_1991 genome in silico . Both cyanobacteria are equipped with an adaptive, heritable clustered regularly interspaced short palindromic repeats (CRISPR)-Cas defense system type I-D and have potential virulence genes located within several prophage regions. The defense system helps to prevent infection by viruses and mobile genetic elements via identification of short fingerprints of the intruding DNA, which are stored as templates in the bacterial genome, in so-called "CRISPRs." Analysis of CRISPR target sequences (protospacers) revealed an unusually high number of self-targeting spacers in R. reptotaenium AO1 and extraordinary long CRIPSR arrays of up to 260 spacers in Geitlerinema sp. BBD_1991. The self-targeting spacers are unlikely to be a form of autoimmunity; instead these target an incomplete lysogenic bacteriophage. Lysogenic virus induction experiments with mitomycin C and UV light did not reveal an actively replicating virus population in R. reptotaenium AO1 cultures, suggesting that phage functionality is compromised or excision could be blocked by the CRISPR-Cas system. Potential prophages were identified in three regions of R. reptotaenium AO1 and five regions of Geitlerinema sp. BBD_1991, containing putative BBD relevant virulence genes, such as an NAD-dependent epimerase/dehydratase (a homolog in terms of functionality to the third and fourth most expressed gene in BBD), lysozyme/metalloendopeptidases and other lipopolysaccharide modification genes. To date, viruses have not been considered to be a component of the BBD consortium or a contributor to the virulence of R. reptotaenium AO1

  11. [CRISPR/CAS9, the King of Genome Editing Tools].

    PubMed

    Bannikov, A V; Lavrov, A V

    2017-01-01

    The discovery of CRISPR/Cas9 brought a hope for having an efficient, reliable, and readily available tool for genome editing. CRISPR/Cas9 is certainly easy to use, while its efficiency and reliability remain the focus of studies. The review describes the general principles of the organization and function of Cas nucleases and a number of important issues to be considered while planning genome editing experiments with CRISPR/Cas9. The issues include evaluation of the efficiency and specificity for Cas9, sgRNA selection, Cas9 variants designed artificially, and use of homologous recombination and nonhomologous end joining in DNA editing.

  12. [Construction of EZH2 Knockout Animal Model by CRISPR/Cas9 Technology].

    PubMed

    Meng, Fanrong; Zhao, Dan; Zhou, Qinghua; Liu, Zhe

    2018-05-20

    It has been proven that CRISPR/Cas9 (Clustered Regularly Interspaced Short Palindromic Repeats/CRISPR-associated 9) system was the modern gene-editing technology through the constitutive expression of nucleases Cas9 in the mammalian, which binds to the specific site in the genome mediated by single-guide RNA (sgRNA) at desired genomic loci. The aim of this study is that the animal model of EZH2 gene knockout was constructed using CRISPR/Cas9 technology. In this study, we designed two single-guide RNAs targeting the Exon3 and Exon4 of EZH2 gene. Then, their gene-targeting efficiency were detected by SURVEYOR assay. The lentivirus was perfused into the lungs of mice by using a bronchial tube and detected by immunohistochemistry and qRT-PCR. The experimental results of NIH-3T3 cells verify that the designed sgEZH2 can efficiently effect the cleavage of target DNA by Cas9 in vitro. The immunohistochemistry and qRT-PCR results showed that the EZH2 expression in experimental group was significantly decreased in the mouse lung tissue. The study successfully designed two sgRNA which can play a knock-out EZH2 function. An EZH2 knockout animal model was successfully constructed by CRISPR/Cas9 system, and it will be an effective animal model for studying the functions and mechanisms of EZH2.

  13. Characterization of genomic deletion efficiency mediated by clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9 nuclease system in mammalian cells.

    PubMed

    Canver, Matthew C; Bauer, Daniel E; Dass, Abhishek; Yien, Yvette Y; Chung, Jacky; Masuda, Takeshi; Maeda, Takahiro; Paw, Barry H; Orkin, Stuart H

    2014-08-01

    The clustered regularly interspaced short [corrected] palindromic repeats (CRISPR)/CRISPR-associated (Cas) 9 nuclease system has provided a powerful tool for genome engineering. Double strand breaks may trigger nonhomologous end joining repair, leading to frameshift mutations, or homology-directed repair using an extrachromosomal template. Alternatively, genomic deletions may be produced by a pair of double strand breaks. The efficiency of CRISPR/Cas9-mediated genomic deletions has not been systematically explored. Here, we present a methodology for the production of deletions in mammalian cells, ranging from 1.3 kb to greater than 1 Mb. We observed a high frequency of intended genomic deletions. Nondeleted alleles are nonetheless often edited with inversions or small insertion/deletions produced at CRISPR recognition sites. Deleted alleles also typically include small insertion/deletions at predicted deletion junctions. We retrieved cells with biallelic deletion at a frequency exceeding that of probabilistic expectation. We demonstrate an inverse relationship between deletion frequency and deletion size. This work suggests that CRISPR/Cas9 is a robust system to produce a spectrum of genomic deletions to allow investigation of genes and genetic elements. © 2014 by The American Society for Biochemistry and Molecular Biology, Inc.

  14. CRISPR/Cas9-mediated genome editing and gene replacement in plants: Transitioning from lab to field

    USDA-ARS?s Scientific Manuscript database

    The CRISPR/Cas9 genome engineering system has ignited and swept through the scientific community like wildfire. Owing largely to its efficiency, specificity, and flexibility, the CRISPR/Cas9 system has quickly become the preferred genome-editing tool of plant scientists. In plants, much of the earl...

  15. Successful transient expression of Cas9 and single guide RNA genes in Chlamydomonas reinhardtii.

    PubMed

    Jiang, Wenzhi; Brueggeman, Andrew J; Horken, Kempton M; Plucinak, Thomas M; Weeks, Donald P

    2014-11-01

    The clustered regularly interspaced short palindromic repeat (CRISPR)/Cas9 system has become a powerful and precise tool for targeted gene modification (e.g., gene knockout and gene replacement) in numerous eukaryotic organisms. Initial attempts to apply this technology to a model, the single-cell alga, Chlamydomonas reinhardtii, failed to yield cells containing edited genes. To determine if the Cas9 and single guide RNA (sgRNA) genes were functional in C. reinhardtii, we tested the ability of a codon-optimized Cas9 gene along with one of four different sgRNAs to cause targeted gene disruption during a 24-h period immediately following transformation. All three exogenously supplied gene targets as well as the endogenous FKB12 (rapamycin sensitivity) gene of C. reinhardtii displayed distinct Cas9/sgRNA-mediated target site modifications as determined by DNA sequencing of cloned PCR amplicons of the target site region. Success in transient expression of Cas9 and sgRNA genes contrasted with the recovery of only a single rapamycin-resistant colony bearing an appropriately modified FKB12 target site in 16 independent transformation experiments involving >10(9) cells. Failure to recover transformants with intact or expressed Cas9 genes following transformation with the Cas9 gene alone (or even with a gene encoding a Cas9 lacking nuclease activity) provided strong suggestive evidence for Cas9 toxicity when Cas9 is produced constitutively in C. reinhardtii. The present results provide compelling evidence that Cas9 and sgRNA genes function properly in C. reinhardtii to cause targeted gene modifications and point to the need for a focus on development of methods to properly stem Cas9 production and/or activity following gene editing. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  16. Enhancement of single guide RNA transcription for efficient CRISPR/Cas-based genomic engineering.

    PubMed

    Ui-Tei, Kumiko; Maruyama, Shohei; Nakano, Yuko

    2017-06-01

    Genomic engineering using clustered regularly interspaced short palindromic repeats (CRISPR) and CRISPR-associated (Cas) protein is a promising approach for targeting the genomic DNA of virtually any organism in a sequence-specific manner. Recent remarkable advances in CRISPR/Cas technology have made it a feasible system for use in therapeutic applications and biotechnology. In the CRISPR/Cas system, a guide RNA (gRNA), interacting with the Cas protein, recognizes a genomic region with sequence complementarity, and the double-stranded DNA at the target site is cleaved by the Cas protein. A widely used gRNA is an RNA polymerase III (pol III)-driven single gRNA (sgRNA), which is produced by artificial fusion of CRISPR RNA (crRNA) and trans-activation crRNA (tracrRNA). However, we identified a TTTT stretch, known as a termination signal of RNA pol III, in the scaffold region of the sgRNA. Here, we revealed that sgRNA carrying a TTTT stretch reduces the efficiency of sgRNA transcription due to premature transcriptional termination, and decreases the efficiency of genome editing. Unexpectedly, it was also shown that the premature terminated sgRNA may have an adverse effect of inducing RNA interference. Such disadvantageous effects were avoided by substituting one base in the TTTT stretch.

  17. Strategies for Editing Virulent Staphylococcal Phages Using CRISPR-Cas10.

    PubMed

    Bari, S M Nayeemul; Walker, Forrest C; Cater, Katie; Aslan, Barbaros; Hatoum-Aslan, Asma

    2017-12-15

    Staphylococci are prevalent skin-dwelling bacteria that are also leading causes of antibiotic-resistant infections. Viruses that infect and lyse these organisms (virulent staphylococcal phages) can be used as alternatives to conventional antibiotics and represent promising tools to eliminate or manipulate specific species in the microbiome. However, since over half their genes have unknown functions, virulent staphylococcal phages carry inherent risk to cause unknown downstream side effects. Further, their swift and destructive reproductive cycle make them intractable by current genetic engineering techniques. CRISPR-Cas10 is an elaborate prokaryotic immune system that employs small RNAs and a multisubunit protein complex to detect and destroy phages and other foreign nucleic acids. Some staphylococci naturally possess CRISPR-Cas10 systems, thus providing an attractive tool already installed in the host chromosome to harness for phage genome engineering. However, the efficiency of CRISPR-Cas10 immunity against virulent staphylococcal phages and corresponding utility as a tool to facilitate their genome editing has not been explored. Here, we show that the CRISPR-Cas10 system native to Staphylococcus epidermidis exhibits robust immunity against diverse virulent staphylococcal phages. On the basis of this activity, a general two-step approach was developed to edit these phages that relies upon homologous recombination machinery encoded in the host. Variations of this approach to edit toxic phage genes and access phages that infect CRISPR-less staphylococci are also presented. This versatile set of genetic tools enables the systematic study of phage genes of unknown functions and the design of genetically defined phage-based antimicrobials that can eliminate or manipulate specific Staphylococcus species.

  18. Inhibition Mechanism of an Anti-CRISPR Suppressor AcrIIA4 Targeting SpyCas9.

    PubMed

    Yang, Hui; Patel, Dinshaw J

    2017-07-06

    Prokaryotic CRISPR-Cas adaptive immune systems utilize sequence-specific RNA-guided endonucleases to defend against infection by viruses, bacteriophages, and mobile elements, while these foreign genetic elements evolve diverse anti-CRISPR proteins to overcome the CRISPR-Cas-mediated defense of the host. Recently, AcrIIA2 and AcrIIA4, encoded by Listeria monocytogene prophages, were shown to block the endonuclease activity of type II-A Streptococcus pyogene Cas9 (SpyCas9). We now report the crystal structure of AcrIIA4 in complex with single-guide RNA-bound SpyCas9, thereby establishing that AcrIIA4 preferentially targets critical residues essential for PAM duplex recognition, as well as blocks target DNA access to key catalytic residues lining the RuvC pocket. These structural insights, validated by biochemical assays on key mutants, demonstrate that AcrIIA4 competitively occupies both PAM-interacting and non-target DNA strand cleavage catalytic pockets. Our studies provide insights into anti-CRISPR-mediated suppression mechanisms for inactivating SpyCas9, thereby broadening the applicability of CRISPR-Cas regulatory tools for genome editing. Published by Elsevier Inc.

  19. Efficient Multiple Genome Modifications Induced by the crRNAs, tracrRNA and Cas9 Protein Complex in Zebrafish

    PubMed Central

    Ohga, Rie; Ota, Satoshi; Kawahara, Atsuo

    2015-01-01

    The type II clustered regularly interspaced short palindromic repeats (CRISPR) associated with Cas9 endonuclease (CRISPR/Cas9) has become a powerful genetic tool for understanding the function of a gene of interest. In zebrafish, the injection of Cas9 mRNA and guide-RNA (gRNA), which are prepared using an in vitro transcription system, efficiently induce DNA double-strand breaks (DSBs) at the targeted genomic locus. Because gRNA was originally constructed by fusing two short RNAs CRISPR RNA (crRNA) and trans-activating crRNA (tracrRNA), we examined the effect of synthetic crRNAs and tracrRNA with Cas9 mRNA or Cas9 protein on the genome editing activity. We previously reported that the disruption of tyrosinase (tyr) by tyr-gRNA/Cas9 mRNA causes a retinal pigment defect, whereas the disruption of spns2 by spns2-gRNA1/Cas9 mRNA leads to a cardiac progenitor migration defect in zebrafish. Here, we found that the injection of spns2-crRNA1, tyr-crRNA and tracrRNA with Cas9 mRNA or Cas9 protein simultaneously caused a migration defect in cardiac progenitors and a pigment defect in retinal epithelial cells. A time course analysis demonstrated that the injection of crRNAs and tracrRNA with Cas9 protein rapidly induced genome modifications compared with the injection of crRNAs and tracrRNA with Cas9 mRNA. We further show that the crRNA-tracrRNA-Cas9 protein complex is functional for the visualization of endogenous gene expression; therefore, this is a very powerful, ready-to-use system in zebrafish. PMID:26010089

  20. An active immune defense with a minimal CRISPR (clustered regularly interspaced short palindromic repeats) RNA and without the Cas6 protein.

    PubMed

    Maier, Lisa-Katharina; Stachler, Aris-Edda; Saunders, Sita J; Backofen, Rolf; Marchfelder, Anita

    2015-02-13

    The prokaryotic immune system CRISPR-Cas (clustered regularly interspaced short palindromic repeats-CRISPR-associated) is a defense system that protects prokaryotes against foreign DNA. The short CRISPR RNAs (crRNAs) are central components of this immune system. In CRISPR-Cas systems type I and III, crRNAs are generated by the endonuclease Cas6. We developed a Cas6b-independent crRNA maturation pathway for the Haloferax type I-B system in vivo that expresses a functional crRNA, which we termed independently generated crRNA (icrRNA). The icrRNA is effective in triggering degradation of an invader plasmid carrying the matching protospacer sequence. The Cas6b-independent maturation of the icrRNA allowed mutation of the repeat sequence without interfering with signals important for Cas6b processing. We generated 23 variants of the icrRNA and analyzed them for activity in the interference reaction. icrRNAs with deletions or mutations of the 3' handle are still active in triggering an interference reaction. The complete 3' handle could be removed without loss of activity. However, manipulations of the 5' handle mostly led to loss of interference activity. Furthermore, we could show that in the presence of an icrRNA a strain without Cas6b (Δcas6b) is still active in interference. © 2015 by The American Society for Biochemistry and Molecular Biology, Inc.