Sample records for al2o3 atomic layer

  1. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  2. Atomic Layer Deposition Al2O3 Coatings Significantly Improve Thermal, Chemical, and Mechanical Stability of Anodic TiO2 Nanotube Layers

    PubMed Central

    2017-01-01

    We report on a very significant enhancement of the thermal, chemical, and mechanical stability of self-organized TiO2 nanotubes layers, provided by thin Al2O3 coatings of different thicknesses prepared by atomic layer deposition (ALD). TiO2 nanotube layers coated with Al2O3 coatings exhibit significantly improved thermal stability as illustrated by the preservation of the nanotubular structure upon annealing treatment at high temperatures (870 °C). In addition, a high anatase content is preserved in the nanotube layers against expectation of the total rutile conversion at such a high temperature. Hardness of the resulting nanotube layers is investigated by nanoindentation measurements and shows strongly improved values compared to uncoated counterparts. Finally, it is demonstrated that Al2O3 coatings guarantee unprecedented chemical stability of TiO2 nanotube layers in harsh environments of concentrated H3PO4 solutions. PMID:28291942

  3. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  4. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  5. Al2O3 and TiO2 atomic layer deposition on copper for water corrosion resistance.

    PubMed

    Abdulagatov, A I; Yan, Y; Cooper, J R; Zhang, Y; Gibbs, Z M; Cavanagh, A S; Yang, R G; Lee, Y C; George, S M

    2011-12-01

    Al(2)O(3) and TiO(2) atomic layer deposition (ALD) were employed to develop an ultrathin barrier film on copper to prevent water corrosion. The strategy was to utilize Al(2)O(3) ALD as a pinhole-free barrier and to protect the Al(2)O(3) ALD using TiO(2) ALD. An initial set of experiments was performed at 177 °C to establish that Al(2)O(3) ALD could nucleate on copper and produce a high-quality Al(2)O(3) film. In situ quartz crystal microbalance (QCM) measurements verified that Al(2)O(3) ALD nucleated and grew efficiently on copper-plated quartz crystals at 177 °C using trimethylaluminum (TMA) and water as the reactants. An electroplating technique also established that the Al(2)O(3) ALD films had a low defect density. A second set of experiments was performed for ALD at 120 °C to study the ability of ALD films to prevent copper corrosion. These experiments revealed that an Al(2)O(3) ALD film alone was insufficient to prevent copper corrosion because of the dissolution of the Al(2)O(3) film in water. Subsequently, TiO(2) ALD was explored on copper at 120 °C using TiCl(4) and water as the reactants. The resulting TiO(2) films also did not prevent the water corrosion of copper. Fortunately, Al(2)O(3) films with a TiO(2) capping layer were much more resilient to dissolution in water and prevented the water corrosion of copper. Optical microscopy images revealed that TiO(2) capping layers as thin as 200 Å on Al(2)O(3) adhesion layers could prevent copper corrosion in water at 90 °C for ~80 days. In contrast, the copper corroded almost immediately in water at 90 °C for Al(2)O(3) and ZnO films by themselves on copper. Ellipsometer measurements revealed that Al(2)O(3) films with a thickness of ~200 Å and ZnO films with a thickness of ~250 Å dissolved in water at 90 °C in ~10 days. In contrast, the ellipsometer measurements confirmed that the TiO(2) capping layers with thicknesses of ~200 Å on the Al(2)O(3) adhesion layers protected the copper for ~80 days in

  6. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  7. Atomically Thin Al2O3 Films for Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  8. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  9. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  10. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    PubMed

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  11. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  12. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    PubMed

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  14. Band Offset Measurements in Atomic-Layer-Deposited Al2O3/Zn0.8Al0.2O Heterojunction Studied by X-ray Photoelectron Spectroscopy.

    PubMed

    Yan, Baojun; Liu, Shulin; Heng, Yuekun; Yang, Yuzhen; Yu, Yang; Wen, Kaile

    2017-12-01

    Pure aluminum oxide (Al 2 O 3 ) and zinc aluminum oxide (Zn x Al 1-x O) thin films were deposited by atomic layer deposition (ALD). The microstructure and optical band gaps (E g ) of the Zn x Al 1-x O (0.2 ≤ x ≤ 1) films were studied by X-ray diffractometer and Tauc method. The band offsets and alignment of atomic-layer-deposited Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction were investigated in detail using charge-corrected X-ray photoelectron spectroscopy. In this work, different methodologies were adopted to recover the actual position of the core levels in insulator materials which were easily affected by differential charging phenomena. Valence band offset (ΔE V ) and conduction band offset (ΔE C ) for the interface of the Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction have been constructed. An accurate value of ΔE V  = 0.82 ± 0.12 eV was obtained from various combinations of core levels of heterojunction with varied Al 2 O 3 thickness. Given the experimental E g of 6.8 eV for Al 2 O 3 and 5.29 eV for Zn 0.8 Al 0.2 O, a type-I heterojunction with a ΔE C of 0.69 ± 0.12 eV was found. The precise determination of the band alignment of Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction is of particular importance for gaining insight to the design of various electronic devices based on such heterointerface.

  15. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  16. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  17. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  18. Effect of an Interfacial Layer on Electron Tunneling through Atomically Thin Al2O3 Tunnel Barriers.

    PubMed

    Wilt, Jamie; Sakidja, Ridwan; Goul, Ryan; Wu, Judy Z

    2017-10-25

    Electron tunneling through high-quality, atomically thin dielectric films can provide a critical enabling technology for future microelectronics, bringing enhanced quantum coherent transport, fast speed, small size, and high energy efficiency. A fundamental challenge is in controlling the interface between the dielectric and device electrodes. An interfacial layer (IL) will contain defects and introduce defects in the dielectric film grown atop, preventing electron tunneling through the formation of shorts. In this work, we present the first systematic investigation of the IL in Al 2 O 3 dielectric films of 1-6 Å's in thickness on an Al electrode. We integrated several advanced approaches: molecular dynamics to simulate IL formation, in situ high vacuum sputtering atomic layer deposition (ALD) to synthesize Al 2 O 3 on Al films, and in situ ultrahigh vacuum scanning tunneling spectroscopy to probe the electron tunneling through the Al 2 O 3 . The IL had a profound effect on electron tunneling. We observed a reduced tunnel barrier height and soft-type dielectric breakdown which indicate that defects are present in both the IL and in the Al 2 O 3 . The IL forms primarily due to exposure of the Al to trace O 2 and/or H 2 O during the pre-ALD heating step of fabrication. As the IL was systematically reduced, by controlling the pre-ALD sample heating, we observed an increase of the ALD Al 2 O 3 barrier height from 0.9 to 1.5 eV along with a transition from soft to hard dielectric breakdown. This work represents a key step toward the realization of high-quality, atomically thin dielectrics with electron tunneling for the next generation of microelectronics.

  19. Thermo-Optical Properties of Thin-Film TiO2Al2O3 Bilayers Fabricated by Atomic Layer Deposition

    PubMed Central

    Ali, Rizwan; Saleem, Muhammad Rizwan; Pääkkönen, Pertti; Honkanen, Seppo

    2015-01-01

    We investigate the optical and thermo-optical properties of amorphous TiO2Al2O3 thin-film bilayers fabricated by atomic layer deposition (ALD). Seven samples of TiO2Al2O3 bilayers are fabricated by growing Al2O3 films of different thicknesses on the surface of TiO2 films of constant thickness (100 nm). Temperature-induced changes in the optical refractive indices of these thin-film bilayers are measured by a variable angle spectroscopic ellipsometer VASE®. The optical data and the thermo-optic coefficients of the films are retrieved and calculated by applying the Cauchy model and the linear fitting regression algorithm, in order to evaluate the surface porosity model of TiO2 films. The effects of TiO2 surface defects on the films’ thermo-optic properties are reduced and modified by depositing ultra-thin ALD-Al2O3 diffusion barrier layers. Increasing the ALD-Al2O3 thickness from 20 nm to 30 nm results in a sign change of the thermo-optic coefficient of the ALD-TiO2. The thermo-optic coefficients of the 100 nm-thick ALD-TiO2 film and 30 nm-thick ALD-Al2O3 film in a bilayer are (0.048 ± 0.134) × 10−4 °C−1 and (0.680 ± 0.313) × 10−4 °C−1, respectively, at a temperature T = 62 °C.

  20. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  1. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  2. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  3. Electron Trap Energy Distribution in ALD Al2O3, LaAl4Ox, and GdyAl2-yO3 Layers on Silicon

    NASA Astrophysics Data System (ADS)

    Wang, W. C.; Badylevich, M.; Adelmann, C.; Swerts, J.; Kittl, J. A.; Afanas'ev, V. V.

    2012-12-01

    The energy distribution of electron trap density in atomic layer deposited Al2O3, LaAl4Ox and GdyAl2-yO3 insulating layers was studied by using the exhaustive photodepopulation spectroscopy. Upon filling the traps by electron tunneling from Si substrate, a broad energy distribution of trap levels in the energy range 2-4 eV is found in all studied insulators with trap densities in the range of 1012 cm-2eV-1. The incorporation of La and Gd cations reduces the trap density in aluminate layers as compared to Al2O3. Crystallization of the insulator by the post-deposition annealing is found to increase the trap density while the energy distribution remains unchanged. The similar trap spectra in the Al2O3 and La or Gd aluminate layers suggest the common nature of the traps, probably originating from imperfections in the AlOx sub-network.

  4. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  5. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  6. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  7. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  8. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  9. First-Principles Study on the Thermal Stability of LiNiO2 Materials Coated by Amorphous Al2O3 with Atomic Layer Thickness.

    PubMed

    Kang, Joonhee; Han, Byungchan

    2015-06-03

    Using first-principles calculations, we study how to enhance thermal stability of high Ni compositional cathodes in Li-ion battery application. Using the archetype material LiNiO2 (LNO), we identify that ultrathin coating of Al2O3 (0001) on LNO(012) surface, which is the Li de-/intercalation channel, substantially improves the instability problem. Density functional theory calculations indicate that the Al2O3 deposits show phase transition from the corundum-type crystalline (c-Al2O3) to amorphous (a-Al2O3) structures as the number of coating layers reaches three. Ab initio molecular dynamic simulations on the LNO(012) surface coated by a-Al2O3 (about 0.88 nm) with three atomic layers oxygen gas evolution is strongly suppressed at T=400 K. We find that the underlying mechanism is the strong contacting force at the interface between LNO(012) and Al2O3 deposits, which, in turn, originated from highly ionic chemical bonding of Al and O at the interface. Furthermore, we identify that thermodynamic stability of the a-Al2O3 is even more enhanced with Li in the layer, implying that the protection for the LNO(012) surface by the coating layer is meaningful over the charging process. Our approach contributes to the design of innovative cathode materials with not only high-energy capacity but also long-term thermal and electrochemical stability applicable for a variety of electrochemical energy devices including Li-ion batteries.

  10. Atomic to Nanoscale Investigation of Functionalities of Al2O3 Coating Layer on Cathode for Enhanced Battery Performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng

    2016-01-06

    Surface coating of cathode has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin layer of coating, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration corrected scanning transmission electron microscopy and high efficient spectroscopy to probe the delicate functioning mechanism of Al2O3 coating layer on Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between cathode and the electrolyte upon the battery cycling. At the same time,more » the Al2O3 coating layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore avoiding the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will initiate from the particle surface and propagate towards the interior of the particle with the progression of the battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight for optimized design of coating layer on cathode to enhance the battery properties.« less

  11. Interface Properties of Atomic-Layer-Deposited Al2O3 Thin Films on Ultraviolet/Ozone-Treated Multilayer MoS2 Crystals.

    PubMed

    Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong

    2016-05-11

    We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.

  12. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  13. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  14. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  15. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    NASA Astrophysics Data System (ADS)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  16. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  17. Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques

    NASA Astrophysics Data System (ADS)

    Li, Chao

    Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post

  18. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  19. Atomic to Nanoscale Investigation of Functionalities of an Al2O3 Coating Layer on a Cathode for Enhanced Battery Performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng

    2016-02-09

    Surface coating has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin coating layer, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration-corrected scanning transmission electron microscopy and high-efficiency spectroscopy to probe the delicate functioning mechanism of an Al2O3 coating layer on a Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between the cathode and the electrolyte during battery cycling. At the same time, the Al2O3 coatingmore » layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore preventing the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will be initiated from the particle surface and propagate toward the interior of the particle with the progression of battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight into the optimized design of a coating layer on a cathode to enhance the battery properties.« less

  20. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  1. W:Al 2O 3 nanocomposite thin films with tunable optical properties prepared by atomic layer deposition

    DOE PAGES

    Babar, Shaista; Mane, Anil U.; Yanguas-Gil, Angel; ...

    2016-06-17

    Here, a systematic alteration in the optical properties of W:Al 2O 3 nanocomposite films is demonstrated by precisely varying the W cycle percentage (W%) from 0 to 100% in Al 2O 3 during atomic layer deposition. The direct and indirect band energies of the nanocomposite materials decrease from 5.2 to 4.2 eV and from 3.3 to 1.8 eV, respectively, by increasing the W% from 10 to 40. X-ray absorption spectroscopy reveals that, for W% < 50, W is present in both metallic and suboxide states, whereas, for W% ≥ 50, only metallic W is seen. This transition from dielectric tomore » metallic character at W% ~ 50 is accompanied by an increase in the electrical and thermal conductivity and the disappearance of a clear band gap in the absorption spectrum. The density of the films increases monotonically from 3.1 g/cm 3 for pure Al 2O 3 to 17.1 g/cm 3 for pure W, whereas the surface roughness is greatest for the W% = 50 films. The W:Al 2O 3 nanocomposite films are thermally stable and show little change in optical properties upon annealing in air at 500 °C. These W:Al 2O 3 nanocomposite films show promise as selective solar absorption coatings for concentrated solar power applications.« less

  2. Trapped charge densities in Al{sub 2}O{sub 3}-based silicon surface passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jordan, Paul M., E-mail: Paul.Jordan@namlab.com; Simon, Daniel K.; Dirnstorfer, Ingo

    2016-06-07

    In Al{sub 2}O{sub 3}-based passivation layers, the formation of fixed charges and trap sites can be strongly influenced by small modifications in the stack layout. Fixed and trapped charge densities are characterized with capacitance voltage profiling and trap spectroscopy by charge injection and sensing, respectively. Al{sub 2}O{sub 3} layers are grown by atomic layer deposition with very thin (∼1 nm) SiO{sub 2} or HfO{sub 2} interlayers or interface layers. In SiO{sub 2}/Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} stacks, both fixed charges and trap sites are reduced by at least a factor of 5 compared with the value measured inmore » pure Al{sub 2}O{sub 3}. In Al{sub 2}O{sub 3}/SiO{sub 2}/Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/HfO{sub 2}/Al{sub 2}O{sub 3} stacks, very high total charge densities of up to 9 × 10{sup 12} cm{sup −2} are achieved. These charge densities are described as functions of electrical stress voltage, time, and the Al{sub 2}O{sub 3} layer thickness between silicon and the HfO{sub 2} or the SiO{sub 2} interlayer. Despite the strong variation of trap sites, all stacks reach very good effective carrier lifetimes of up to 8 and 20 ms on p- and n-type silicon substrates, respectively. Controlling the trap sites in Al{sub 2}O{sub 3} layers opens the possibility to engineer the field-effect passivation in the solar cells.« less

  3. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  6. Piezo-tunnel effect in Al/Al2O3/Al junctions elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Rafael, R.; Puyoo, E.; Malhaire, C.

    2017-11-01

    In this work, the electrical transport in Al/Al2O3/Al junctions under mechanical stress is investigated in the perspective to use them as strain sensors. The metal/insulator/metal junctions are elaborated with a low temperature process (≤200 °C) fully compatible with CMOS back-end-of-line. The conduction mechanism in the structure is found to be Fowler-Nordheim tunneling, and efforts are made to extract the relevant physical parameters. Gauge factors up to -32.5 were found in the fabricated devices under tensile stress. Finally, theoretical mechanical considerations give strong evidence that strain sensitivity in Al/Al2O3/Al structures originates not only from geometrical deformations but also from the variation of interface barrier height and/or effective electronic mass in the tunneling oxide layer.

  7. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    NASA Astrophysics Data System (ADS)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  8. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography.

    PubMed

    Wu, Yizhi; Giddings, A Devin; Verheijen, Marcel A; Macco, Bart; Prosa, Ty J; Larson, David J; Roozeboom, Fred; Kessels, Wilhelmus M M

    2018-02-27

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called "ALD supercycles" is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width-half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm -3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors.

  9. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  10. Band Alignment for Rectification and Tunneling Effects in Al2O3 Atomic-Layer-Deposited on Back Contact for CdTe Solar Cell.

    PubMed

    Su, Yantao; Xin, Chao; Feng, Yancong; Lin, Qinxian; Wang, Xinwei; Liang, Jun; Zheng, Jiaxin; Lin, Yuan; Pan, Feng

    2016-10-11

    The present work intends to explain why ultrathin Al 2 O 3 atomic-layer-deposited (ALD) on the back contact with rectification and tunneling effects can significantly improve the performance of CdTe solar cells in our previous work [ Liang , J. ; et al. Appl. Phys. Lett. 2015 , 107 , 013907 ]. Herein, we further study the mechanism through establishing the interfacial energy band diagram configuration of the ALD Al 2 O 3 /Cu x Te by experiment of X-ray photoelectron spectroscopy and first-principles calculations and conclude to find the band alignment with optimized layer thickness (about 1 nm ALD Al 2 O 3 ) as the key factor for rectification and tunneling effects.

  11. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  12. Dopant Distribution in Atomic Layer Deposited ZnO:Al Films Visualized by Transmission Electron Microscopy and Atom Probe Tomography

    PubMed Central

    2018-01-01

    The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called “ALD supercycles” is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width–half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm–3 can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors. PMID:29515290

  13. Laser damage properties of TiO{sub 2}/Al{sub 2}O{sub 3} thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Yaowei; Liu Hao; Sheng Ouyang

    2011-08-20

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO{sub 2}/Al{sub 2}O{sub 3} films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the filmsmore » deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm {Phi} samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO{sub 2}/Al{sub 2}O{sub 3} films, the LIDTs were 6.73{+-}0.47 J/cm{sup 2} and 6.5{+-}0.46 J/cm{sup 2} at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.« less

  14. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  15. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  16. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    PubMed

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  17. Surface morphological properties of Ag-Al2O3 nanocermet layers using dip-coating technique

    NASA Astrophysics Data System (ADS)

    Muhammad, Nor Adhila; Suhaimi, Siti Fatimah; Zubir, Zuhana Ahmad; Daud, Sahhidan

    2017-12-01

    Ag-Al2O3 nanocermet layer was deposited on Cu coated glass substrate using dip-coating technique. The aim of this study was to observe the surface morphology properties of Ag-Al2O3 nanocermet layers after annealing process at 350°C in H2. The surface morphology of Ag-Al2O3 nanocermet will be characterized by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM) and X-Ray Diffractometer (XRD), respectively. The results show that nearly isolated Ag particles having a large and small size were present in the Al2O3 dielectric matrix after annealing process. The face centered cubic crystalline structure of Ag nanoparticles inclusion in the amorphous alumina dielectric matrix was confirmed using XRD pattern and supported by EDX spectra analysis.

  18. Enhanced photoelectrocatalytic performance of α-Fe2O3 thin films by surface plasmon resonance of Au nanoparticles coupled with surface passivation by atom layer deposition of Al2O3.

    PubMed

    Liu, Yuting; Xu, Zhen; Yin, Min; Fan, Haowen; Cheng, Weijie; Lu, Linfeng; Song, Ye; Ma, Jing; Zhu, Xufei

    2015-12-01

    The short lifetime of photogenerated charge carriers of hematite (α-Fe2O3) thin films strongly hindered the PEC performances. Herein, α-Fe2O3 thin films with surface nanowire were synthesized by electrodeposition and post annealing method for photoelectrocatalytic (PEC) water splitting. The thickness of the α-Fe2O3 films can be precisely controlled by adjusting the duration of the electrodeposition. The Au nanoparticles (NPs) and Al2O3 shell by atom layer deposition were further introduced to modify the photoelectrodes. Different constructions were made with different deposition orders of Au and Al2O3 on Fe2O3 films. The Fe2O3-Au-Al2O3 construction shows the best PEC performance with 1.78 times enhancement by localized surface plasmon resonance (LSPR) of NPs in conjunction with surface passivation of Al2O3 shells. Numerical simulation was carried out to investigate the promotion mechanisms. The high PEC performance for Fe2O3-Au-Al2O3 construction electrode could be attributed to the Al2O3 intensified LSPR, effective surface passivation by Al2O3 coating, and the efficient charge transfer due to the Fe2O3-Au Schottky junctions.

  19. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    PubMed

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  1. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  2. Atomic Layer Deposition of Al2O3-Ga2O3 Alloy Coatings for Li[Ni0.5Mn0.3Co0.2]O2 Cathode to Improve Rate Performance in Li-Ion Battery.

    PubMed

    Laskar, Masihhur R; Jackson, David H K; Guan, Yingxin; Xu, Shenzhen; Fang, Shuyu; Dreibelbis, Mark; Mahanthappa, Mahesh K; Morgan, Dane; Hamers, Robert J; Kuech, Thomas F

    2016-04-27

    Metal oxide coatings can improve the electrochemical stability of cathodes and hence, their cycle-life in rechargeable batteries. However, such coatings often impose an additional electrical and ionic transport resistance to cathode surfaces leading to poor charge-discharge capacity at high C-rates. Here, a mixed oxide (Al2O3)1-x(Ga2O3)x alloy coating, prepared via atomic layer deposition (ALD), on Li[Ni0.5Mn0.3Co0.2]O2 (NMC) cathodes is developed that has increased electron conductivity and demonstrated an improved rate performance in comparison to uncoated NMC. A "co-pulsing" ALD technique was used which allows intimate and controlled ternary mixing of deposited film to obtain nanometer-thick mixed oxide coatings. Co-pulsing allows for independent control over film composition and thickness in contrast to separate sequential pulsing of the metal sources. (Al2O3)1-x(Ga2O3)x alloy coatings were demonstrated to improve the cycle life of the battery. Cycle tests show that increasing Al-content in alloy coatings increases capacity retention; whereas a mixture of compositions near (Al2O3)0.5(Ga2O3)0.5 was found to produce the optimal rate performance.

  3. Atomic layer deposition of high-density Pt nanodots on Al2O3 film using (MeCp)Pt(Me)3 and O2 precursors for nonvolatile memory applications

    PubMed Central

    2013-01-01

    Pt nanodots have been grown on Al2O3 film via atomic layer deposition (ALD) using (MeCp)Pt(Me)3 and O2 precursors. Influence of the substrate temperature, pulse time of (MeCp)Pt(Me)3, and deposition cycles on ALD Pt has been studied comprehensively by scanning electron microscopy, transmission electron microscopy, and X-ray photoelectron spectroscopy. Therefore, Pt nanodots with a high density of approximately 2 × 1012 cm-2 have been achieved under optimized conditions: 300°C substrate temperature, 1 s pulse time of (MeCp)Pt(Me)3, and 70 deposition cycles. Further, metal-oxide-semiconductor capacitors with Pt nanodots embedded in ALD Al2O3 dielectric have been fabricated and characterized electrically, indicating noticeable electron trapping capacity, efficient programmable and erasable characteristics, and good charge retention. PMID:23413837

  4. Atomic layer deposition of highly-doped Er:Al2O3 and Tm:Al2O3 for silicon-based waveguide amplifiers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Roenn, John; Karvonen, Lasse; Pyymäki-Perros, Alexander; Peyghambarian, Nasser; Lipsanen, Harri; Säynätjoki, Antti; Sun, Zhipei

    2016-05-01

    Recently, rare-earth doped waveguide amplifiers (REDWAs) have drawn significant attention as a promising solution to on-chip amplification of light in silicon photonics and integrated optics by virtue of their high excited state lifetime (up to 10 ms) and broad emission spectrum (up to 200 nm) at infrared wavelengths. In the family of rare-earths, at least erbium, holmium, thulium, neodymium and ytterbium have been demonstrated to be good candidates for amplifier operation at moderate concentrations (< 0.1 %). However, efficient amplifier operation in REDWAs is a very challenging task because high concentration of ions (<0.1%) is required in order to produce reasonable amplification over short device length. Inevitably, high concentration of ions leads to energy-transfer between neighboring ions, which results as decreased gain and increased noise in the amplifier system. It has been shown that these energy-transfer mechanisms in highly-doped gain media are inversely proportional to the sixth power of the distance between the ions. Therefore, novel fabrication techniques with the ability to control the distribution of the rare-earth ions within the gain medium are urgently needed in order to fabricate REDWAs with high efficiency and low noise. Here, we show that atomic layer deposition (ALD) is an excellent technique to fabricate highly-doped (<1%) RE:Al2O3 gain materials by using its nanoscale engineering ability to delicately control the incorporation of RE ions during the deposition. In our experiment, we fabricated Er:Al2O3 and Tm:Al2O3 thin films with ALD by varying the concentration of RE ions from 1% to 7%. By measuring the photoluminescence response of the fabricated samples, we demonstrate that it is possible to incorporate up to 5% of either Er- or Tm-ions in Al2O3 host before severe quenching occurs. We believe that this technique can be extended to other RE ions as well. Therefore, our results show the exceptionality of ALD as a deposition technique for

  5. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  6. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    PubMed

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  7. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased andmore » the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering

  8. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  9. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk; Takayama, Osamu; Lavrinenko, Andrei V.

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively withoutmore » any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.« less

  10. What is limiting low-temperature atomic layer deposition of Al{sub 2}O{sub 3}? A vibrational sum-frequency generation study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vandalon, V., E-mail: v.vandalon@tue.nl, E-mail: w.m.m.kessels@tue.nl; Kessels, W. M. M., E-mail: v.vandalon@tue.nl, E-mail: w.m.m.kessels@tue.nl

    2016-01-04

    The surface reactions during atomic layer deposition (ALD) of Al{sub 2}O{sub 3} from Al(CH{sub 3}){sub 3} and H{sub 2}O have been studied with broadband sum-frequency generation to reveal what is limiting the growth at low temperatures. The –CH{sub 3} surface coverage was measured for temperatures between 100 and 300 °C and the absolute reaction cross sections, describing the reaction kinetics, were determined for both half-cycles. It was found that –CH{sub 3} groups persisted on the surface after saturation of the H{sub 2}O half-cycle. From a direct correlation with the growth per cycle, it was established that the reduced reactivity of H{submore » 2}O towards –CH{sub 3} is the dominant factor limiting the ALD process at low temperatures.« less

  11. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  12. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  13. Gamma and proton irradiation effects and thermal stability of electrical characteristics of metal-oxide-silicon capacitors with atomic layer deposited Al 2O 3 dielectric

    DOE PAGES

    J. M. Rafi; Lynn, D.; Pellegrini, G.; ...

    2015-12-11

    The radiation hardness and thermal stability of the electrical characteristics of atomic layer deposited Al 2O 3 layers to be used as passivation films for silicon radiation detectors with slim edges are investigated. To directly measure the interface charge and to evaluate its change with the ionizing dose, metal-oxide-silicon (MOS) capacitors implementing differently processed Al 2O 3 layers were fabricated on p-type silicon substrates. Qualitatively similar results are obtained for degradation of capacitance–voltage and current–voltage characteristics under gamma and proton irradiations up to equivalent doses of 30 Mrad and 21.07 Mrad, respectively. While similar negative charge densities are initially extractedmore » for all non-irradiated capacitors, superior radiation hardness is obtained for MOS structures with alumina layers grown with H 2O instead of O 3 as oxidant precursor. Competing effects between radiation-induced positive charge trapping and hydrogen release from the H 2O-grown Al 2O 3 layers may explain their higher radiation resistance. Finally, irradiated and non-irradiated MOS capacitors with differently processed Al 2O 3 layers have been subjected to thermal treatments in air at temperatures ranging between 100 °C and 200 °C and the thermal stability of their electrical characteristics has been evaluated. Partial recovery of the gamma-induced degradation has been noticed for O 3-grown MOS structures. Lastly, this can be explained by a trapped holes emission process, for which an activation energy of 1.38 ± 0.15 eV has been extracted.« less

  14. Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.

    PubMed

    Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang

    2015-01-01

    Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.

  15. Enhanced pH sensitivity of AlGaN/GaN ion-sensitive field effect transistor with Al2O3 synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Liuan; Zhang, Tong; Liu, Xinke; Ao, Jin-Ping

    2018-01-01

    In this study, we evaluated the pH sensitivity enhancement of AlGaN/GaN ion-sensitive field-effect transistor (ISFET) coated by Al2O3 film on the sensing area utilizing atomic layer deposition (ALD). The presence of the Al2O3 film leads to an obvious reduction of surface state density as well as leakage current in the solution, which is beneficial for improving the stability of the ISFET. Furthermore, the sensitivity of the ISFET was improved to 57.8 mV/pH, which is very close to the Nernstian limit at room temperature. The pH sensitivity enhancement can be explained by the higher density of sensing site as well as better surface hydrophilicity.

  16. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  17. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  18. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  19. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  20. Synthesis and characterization of two layered aluminophosphates, ( T) 2HAl 2P 3O 12 ( T=2-BuNH 3+) and ( T)H 2Al 2P 3O 12 ( T=pyH +)

    NASA Astrophysics Data System (ADS)

    Chippindale, Ann M.; Powell, Anthony V.; Bull, Lucy M.; Jones, Richard H.; Cheetham, Anthony K.; Thomas, John M.; Xu, Ruren

    1992-01-01

    Two new aluminophosphates, ( T) 2HAl 2P 3O 12 ( T=2-BuNH 3+) ( I) and ( T)H 2Al 2P 3O 12 ( T=pyH +) ( II) with the same framework stoichiometry but different layer structures have been prepared under nonaqueous conditions and the structures determined by single-crystal X-ray diffraction. Compound ( I) crystallizes in the monoclinic space group P2 1/ c ( Z=4), with lattice parameters a=9.261(1) b=8.365(6), c=27.119(4) Å, β=91.50(1)δ, and V=2100.1 Å 3 ( R=0.072 and R w=0.090). The structure consists of Al-and P-centered tetrahedra linked to form layers. Protonated 2-butylamine molecules are located in the interlayer spaces and hydrogen bonded to the layers through NH 3+ groups. Weak hydrophobic van der Waals' interactions between alkyl groups of the 2-BuNH 3+ cations hold the layers together. Compound ( II) crystallizes in the triclinic space group P-1 ( Z=2), with a=8.574(2), b=8.631(3), c=10.371(2) Å, α=81.84(3), β=87.53(2), γ=69.07(2)δ, and V=709.49Å 3 ( R=0.039 and R w=0.052). The structure contains tetrahedrally coordinated P atoms and both tetrahedral and trigonal pyramidal Al atoms linked to form layers which are held together through hydrogen bonding, creating cavities in which pyH + cations reside.

  1. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  2. Miscibility of amorphous ZrO2-Al2O3 binary alloy

    NASA Astrophysics Data System (ADS)

    Zhao, C.; Richard, O.; Bender, H.; Caymax, M.; De Gendt, S.; Heyns, M.; Young, E.; Roebben, G.; Van Der Biest, O.; Haukka, S.

    2002-04-01

    Miscibility is a key factor for maintaining the homogeneity of the amorphous structure in a ZrO2-Al2O3 binary alloy high-k dielectric layer. In the present work, a ZrO2/Al2O3 laminate thin layer has been prepared by atomic layer chemical vapor deposition on a Si (100) wafer. This layer, with artificially induced inhomogeneity (lamination), enables one to study the change in homogeneity of the amorphous phase in the ZrO2/Al2O3 system during annealing. High temperature grazing incidence x-ray diffraction (HT-XRD) was used to investigate the change in intensity of the constructive interference peak of the x-ray beams which are reflected from the interfaces of ZrO2/Al2O3 laminae. The HT-XRD spectra show that the intensity of the peak decreases with an increase in the anneal temperature, and at 800 °C, the peak disappears. The same samples were annealed by a rapid thermal process (RTP) at temperatures between 700 and 1000 °C for 60 s. Room temperature XRD of the RTP annealed samples shows a similar decrease in peak intensity. Transmission electronic microscope images confirm that the laminate structure is destroyed by RTP anneals and, just below the crystallization onset temperature, a homogeneous amorphous ZrAlxOy phase forms. The results demonstrate that the two artificially separated phases, ZrO2 and Al2O3 laminae, tend to mix into a homogeneous amorphous phase before crystallization. This observation indicates that the thermal stability of ZrO2-Al2O3 amorphous phase is suitable for high-k applications.

  3. Surface passivation of Fe{sub 3}O{sub 4} nanoparticles with Al{sub 2}O{sub 3} via atomic layer deposition in a rotating fluidized bed reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, Chen-Long; Deng, Zhang; Cao, Kun

    2016-07-15

    Iron(II,III) oxide (Fe{sub 3}O{sub 4}) nanoparticles have shown great promise in many magnetic-related applications such as magnetic resonance imaging, hyperthermia treatment, and targeted drug delivery. Nevertheless, these nanoparticles are vulnerable to oxidation and magnetization loss under ambient conditions, and passivation is usually required for practical applications. In this work, a home-built rotating fluidized bed (RFB) atomic layer deposition (ALD) reactor was employed to form dense and uniform nanoscale Al{sub 2}O{sub 3} passivation layers on Fe{sub 3}O{sub 4} nanoparticles. The RFB reactor facilitated the precursor diffusion in the particle bed and intensified the dynamic dismantling of soft agglomerates, exposing every surfacemore » reactive site to precursor gases. With the aid of in situ mass spectroscopy, it was found that a thicker fluidization bed formed by larger amount of particles increased the residence time of precursors. The prolonged residence time allowed more thorough interactions between the particle surfaces and the precursor gas, resulting in an improvement of the precursor utilization from 78% to nearly 100%, even under a high precursor feeding rate. Uniform passivation layers around the magnetic cores were demonstrated by both transmission electron microscopy and the statistical analysis of Al mass concentrations. Individual particles were coated instead of the soft agglomerates, as was validated by the specific surface area analysis and particle size distribution. The results of thermogravimetric analysis suggested that 5 nm-thick ultrathin Al{sub 2}O{sub 3} coatings could effectively protect the Fe{sub 3}O{sub 4} nanoparticles from oxidation. The x-ray diffraction patterns also showed that the magnetic core crystallinity of such passivated nanoparticles could be well preserved under accelerated oxidation conditions. The precise thickness control via ALD maintained the saturation magnetization at 66.7 emu/g with a 5

  4. The effect of light soaking on crystalline silicon surface passivation by atomic layer deposited Al2O3

    NASA Astrophysics Data System (ADS)

    Liao, Baochen; Stangl, Rolf; Mueller, Thomas; Lin, Fen; Bhatia, Charanjit S.; Hoex, Bram

    2013-01-01

    The effect of light soaking of crystalline silicon wafer lifetime samples surface passivated by thermal atomic layer deposited (ALD) Al2O3 is investigated in this paper. Contrary to other passivation materials used in solar cell applications (i.e., SiO2, SiNx), using thermal ALD Al2O3, an increase in effective carrier lifetime after light soaking under standard testing conditions is observed for both p-type (˜45%) and n-type (˜60%) FZ c-Si lifetime samples. After light soaking and storing the samples in a dark and dry environment, the effective lifetime decreases again and practically returns to the value before light soaking. The rate of lifetime decrease after light soaking is significantly slower than the rate of lifetime increase by light soaking. To investigate the underlying mechanism, corona charge experiments are carried out on p-type c-Si samples before and after light soaking. The results indicate that the negative fixed charge density Qf present in the Al2O3 films increases due to the light soaking, which results in an improved field-effect passivation. Numerical calculations also confirm that the improved field-effect passivation is the main contributor for the increased effective lifetime after light soaking. To further understand the light soaking phenomenon, a kinetic model—a charge trapping/de-trapping model—is proposed to explain the time dependent behavior of the lifetime increase/decrease observed under/after light soaking. The trap model fits the experimental results very well. The observed light enhanced passivation for ALD Al2O3 passivated c-Si is of technological relevance, because solar cell devices operate under illumination, thus an increase in solar cell efficiency due to light soaking can be expected.

  5. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    PubMed

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  6. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures

    PubMed Central

    2013-01-01

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C. PMID:23452508

  7. Atomic layer deposition and properties of ZrO2/Fe2O3 thin films

    PubMed Central

    Seemen, Helina; Ritslaid, Peeter; Rähn, Mihkel; Tamm, Aile; Kukli, Kaupo; Kasikov, Aarne; Link, Joosep; Stern, Raivo; Dueñas, Salvador; Castán, Helena; García, Héctor

    2018-01-01

    Thin solid films consisting of ZrO2 and Fe2O3 were grown by atomic layer deposition (ALD) at 400 °C. Metastable phases of ZrO2 were stabilized by Fe2O3 doping. The number of alternating ZrO2 and Fe2O3 deposition cycles were varied in order to achieve films with different cation ratios. The influence of annealing on the composition and structure of the thin films was investigated. Additionally, the influence of composition and structure on electrical and magnetic properties was studied. Several samples exhibited a measurable saturation magnetization and most of the samples exhibited a charge polarization. Both phenomena were observed in the sample with a Zr/Fe atomic ratio of 2.0. PMID:29441257

  8. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  9. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  10. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  11. Multishelled CaO Microspheres Stabilized by Atomic Layer Deposition of Al2 O3 for Enhanced CO2 Capture Performance.

    PubMed

    Armutlulu, Andac; Naeem, Muhammad Awais; Liu, Hsueh-Ju; Kim, Sung Min; Kierzkowska, Agnieszka; Fedorov, Alexey; Müller, Christoph R

    2017-11-01

    CO 2 capture and storage is a promising concept to reduce anthropogenic CO 2 emissions. The most established technology for capturing CO 2 relies on amine scrubbing that is, however, associated with high costs. Technoeconomic studies show that using CaO as a high-temperature CO 2 sorbent can significantly reduce the costs of CO 2 capture. A serious disadvantage of CaO derived from earth-abundant precursors, e.g., limestone, is the rapid, sintering-induced decay of its cyclic CO 2 uptake. Here, a template-assisted hydrothermal approach to develop CaO-based sorbents exhibiting a very high and cyclically stable CO 2 uptake is exploited. The morphological characteristics of these sorbents, i.e., a porous shell comprised of CaO nanoparticles coated by a thin layer of Al 2 O 3 (<3 nm) containing a central void, ensure (i) minimal diffusion limitations, (ii) space to accompany the substantial volumetric changes during CO 2 capture and release, and (iii) a minimal quantity of Al 2 O 3 for structural stabilization, thus maximizing the fraction of CO 2 -capture-active CaO. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. ALD Produced B{sub 2}O{sub 3}, Al{sub 2}O{sub 3} and TiO{sub 2} Coatings on Gd{sub 2}O{sub 3} Burnable Poison Nanoparticles and Carbonaceous TRISO Coating Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weimer, Alan

    2012-11-26

    This project will demonstrate the feasibility of using atomic layer deposition (ALD) to apply ultrathin neutron-absorbing, corrosion-resistant layers consisting of ceramics, metals, or combinations thereof, on particles for enhanced nuclear fuel pellets. Current pellet coating technology utilizes chemical vapor deposition (CVD) in a fluidized bed reactor to deposit thick, porous layers of C (or PyC) and SiC. These graphitic/carbide materials degrade over time owing to fission product bombardment, active oxidation, thermal management issues, and long-term irradiation effects. ALD can be used to deposit potential ceramic barrier materials of interest, including ZrO{sub 2}, Y{sub 2}O{sub 3}:ZrO{sub 2} (YSZ), Al{sub 2}O{sub 3},more » and TiO{sub 2}, or neutron-absorbing materials, namely B (in BN or B{sub 2}O{sub 3}) and Gd (in Gd{sub 2}O{sub 3}). This project consists of a two-pronged approach to integrate ALD into the next-generation nuclear plant (NGNP) fuel pellet manufacturing process:« less

  13. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gatemore » bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.« less

  14. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  15. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  16. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  17. Deposition temperature dependence of material and Si surface passivation properties of O{sub 3}-based atomic layer deposited Al{sub 2}O{sub 3}-based films and stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bordihn, Stefan, E-mail: s.bordihn2@q-cells.com; Mertens, Verena; Müller, Jörg W.

    2014-01-15

    The material composition and the Si surface passivation of aluminum oxide (Al{sub 2}O{sub 3}) films prepared by atomic layer deposition using Al(CH{sub 3}){sub 3} and O{sub 3} as precursors were investigated for deposition temperatures (T{sub Dep}) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H] < 0.5 at. % at 400 °C and 500 °C. The surface passivation performance was investigated after annealing at 300 °C–450 °C and also after firing stepsmore » in the typical temperature range of 800 °C–925 °C. A similar high level of the surface passivation performance, i.e., surface recombination velocity values <10 cm/s, was obtained after annealing and firing. Investigations of Al{sub 2}O{sub 3}/SiN{sub x} stacks complemented the work and revealed similar levels of surface passivation as single-layer Al{sub 2}O{sub 3} films, both for the chemical and field-effect passivation. The fixed charge density in the Al{sub 2}O{sub 3}/SiN{sub x} stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10{sup 12} cm{sup −2} to 3·10{sup 11} cm{sup −2} when T{sub Dep} was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T{sub Dep}. When firing films prepared at of low T{sub Dep}, blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al{sub 2}O{sub 3}-based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen.« less

  18. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  19. Density functional theory study of HfCl4, ZrCl4, and Al(CH3)3 decomposition on hydroxylated SiO2: Initial stage of high-k atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Jeloaica, L.; Estève, A.; Djafari Rouhani, M.; Estève, D.

    2003-07-01

    The initial stage of atomic layer deposition of HfO2, ZrO2, and Al2O3 high-k films, i.e., the decomposition of HfCl4, ZrCl4, and Al(CH3)3 precursor molecules on an OH-terminated SiO2 surface, is investigated within density functional theory. The energy barriers are determined using artificial activation of vibrational normal modes. For all precursors, reaction proceeds through the formation of intermediate complexes that have equivalent formation energies (˜-0.45 eV), and results in HCl and CH4 formation with activation energies of 0.88, 0.91, and 1.04 eV for Hf, Zr, and Al based precursors, respectively. The reaction product of Al(CH3)3 decomposition is found to be more stable (by -1.45 eV) than the chemisorbed intermediate complex compared to the endothermic decomposition of HfCl4 and ZrCl4 chemisorbed precursors (0.26 and 0.29 eV, respectively).

  20. Band alignment of atomic layer deposited MgO/Zn0.8Al0.2O heterointerface determined by charge corrected X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Yan, Baojun; Liu, Shulin; Yang, Yuzhen; Heng, Yuekun

    2016-05-01

    Pure magnesium (MgO) and zinc oxide doped with aluminum oxide (Zn0.8Al0.2O) were prepared via atomic layer deposition. We have studied the structure and band gap of bulk Zn0.8Al0.2O material by X-ray diffractometer (XRD) and Tauc method, and the band offsets and alignment of atomic layer deposited MgO/Zn0.8Al0.2O heterointerface were investigated systematically using X-ray photoelectron spectroscopy (XPS) in this study. Different methodologies, such as neutralizing electron gun, the use of C 1s peak recalibration and zero charging method, were applied to recover the actual position of the core levels in insulator materials which were easily influenced by differential charging phenomena. Schematic band alignment diagram, valence band offset (ΔEV) and conduction band offset (ΔEC) for the interface of the MgO/Zn0.8Al0.2O heterostructure have been constructed. An accurate value of ΔEV = 0.72 ± 0.11 eV was obtained from various combinations of core levels of heterojunction with varied MgO thickness. Given the experimental band gaps of 7.83 eV for MgO and 5.29 eV for Zn0.8Al0.2O, a type-II heterojunction with a ΔEC of 3.26 ± 0.11 eV was found. Band offsets and alignment studies of these heterojunctions are important for gaining deep consideration to the design of various optoelectronic devices based on such heterointerface.

  1. Atomic Layer Deposition for Coating of High Aspect Ratio TiO2 Nanotube Layers

    PubMed Central

    2016-01-01

    We present an optimized approach for the deposition of Al2O3 (as a model secondary material) coating into high aspect ratio (≈180) anodic TiO2 nanotube layers using the atomic layer deposition (ALD) process. In order to study the influence of the diffusion of the Al2O3 precursors on the resulting coating thickness, ALD processes with different exposure times (i.e., 0.5, 2, 5, and 10 s) of the trimethylaluminum (TMA) precursor were performed. Uniform coating of the nanotube interiors was achieved with longer exposure times (5 and 10 s), as verified by detailed scanning electron microscopy analysis. Quartz crystal microbalance measurements were used to monitor the deposition process and its particular features due to the tube diameter gradient. Finally, theoretical calculations were performed to calculate the minimum precursor exposure time to attain uniform coating. Theoretical values on the diffusion regime matched with the experimental results and helped to obtain valuable information for further optimization of ALD coating processes. The presented approach provides a straightforward solution toward the development of many novel devices, based on a high surface area interface between TiO2 nanotubes and a secondary material (such as Al2O3). PMID:27643411

  2. Monolayer dispersion of CoO on Al2O3 probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Liu, Z. W.; Zhang, H. J.; Chen, Z. Q.

    2014-02-01

    CoO/Al2O3 catalysts were prepared by wet impregnation method with CoO contents ranging from 0 wt% to 24 wt%. X-ray diffraction and X-ray photoelectron spectroscopy measurements suggest formation of CoO after calcined in N2. Quantitative X-ray diffraction analysis indicates monolayer dispersion capacity of CoO in CoO/Al2O3 catalysts to be about 3 wt%. Positron annihilation lifetime and coincidence Doppler broadening measurements were performed to study the dispersion state of CoO on Al2O3. The positron lifetime measurements reveal two long lifetime components τ3 and τ4, which correspond to ortho-positronium annihilation lifetime in microvoids and large pores, respectively. It was found that the positronium atom is very sensitive to the dispersion state of CoO on Al2O3. The presence of CoO significantly decreases both the lifetime and the intensity of τ4. Detailed analysis of the coincidence Doppler broadening measurements suggests that with the CoO content lower than the monolayer dispersion, spin conversion reaction of positronium is induced by CoO. When the cobalt content is higher than the monolayer dispersion capacity, inhibition of positronium formation becomes the dominate effect.

  3. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  4. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  5. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer.

    PubMed

    Black, L E; Cavalli, A; Verheijen, M A; Haverkort, J E M; Bakkers, E P A M; Kessels, W M M

    2017-10-11

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a PO x layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since PO x is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al 2 O 3 capping layer to form a PO x /Al 2 O 3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm -2 ), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as

  6. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer

    PubMed Central

    2017-01-01

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a POx layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since POx is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al2O3 capping layer to form a POx/Al2O3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm–2), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as nanolasers and

  7. Electrical Double Layer Capacitance in a Graphene-embedded Al2O3 Gate Dielectric

    PubMed Central

    Ki Min, Bok; Kim, Seong K.; Jun Kim, Seong; Ho Kim, Sung; Kang, Min-A; Park, Chong-Yun; Song, Wooseok; Myung, Sung; Lim, Jongsun; An, Ki-Seok

    2015-01-01

    Graphene heterostructures are of considerable interest as a new class of electronic devices with exceptional performance in a broad range of applications has been realized. Here, we propose a graphene-embedded Al2O3 gate dielectric with a relatively high dielectric constant of 15.5, which is about 2 times that of Al2O3, having a low leakage current with insertion of tri-layer graphene. In this system, the enhanced capacitance of the hybrid structure can be understood by the formation of a space charge layer at the graphene/Al2O3 interface. The electrical properties of the interface can be further explained by the electrical double layer (EDL) model dominated by the diffuse layer. PMID:26530817

  8. Strain Effects in Epitaxial VO2 Thin Films on Columnar Buffer-Layer TiO2/Al2O3 Virtual Substrates.

    PubMed

    Breckenfeld, Eric; Kim, Heungsoo; Burgess, Katherine; Charipar, Nicholas; Cheng, Shu-Fan; Stroud, Rhonda; Piqué, Alberto

    2017-01-18

    Epitaxial VO 2 /TiO 2 thin film heterostructures were grown on (100) (m-cut) Al 2 O 3 substrates via pulsed laser deposition. We have demonstrated the ability to reduce the semiconductor-metal transition (SMT) temperature of VO 2 to ∼44 °C while retaining a 4 order of magnitude SMT using the TiO 2 buffer layer. A combination of electrical transport and X-ray diffraction reciprocal space mapping studies help examine the specific strain states of VO 2 /TiO 2 /Al 2 O 3 heterostructures as a function of TiO 2 film growth temperatures. Atomic force microscopy and transmission electron microscopy analyses show that the columnar microstructure present in TiO 2 buffer films is responsible for the partially strained VO 2 film behavior and subsequently favorable transport characteristics with a lower SMT temperature. Such findings are of crucial importance for both the technological implementation of the VO 2 system, where reduction of its SMT temperature is widely sought, as well as the broader complex oxide community, where greater understanding of the evolution of microstructure, strain, and functional properties is a high priority.

  9. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  10. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  11. Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3

    NASA Astrophysics Data System (ADS)

    Dogan, Ö.; Buschhausen, A.; Walk, C.; Mokwa, W.; Vogt, H.

    2018-05-01

    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes.

  12. Negative charge trapping effects in Al{sub 2}O{sub 3} films grown by atomic layer deposition onto thermally oxidized 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schilirò, Emanuela, E-mail: emanuela.schiliro@imm.cnr.it; Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania; Lo Nigro, Raffaella

    This letter reports on the negative charge trapping in Al{sub 2}O{sub 3} thin films grown by atomic layer deposition onto oxidized silicon carbide (4H-SiC). The films exhibited a permittivity of 8.4, a breakdown field of 9.2 MV/cm and small hysteresis under moderate bias cycles. However, severe electron trapping inside the Al{sub 2}O{sub 3} film (1 × 10{sup 12} cm{sup −2}) occurs upon high positive bias stress (>10 V). Capacitance-voltage measurements at different temperatures and stress conditions have been used to determine an activation energy of 0.1 eV. The results provide indications on the possible nature of the trapping defects and,more » hence, on the strategies to improve this technology for 4H-SiC devices.« less

  13. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D.

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substratemore » (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.« less

  14. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  15. Lifetime assessment of atomic-layer-deposited Al2O3-Parylene C bilayer coating for neural interfaces using accelerated age testing and electrochemical characterization.

    PubMed

    Minnikanti, Saugandhika; Diao, Guoqing; Pancrazio, Joseph J; Xie, Xianzong; Rieth, Loren; Solzbacher, Florian; Peixoto, Nathalia

    2014-02-01

    The lifetime and stability of insulation are critical features for the reliable operation of an implantable neural interface device. A critical factor for an implanted insulation's performance is its barrier properties that limit access of biological fluids to the underlying device or metal electrode. Parylene C is a material that has been used in FDA-approved implantable devices. Considered a biocompatible polymer with barrier properties, it has been used as a substrate, insulation or an encapsulation for neural implant technology. Recently, it has been suggested that a bilayer coating of Parylene C on top of atomic-layer-deposited Al2O3 would provide enhanced barrier properties. Here we report a comprehensive study to examine the mean time to failure of Parylene C and Al2O3-Parylene C coated devices using accelerated lifetime testing. Samples were tested at 60°C for up to 3 months while performing electrochemical measurements to characterize the integrity of the insulation. The mean time to failure for Al2O3-Parylene C was 4.6 times longer than Parylene C coated samples. In addition, based on modeling of the data using electrical circuit equivalents, we show here that there are two main modes of failure. Our results suggest that failure of the insulating layer is due to pore formation or blistering as well as thinning of the coating over time. The enhanced barrier properties of the bilayer Al2O3-Parylene C over Parylene C makes it a promising candidate as an encapsulating neural interface. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  16. Spectroscopy analysis of graphene like deposition using DC unbalanced magnetron sputtering on γ‐Al{sub 2}O{sub 3} buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aji, A. S., E-mail: yudi@fi.itb.ac.id; Darma, Y., E-mail: yudi@fi.itb.ac.id

    In this work, graphene-like deposition using DC unbalanced magnetron-sputtering technique on γ‐Al{sub 2}O{sub 3} layer at low temperature has been systematically studied. The γ‐Al{sub 2}O{sub 3} was growth on silicon substrate using thermal evaporation of Al wire and continuing with dry oxidation of Al at 550 °C. Sputtering process were carried out using Fe-doped carbon pellet as a target by maintain the chamber pressure of 4.6×10{sup −2} Torr at substrate temperature of 300 °C for time deposition range of 1 to 4 hours. The quality of Al{sub 2}O{sub 3} on Si(100) and the characteristic of carbon thin film on γ‐Al{submore » 2}O{sub 3} were analized by mean XRD, opctical microscopy, EDAX, FTIR, and Raman spectra. XRD and optical microscopy analysis shows that Al{sub 2}O{sub 3} film is growth uniformly on Si substrate and forming the γ phase of Al{sub 2}O{sub 3}. Raman and FTIR spectra confirm the formation of graphene like carbon layer on Al{sub 2}O{sub 3}. Additionally, thermal annealing for some sample series have been performed to study their structural stability. The change of atomic structure due to thermal annealing were analized by XRD spectra. The quality and the number of graphene layers are investigated by using Raman spectra peaks analysis.« less

  17. Strain induced atomic structure at the Ir-doped LaAlO3/SrTiO3 interface.

    PubMed

    Lee, M; Arras, R; Warot-Fonrose, B; Hungria, T; Lippmaa, M; Daimon, H; Casanove, M J

    2017-11-01

    The structure of Ir-doped LaAlO 3 /SrTiO 3 (001) interfaces was investigated on the atomic scale using probe-corrected transmission electron microscopy in high-angle annular dark-field scanning mode (HAADF-STEM) and electron energy loss spectroscopy (EELS), combined with first-principles calculations. We report the evolution of the strain state experimentally measured in a 5 unit-cell thick LaAlO 3 film as a function of the Ir concentration in the topmost SrTiO 3 layer. It is shown that the LaAlO 3 layers remain fully elastically strained up to 3% of Ir doping, whereas a higher doping level seems to promote strain relaxation through enhanced cationic interdiffusion. The observed differences between the energy loss near edge structure (ELNES) of Ti-L 2,3 and O-K edges at non-doped and Ir-doped interfaces are consistent with the location of the Ir dopants at the interface, up to 3% of Ir doping. These findings, supported by the results of density functional theory (DFT) calculations, provide strong evidence that the effect of dopant concentrations on the properties of this kind of interface should not be analyzed without obtaining essential information from the fine structural and chemical analysis of the grown structures.

  18. Designing optical metamaterial with hyperbolic dispersion based on Al:ZnO/ZnO nano-layered structure using Atomic Layer Deposition technique

    DOE PAGES

    Kelly, Priscilla; Liu, Mingzhao; Kuznetsova, Lyuba

    2016-04-07

    In this study, nano-layered Al:ZnO/ZnO hyperbolic dispersion metamaterial with a large number of layers was fabricated using the atomic layer deposition (ALD) technique. Experimental dielectric functions for Al:ZnO/ZnO structures are obtained by an ellipsometry technique in the visible and near-infrared spectral ranges. The theoretical modeling of the Al:ZnO/ZnO dielectric permittivity is done using effective medium approximation. A method for analysis of spectroscopic ellipsometry data is demonstrated to extract the optical permittivity for this highly anisotropic nano-layered metamaterial. The results of the ellipsometry analysis show that Al:ZnO/ZnO structures with a 1:9 ALD cycle ratio exhibit hyperbolic dispersion transition change near 1.8more » μm wavelength.« less

  19. Effect of Al2O3 encapsulation on multilayer MoSe2 thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Hyun Ah; Yeoul Kim, Seong; Kim, Jiyoung; Choi, Woong

    2017-03-01

    We report the effect of Al2O3 encapsulation on the device performance of multilayer MoSe2 thin-film transistors based on statistical investigation of 29 devices with a SiO2 bottom-gate dielectric. On average, Al2O3 encapsulation by atomic layer deposition increased the field-effect mobility from 10.1 cm2 V-1 s-1 to 14.8 cm2 V-1 s-1, decreased the on/off-current ratio from 8.5  ×  105 to 2.3  ×  105 and negatively shifted the threshold voltage from  -1.1 V to  -8.1 V. Calculation based on the Y-function method indicated that the enhancement of intrinsic carrier mobility occurred independently of the reduction of contact resistance after Al2O3 encapsulation. Furthermore, contrary to previous reports in the literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method for improving the carrier mobility of multilayer MoSe2 transistors, providing important implications on the application of MoSe2 and other 2D materials into high-performance transistors.

  20. Crack-resistant Al2O3–SiO2 glasses

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-01-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3–(100–x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3–SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses. PMID:27053006

  1. Atomic layer deposition of TiO2 shells on MoO3 nanobelts allowing enhanced lithium storage performance.

    PubMed

    Xie, Sanmu; Cao, Daxian; She, Yiyi; Wang, Hongkang; Shi, Jian-Wen; Leung, Micheal K H; Niu, Chunming

    2018-06-26

    Atomic layer deposition (ALD) of TiO2 shells on MoO3 nanobelts (denote as TiO2@MoO3) is realized using a home-made ALD system, which allows a controllable hydrolysis reaction of TiCl4-H2O on an atomic scale. When used as an anode material for lithium ion batteries, the TiO2@MoO3 electrode demonstrates much enhanced lithium storage performance including higher specific capacity, better cycling stability and rate capability.

  2. Photoelectron spectroscopy and density functional theory study of TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3) clusters.

    PubMed

    Zhang, Zeng-Guang; Xu, Hong-Guang; Zhao, Yuchao; Zheng, Weijun

    2010-10-21

    Small titanium-aluminum oxide clusters, TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3), were studied by using anion photoelectron spectroscopy. The adiabatic detachment energies of TiAlO(y) (-) (y=1-3) were estimated to be 1.11±0.05, 1.70±0.08, and 2.47±0.08eV based on their photoelectron spectra; those of TiAl(2)O(2) (-) and TiAl(2)O(3) (-) were estimated to be 1.17±0.08 and 2.2±0.1eV, respectively. The structures of these clusters were determined by comparison of density functional calculations with the experimental results. The structure of TiAlO(-) is nearly linear with the O atom in the middle. That of TiAlO(2) (-) is a kite-shaped structure. TiAlO(3) (-) has a kite-shaped TiAlO(2) unit with the third O atom attaching to the Ti atom. TiAl(2)O(2) (-) has two nearly degenerate Al-O-Ti-O-Al chain structures that can be considered as cis and trans forms. TiAl(2)O(3) (-) has two low-lying isomers, kite structure and book structure. The structures of these clusters indicate that the Ti atom tends to bind to more O atoms.

  3. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation

    NASA Astrophysics Data System (ADS)

    Kim, Seong Yeoul; Park, Seonyoung; Choi, Woong

    2016-10-01

    We report the effect of Al2O3 encapsulation on the carrier mobility and contact resistance of multilayer MoS2 thin-film transistors by statistically investigating 70 devices with SiO2 bottom-gate dielectric. After Al2O3 encapsulation by atomic layer deposition, calculation based on Y-function method indicates that the enhancement of carrier mobility from 24.3 cm2 V-1 s-1 to 41.2 cm2 V-1 s-1 occurs independently from the reduction of contact resistance from 276 kΩ.μm to 118 kΩ.μm. Furthermore, contrary to the previous literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method of improving the carrier mobility of multilayer MoS2 transistors, providing important implications on the application of MoS2 and other two-dimensional materials into high-performance transistors.

  4. Atomic layer deposition of Al{sub 2}O{sub 3} for single electron transistors utilizing Pt oxidation and reduction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McConnell, Michael S., E-mail: mmcconn5@nd.edu; Schneider, Louisa C.; Karbasian, Golnaz

    This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al{sub 2}O{sub 3}) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperaturesmore » (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain voltage bias). Transmission electron microscopy cross-sectional images showed that annealing did not significantly change device geometry, but energy dispersive x-ray spectroscopy showed an unusually large amount of oxygen in the bottom platinum layer. This suggests that the atomic layer deposition process results in the formation of a thin platinum surface oxide, which either decomposes or is reduced during the anneal step, resulting in a tunnel barrier without the in-series native oxide contribution. Furthermore, the difference between ozone- and water-based devices suggests that ozone promotes atomic layer deposition nucleation by oxidizing the surface but that water relies on physisorption of the precursors. To test this theory, devices were exposed to forming gas at room temperature, which also reduces platinum oxide, and a decrease in resistance was observed, as expected.« less

  5. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    NASA Astrophysics Data System (ADS)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  6. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  7. Alternative Dielectric Films for rf MEMS Capacitive Switches Deposited using Atomic Layer Deposited Al2O3/ZnO Alloys

    DTIC Science & Technology

    2006-07-02

    A s c c s r t h s l © K 1 b c A a e t s C t o 0 d Sensors and Actuators A 135 (2007) 262–272 Alternative dielectric films for rf MEMS capacitive...Zn concentrations in the alloy films , which was lower than expected. Atomic force microscopy images evealed an average surface roughness of 0.27 nm...that was independent of deposition temperature and film composition. The dielectric constants of he Al2O3/ZnO ALD alloys films were calculated to be

  8. Capability for Fine Tuning of the Refractive Index Sensing Properties of Long-Period Gratings by Atomic Layer Deposited Al2O3 Overlays

    PubMed Central

    Śmietana, Mateusz; Myśliwiec, Marcin; Mikulic, Predrag; Witkowski, Bartłomiej S.; Bock, Wojtek J.

    2013-01-01

    This work presents an application of thin aluminum oxide (Al2O3) films obtained using atomic layer deposition (ALD) for fine tuning the spectral response and refractive-index (RI) sensitivity of long-period gratings (LPGs) induced in optical fibers. The technique allows for an efficient and well controlled deposition at monolayer level (resolution ∼ 0.12 nm) of excellent quality nano-films as required for optical sensors. The effect of Al2O3 deposition on the spectral properties of the LPGs is demonstrated experimentally and numerically. We correlated both the increase in Al2O3 thickness and changes in optical properties of the film with the shift of the LPG resonance wavelength and proved that similar films are deposited on fibers and oxidized silicon reference samples in the same process run. Since the thin overlay effectively changes the distribution of the cladding modes and thus also tunes the device's RI sensitivity, the tuning can be simply realized by varying number of cycles, which is proportional to thickness of the high-refractive-index (n > 1.6 in infrared spectral range) Al2O3 film. The advantage of this approach is the precision in determining the film properties resulting in RI sensitivity of the LPGs. To the best of our knowledge, this is the first time that an ultra-precise method for overlay deposition has been applied on LPGs for RI tuning purposes and the results have been compared with numerical simulations based on LP mode approximation.

  9. Excellent Resistive Switching Performance of Cu-Se-Based Atomic Switch Using Lanthanide Metal Nanolayer at the Cu-Se/Al2O3 Interface.

    PubMed

    Woo, Hyunsuk; Vishwanath, Sujaya Kumar; Jeon, Sanghun

    2018-03-07

    The next-generation electronic society is dependent on the performance of nonvolatile memory devices, which has been continuously improving. In the last few years, many memory devices have been introduced. However, atomic switches are considered to be a simple and reliable basis for next-generation nonvolatile devices. In general, atomic switch-based resistive switching is controlled by electrochemical metallization. However, excess ion injection from the entire area of the active electrode into the switching layer causes device nonuniformity and degradation of reliability. Here, we propose the fabrication of a high-performance atomic switch based on Cu x -Se 1- x by inserting lanthanide (Ln) metal buffer layers such as neodymium (Nd), samarium (Sm), dysprosium (Dy), or lutetium (Lu) between the active metal layer and the electrolyte. Current-atomic force microscopy results confirm that Cu ions penetrate through the Ln-buffer layer and form thin conductive filaments inside the switching layer. Compared with the Pt/Cu x -Se 1- x /Al 2 O 3 /Pt device, the optimized Pt/Cu x -Se 1- x /Ln/Al 2 O 3 /Pt devices show improvement in the on/off resistance ratio (10 2 -10 7 ), retention (10 years/85 °C), endurance (∼10 000 cycles), and uniform resistance state distribution.

  10. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    NASA Astrophysics Data System (ADS)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  11. Low operation voltage and high thermal stability of a WSi2 nanocrystal memory device using an Al2O3/HfO2/Al2O3 tunnel layer

    NASA Astrophysics Data System (ADS)

    Uk Lee, Dong; Jun Lee, Hyo; Kyu Kim, Eun; You, Hee-Wook; Cho, Won-Ju

    2012-02-01

    A WSi2 nanocrystal nonvolatile memory device was fabricated with an Al2O3/HfO2/Al2O3 (AHA) tunnel layer and its electrical characteristics were evaluated at 25, 50, 70, 100, and 125 °C. The program/erase (P/E) speed at 125 °C was approximately 500 μs under threshold voltage shifts of 1 V during voltage sweeping of 8 V/-8 V. When the applied pulse voltage was ±9 V for 1 s for the P/E conditions, the memory window at 125 °C was approximately 1.25 V after 105 s. The activation energies for the charge losses of 5%, 10%, 15%, 20%, 25%, 30%, and 35% were approximately 0.05, 0.11, 0.17, 0.21, 0.23, 0.23, and 0.23 eV, respectively. The charge loss mechanisms were direct tunneling and Pool-Frenkel emission between the WSi2 nanocrystals and the AHA barrier engineered tunneling layer. The WSi2 nanocrystal memory device with multi-stacked high-K tunnel layers showed strong potential for applications in nonvolatile memory devices.

  12. A comparative study of CeO2-Al2O3 support prepared with different methods and its application on MoO3/CeO2-Al2O3 catalyst for sulfur-resistant methanation

    NASA Astrophysics Data System (ADS)

    Jiang, Minhong; Wang, Baowei; Yao, Yuqin; Li, Zhenhua; Ma, Xinbin; Qin, Shaodong; Sun, Qi

    2013-11-01

    The CeO2-Al2O3 supports prepared with impregnation (IM), deposition precipitation (DP), and solution combustion (SC) methods for MoO3/CeO2-Al2O3 catalyst were investigated in the sulfur-resistant methanation. The supports and catalysts were characterized by N2-physisorption, transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy (RS), and temperature-programmed reduction (TPR). The N2-physisorption results indicated that the DP method was favorable for obtaining better textural properties. The TEM and RS results suggested that there is a CeO2 layer on the surface of the support prepared with DP method. This CeO2 layer not only prevented the interaction between MoO3 and γ-Al2O3 to form Al2(MoO4)3 species, but also improved the dispersion of MoO3 in the catalyst. Accordingly, the catalysts whose supports were prepared with DP method exhibited the best catalytic activity. The catalysts whose supports were prepared with SC method had the worst catalytic activity. This was caused by the formation of Al2(MoO4)3 and crystalline MoO3. Additionally, the CeO2 layer resulted in the instability of catalysts in reaction process. The increasing of calcination temperature of supports reduced the catalytic activity of all catalysts. The decrease extent of the catalysts whose supports were prepared with DP method was the lowest as the CeO2 layer prevented the interaction between MoO3 and γ-Al2O3.

  13. Post deposition annealing effect on the properties of Al2O3/InP interface

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Dong Ha; Choi, Byung Joon

    2018-02-01

    Post deposition in-situ annealing effect on the interfacial and electrical properties of Au/Al2O3/n-InP junctions were investigated. With increasing the annealing time, both the barrier height and ideality factor changed slightly but the series resistance decreased significantly. Photoluminescence (PL) measurements showed that the intensities of both the near band edge (NBE) emission from InP and defect-related bands (DBs) from Al2O3 decreased with 30 min annealing. With increasing the annealing time, the diffusion of oxygen (indium) atoms into Al2O3/InP interface (into Al2O3 layer) occurred more significantly, giving rise to the increase of the interface state density. Therefore, the out-diffusion of oxygen atoms from Al2O3 during the annealing process should be controlled carefully to optimize the Al2O3/InP based devices.

  14. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    NASA Astrophysics Data System (ADS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Mankad, Ravin; Haight, Richard; Mitzi, David B.; Gunawan, Oki; Gordon, Roy G.

    2014-11-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 1019 to 1020 cm-3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 1019 to 1014 cm-3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  15. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  16. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  17. Microstructure and properties of Ti-Al intermetallic/Al2O3 layers produced on Ti6Al2Mo2Cr titanium alloy by PACVD method

    NASA Astrophysics Data System (ADS)

    Sitek, R.; Bolek, T.; Mizera, J.

    2018-04-01

    The paper presents investigation of microstructure and corrosion resistance of the multi-component surface layers built of intermetallic phases of the Ti-Al system and an outer Al2O3 ceramic sub-layer. The layers were produced on a two phase (α + β) Ti6Al2Mo2Cr titanium alloy using the PACVD method with the participation of trimethylaluminum vapors. The layers are characterized by a high surface hardness and good corrosion, better than that of these materials in the starting state. In order to find the correlation between their structure and properties, the layers were subjected to examinations using optical microscopy, X-ray diffraction analysis (XRD), surface analysis by XPS, scanning electron microscopy (SEM), and analyses of the chemical composition (EDS). The properties examined included: the corrosion resistance and the hydrogen absorptiveness. Moreover growth of the Al2O3 ceramic layer and its influence on the residual stress distribution was simulated using finite element method [FEM]. The results showed that the produced layer has amorphous-nano-crystalline structure, improved corrosion resistance and reduces the permeability of hydrogen as compared with the base material of Ti6Al2Mo2Cr -titanium alloy.

  18. MCrAlY bond coat with enhanced Yttrium layer

    DOEpatents

    Jablonski, Paul D; Hawk, Jeffrey A

    2015-04-21

    One or more embodiments relates to an MCrAlY bond coat comprising an MCrAlY layer in contact with a Y--Al.sub.2O.sub.3 layer. The MCrAlY layer is comprised of a .gamma.-M solid solution, a .beta.-MAl intermetallic phase, and Y-type intermetallics. The Y--Al.sub.2O.sub.3 layer is comprised of Yttrium atoms coordinated with oxygen atoms comprising the Al.sub.2O.sub.3 lattice. Both the MCrAlY layer and the Y--Al.sub.2O.sub.3 layer have a substantial absence of Y--Al oxides, providing advantage in the maintainability of the Yttrium reservoir within the MCrAlY bulk. The MCrAlY bond coat may be fabricated through application of a Y.sub.2O.sub.3 paste to an MCrAlY material, followed by heating in a non-oxidizing environment.

  19. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  20. In situ transmission electron microscopy observation of pulverization of aluminum nanowires and evolution of the thin surface Al2O3 layers during lithiation-delithiation cycles.

    PubMed

    Liu, Yang; Hudak, Nicholas S; Huber, Dale L; Limmer, Steven J; Sullivan, John P; Huang, Jian Yu

    2011-10-12

    Lithiation-delithiation cycles of individual aluminum nanowires (NWs) with naturally oxidized Al(2)O(3) surface layers (thickness 4-5 nm) were conducted in situ in a transmission electron microscope. Surprisingly, the lithiation was always initiated from the surface Al(2)O(3) layer, forming a stable Li-Al-O glass tube with a thickness of about 6-10 nm wrapping around the NW core. After lithiation of the surface Al(2)O(3) layer, lithiation of the inner Al core took place, which converted the single crystal Al to a polycrystalline LiAl alloy, with a volume expansion of about 100%. The Li-Al-O glass tube survived the 100% volume expansion, by enlarging through elastic and plastic deformation, acting as a solid electrolyte with exceptional mechanical robustness and ion conduction. Voids were formed in the Al NWs during the initial delithiation step and grew continuously with each subsequent delithiation, leading to pulverization of the Al NWs to isolated nanoparticles confined inside the Li-Al-O tube. There was a corresponding loss of capacity with each delithiation step when arrays of NWs were galvonostatically cycled. The results provide important insight into the degradation mechanism of lithium-alloy electrodes and into recent reports about the performance improvement of lithium ion batteries by atomic layer deposition of Al(2)O(3) onto the active materials or electrodes.

  1. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    PubMed Central

    Guo, Jing; Valdesueiro, David; Yuan, Shaojun; Liang, Bin; van Ommen, J. Ruud

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development. PMID:29364840

  2. UiO-66-NH2 Metal-Organic Framework (MOF) Nucleation on TiO2, ZnO, and Al2O3 Atomic Layer Deposition-Treated Polymer Fibers: Role of Metal Oxide on MOF Growth and Catalytic Hydrolysis of Chemical Warfare Agent Simulants.

    PubMed

    Lee, Dennis T; Zhao, Junjie; Oldham, Christopher J; Peterson, Gregory W; Parsons, Gregory N

    2017-12-27

    Metal-organic frameworks (MOFs) chemically bound to polymeric microfibrous textiles show promising performance for many future applications. In particular, Zr-based UiO-66-family MOF-textiles have been shown to catalytically degrade highly toxic chemical warfare agents (CWAs), where favorable MOF/polymer bonding and adhesion are attained by placing a nanoscale metal-oxide layer on the polymer fiber preceding MOF growth. To date, however, the nucleation mechanism of Zr-based MOFs on different metal oxides and how product performance is affected are not well understood. Herein, we provide new insight into how different inorganic nucleation films (i.e., Al 2 O 3 , ZnO, or TiO 2 ) conformally coated on polypropylene (PP) nonwoven textiles via atomic layer deposition (ALD) influence the quality, overall surface area, and the fractional yield of UiO-66-NH 2 MOF crystals solvothermally grown on fiber substrates. Of the materials explored, we find that TiO 2 ALD layers lead to the most effective overall MOF/fiber adhesion, uniformity, and a rapid catalytic degradation rate for a CWA simulant, dimethyl p-nitrophenyl phosphate (DMNP) with t 1/2 = 15 min, 580-fold faster than the catalytic performance of untreated PP textiles. Interestingly, compared to ALD TiO 2 and Al 2 O 3 , ALD ZnO induces a larger MOF yield in solution and mass loading on PP fibrous mats. However, this larger MOF yield is ascribed to chemical instability of the ZnO layer under MOF formation condition, leading to Zn 2+ ions that promote further homogeneous MOF growth. Insights presented here improve understanding of compatibility between active MOF materials and substrate surfaces, which we believe will help advanced MOF composite materials for a variety of useful functions.

  3. Electroluminescent Yb2O3:Er and Yb2Si2O7:Er nanolaminate films fabricated by atomic layer deposition on silicon

    NASA Astrophysics Data System (ADS)

    Ouyang, Zhongtao; Yang, Yang; Sun, Jiaming

    2018-06-01

    Atomic layer doped Yb2O3:Er and Yb2Si2O7:Er nanolaminate films are fabricated on silicon by atomic layer deposition, and ∼1530 nm electroluminescence (EL) is obtained from the metal-oxide-semiconductor light-emitting devices (MOSLEDs) based on these films. The Yb2O3 films transfer to Yb2Si2O7 phase after annealing above 1000 °C. Intense photoluminescence from Yb2Si2O7 film confirms high efficiency and energy transfer under optical excitation, but the limited electron conduction restricts the EL performance. EL from the Yb2O3:Er MOSLED outperforms, presenting an external quantum efficiency up to 8.5% and the power efficiency of 1 × 10-3. The EL is derived to result from the impact excitation of Er3+ ions by hot electrons, which stem from Fowler-Nordheim tunneling mechanism under sufficient bias voltage. The critical distance for the cross relaxation of doped Er3+ ions in nanolaminate Yb2O3 matrix is experimentally determined to be ∼3 nm. Such devices manifest the technological potential of Er-doped Yb-oxides for applications in silicon-based optoelectronics.

  4. High-pressure synthesis and electrochemical behavior of layered (1-a)LiNi{sub 1-y}Al{sub y}O{sub 2}.aLi[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shinova, E.; Zhecheva, E.; Stoyanova, R.

    Layered (1-a)LiNi{sub 1-y}Al{sub y}O{sub 2}.aLi[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} oxides, 0=O, Al{sub 2}O{sub 3} and Li{sub 2}O{sub 2} under high pressure. The structural characterization of the layered oxides was performed using powder XRD, IR spectroscopy and EPR spectroscopy at 9.23 and 115GHz. It has been found that the high-pressure favors Al substitution for Ni in the NiO{sub 2}-layers of layered LiNiO{sub 2}. A random Al/Ni distribution in the layer was found. The incorporation of extra Li in the Ni{sub 1-y}Al{sub y}O{sub 2}-layer starts at a precursor composition Li/(Ni+Al)>1.2. While pure NiO{sub 2}-layersmore » are able to incorporate under high-pressure up to 1/3Li, the appearance of Al in the NiO{sub 2}-layers hinders Li{sup +} dissolution (Li<(1-y)/3). In addition, with increasing Al content there is a strong cationic mixing between the layers. High-frequency EPR of Ni{sup 3+} indicates that the structural interaction of LiAl{sub y}Ni{sub 1-y}O{sub 2} with Li[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} proceeds via the formation of domains comprising different amount of Ni{sup 3+} ions. The use of Li{sub 1.08}Al{sub 0.09}Ni{sub 0.83}O{sub 2} as a cathode material in a lithium ion cells displays a first irreversible Li extraction at 4.8V, after which a reversible lithium insertion/extraction between 3.0 and 4.5V is observed on further cycling.« less

  5. Ethanol Sensor of CdO/Al2O3/CeO2 Obtained from Ce-DOPED Layered Double Hydroxides with High Response and Selectivity

    NASA Astrophysics Data System (ADS)

    Xu, Dongmei; Guan, Meiyu; Xu, Qinghong; Guo, Ying; Wang, Yao

    2013-04-01

    In this paper, Ce-doped CdAl layered double hydroxide (LDH) was first synthesized and the derivative CdO/Al2O3/CeO2 composite oxide was prepared by calcining Ce-doped CdAl LDH. The structure, morphology and chemical state of the Ce doped CdAl LDH and CdO/Al2O3/CeO2 were also investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR), solid state nuclear magnetic resonance (SSNMR), scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The gas sensing properties of CdO/Al2O3/CeO2 to ethanol were further studied and compared with CdO/Al2O3 prepared from CdAl LDH, CeO2 powder as well as the calcined Ce salt. It turns out that CdO/Al2O3/CeO2 sensor shows best performance in ethanol response. Besides, CdO/Al2O3/CeO2 possesses short response/recovery time (12/72 s) as well as remarkable selectivity in ethanol sensing, which means composite oxides prepared from LDH are very promising in gas sensing application.

  6. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  7. Synthesis and properties of γ-Ga2O3-Al2O3 solid solutions

    NASA Astrophysics Data System (ADS)

    Afonasenko, T. N.; Leont'eva, N. N.; Talzi, V. P.; Smirnova, N. S.; Savel'eva, G. G.; Shilova, A. V.; Tsyrul'nikov, P. G.

    2017-10-01

    The textural and structural properties of mixed oxides Ga2O3-Al2O3, obtained via impregnating γ-Al2O3 with a solution of Ga(NO3)3 and subsequent heat treatment, are studied. According to the results from X-ray powder diffraction, gallium ions are incorporated into the structure of aluminum oxide to form a solid solution of spinel-type γ-Ga2O3-Al2O3 up to a Ga2O3 content of 50 wt % of the total weight of the sample, accompanied by a reduction in the specific surface area, volume, and average pore diameter. It is concluded that when the Ga2O3 content exceeds 50 wt %, the β-Ga2O3 phase is observed along with γ-Ga2O3-Al2O3 solid solution. 71Ga and 27Al NMR spectroscopy shows that gallium replaces aluminum atoms from the tetrahedral position to the octahedral coordination in the structure of γ-Ga2O3-Al2O3.

  8. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    PubMed

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  9. Nano SnO 2-Al 2O 3 mixed oxide and SnO 2-Al 2O 3-carbon composite oxides as new and novel electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Jayalakshmi, M.; Venugopal, N.; Raja, K. Phani; Rao, M. Mohan

    New nano-materials like SnO 2-Al 2O 3 and SnO 2-Al 2O 3-carbon were synthesized by a single step hydrothermal method in searching for novel mixed oxides with high electrochemical double layer capacitance. A SnO 2-Al 2O 3-carbon sample was calcined at 600 °C and tested for its performance. The source of carbon was tetrapropyl ammonium hydroxide. The capacitive behavior of SnO 2 was compared to the performance of SnO 2-Al 2O 3, SnO 2-Al 2O 3-carbon and calcined SnO 2-Al 2O 3-carbon using the techniques of cyclic voltammetry, double potential step, chronopotentiometry and E-log I polarization. In 0.1 M NaCl solutions, SnO 2-Al 2O 3 gave the best performance with a value of 119 Fg -1 and cycled 1000 times. The nano-material mixed oxides were characterized by TEM, XRD, ICP-AES and SEM-EDAX.

  10. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  11. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  12. Ion blocking dip shape analysis around a LaAlO3/SrTiO3 interface

    NASA Astrophysics Data System (ADS)

    Jalabert, D.; Zaid, H.; Berger, M. H.; Fongkaew, I.; Lambrecht, W. R. L.; Sehirlioglu, A.

    2018-05-01

    We present an analysis of the widths of the blocking dips obtained in MEIS ion blocking experiments of two LaAlO3/SrTiO3 heterostructures differing in their LaAlO3 layer thicknesses. In the LaAlO3 layers, the observed blocking dips are larger than expected. This enlargement is the result of the superposition of individual dips at slightly different angular positions revealing a local disorder in the atomic alignment, i.e., layer buckling. By contrast, in the SrTiO3 substrate, just below the interface, the obtained blocking dips are thinner than expected. This thinning indicates that the blocking atoms stand at a larger distance from the scattering center than expected. This is attributed to an accumulation of Sr vacancies at the layer/substrate interface which induces lattice distortions shifting the atoms off the scattering plane.

  13. Comparing the Thermodynamic Behaviour of Al(1)+ZrO2(s) to Al(1)+Al2O3(s)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2004-01-01

    In an effort to better determine the thermodynamic properties of Al(g) and Al2O(g). the vapor in equilibrium with Al(l)+ZrO2(s) was compared to the vapor in equilibrium with Al(l)+Al2O3(s) over temperature range 1197-to-1509K. The comparison was made directly by Knudsen effusion-cell mass spectrometry with an instrument configured for a multiple effusion-cell vapor source (multi-cell KEMS). Second law enthalpies of vaporization of Al(g) and Al2O(g) together with activity measurements show that Al(l)+ZrO2(s) is thermodynamically equivalent to Al(l)+Al2O3(s), indicating Al(l) remained pure and Al2O3(s) was present in the ZrO2-cell. Subsequent observation of the Al(l)/ZrO2 and vapor/ZrO2 interfaces revealed a thin Al2O3-layer had formed, separating the ZrO2-cell from Al(l) and Al(g)+Al2O(g), effectively transforming it into an Al2O3 effusion-cell. This behavior agrees with recent observations made for Beta-NiAl(Pt) alloys measured in ZrO2 effusion-cell.

  14. Identifying the Distribution of Al 3+ in LiNi 0.8 Co 0.15 Al 0.05 O 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trease, Nicole M.; Seymour, Ieuan D.; Radin, Maxwell D.

    2016-11-22

    The doping of Al into layered Li transition metal (TM) oxide cathode materials, LiTMO 2, is known to improve the structural and thermal stability, although the origin of the enhanced properties is not well understood. The effect of aluminum doping on layer stabilization has been investigated using a combination of techniques to measure the aluminum distribution in layered LiNi 0.8Co 0.15Al 0.05O 2 (NCA) over multiple length scales with 27Al and 7Li MAS NMR, local electrode atom probe (APT) tomography, X-ray and neutron diffraction, DFT, and SQUID magnetic susceptibility measurements. APT ion maps show a homogenous distribution of Ni, Co,more » Al and O 2 throughout the structure at the single particle level in agreement with the high-temperature phase diagram. 7Li and 27Al NMR indicates that the Ni 3+ ions undergo a dynamic Jahn-Teller (JT) distortion. 27Al NMR spectra indicate that the Al reduces the strain associated with the JT distortion, by preferential electronic ordering of the JT long bonds directed toward the Al 3+ ion. The ability to understand the complex atomic and orbital ordering around Al 3+ demonstrated in the current method will be useful for studying the local environment of Al 3+ in a range of transition metal oxide battery materials.« less

  15. Identifying the Distribution of Al 3+ in LiNi 0.8 Co 0.15 Al 0.05 O 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trease, Nicole M.; Seymour, Ieuan D.; Radin, Maxwell D.

    2016-10-07

    The doping of Al into layered Li transition metal (TM) oxide cathode materials, LiTMO 2, is known to improve the structural and thermal stability, although the origin of the enhanced properties is not well understood. We have investigated the effect of aluminum doping on layer stabilization using a combination of techniques to measure the aluminum distribution in layered LiNi 0.8Co 0.15Al 0.05O 2 (NCA) over multiple length scales with 27Al and 7Li MAS NMR, local electron atom probe (LEAP) tomography, X-ray and neutron diffraction, DFT, and SQUID magnetic susceptibility measurements. LEAP tomographic maps show a homogenous distribution of Ni, Co,more » Al and O 2 throughout the structure at the particle level in agreement with the hightemperature phase diagram. 7Li and 27Al NMR indicates that the Ni 3+ ions undergo a dynamic Jahn-Teller (JT) distortion. 27Al NMR spectra indicate that the Al reduces the strain associated with the JT distortion, by preferential electronic ordering of the JT long bonds directed toward the Al 3+ ion. Our ability to understand the complex atomic and orbital ordering around Al 3+ demonstrated in the current method will be useful for studying the local environment of Al 3+ in a range of transition metal oxide battery materials.« less

  16. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  17. Nano-Al{sub 2}O{sub 3} multilayer film deposition on cotton fabrics by layer-by-layer deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan

    Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less

  18. Research on c-HfO2 (0 0 1)/α -Al2O3 (1 -1 0 2) interface in CTM devices based on first principle theory

    NASA Astrophysics Data System (ADS)

    Lu, Wenjuan; Dai, Yuehua; Wang, Feifei; Yang, Fei; Ma, Chengzhi; Zhang, Xu; Jiang, Xianwei

    2017-12-01

    With the growing application of high-k dielectrics, the interface between HfO2 and Al2O3 play a crucial role in CTM devices. To clearly understand the interaction of the HfO-AlO interface at the atomic and electronic scale, the bonding feature, electronic properties and charge localized character of c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has been investigated by first principle calculations. The c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has adhesive energy about -1.754 J/m2, suggesting that this interface can exist stably. Through analysis of Bader charge and charge density difference, the intrinsic interfacial gap states are mainly originated from the OII and OIII types oxygen atoms at the interface, and only OIII type oxygen atoms can localized electrons effectively and are provided with good reliability during P/E cycles, which theoretically validate the experimental results that HfO2/Al2O3 multi-layered charge trapping layer can generate more effective traps in memory device. Furthermore, the influence of interfacial gap states during P/E cycles in the defective interface system have also been studied, and the results imply that defective system displays the degradation on the reliability during P/E cycles, while, the charge localized ability of interfacial states is stronger than intrinsic oxygen vacancy in the trapping layer. Besides, these charge localized characters are further explained by the analysis of the density of states correspondingly. In sum, our results compare well with similar experimental observations in other literatures, and the study of the interfacial gap states in this work would facilitate further development of interface passivation.

  19. Atomic layer deposited Ta2O5 gate insulation for enhancing breakdown voltage of AlN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Deen, D. A.; Storm, D. F.; Bass, R.; Meyer, D. J.; Katzer, D. S.; Binari, S. C.; Lacis, J. W.; Gougousi, T.

    2011-01-01

    AlN/GaN heterostructures with a 3.5 nm AlN cap have been grown by molecular beam epitaxy followed by a 6 nm thick atomic layer deposited Ta2O5 film. Transistors fabricated with 150 nm length gates showed drain current density of 1.37 A/mm, transconductance of 315 mS/mm, and sustained drain-source biases up to 96 V while in the off-state before destructive breakdown as a result of the Ta2O5 gate insulator. Terman's method has been modified for the multijunction capacitor and allowed the measurement of interface state density (˜1013 cm-2 eV-1). Small-signal frequency performance of 75 and 115 GHz was obtained for ft and fmax, respectively.

  20. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    PubMed Central

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-01-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400–900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells. PMID:27924911

  1. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    NASA Astrophysics Data System (ADS)

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-12-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400-900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells.

  2. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  3. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  4. Reaction between NiO and Al2O3 in NiO/γ-Al2O3 catalysts probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Zhang, H. J.; Chen, Z. Q.

    2013-02-01

    NiO/γ-Al2O3 catalysts with NiO content of 9 wt% and 24 wt% were prepared by solid state reaction method. They are annealed in air at temperatures from 100 °C to 1000 °C. Positron lifetime spectra were measured to study the microstructure variation during annealing process. Four positron lifetime components were resolved with two long lifetime τ3 and τ4, which can be attributed to the ortho-positronium lifetime in microvoids and large pores, respectively. It was found that the longest lifetime τ4 is rather sensitive to the chemical environment of the large pores. The NiO active centers in the catalysts cause decrease of both τ4 and its intensity I4, which is due to the spin-conversion of positronium induced by NiO. However, after heating the catalysts above 600 °C, abnormal increase of the lifetime τ4 is observed. This is due to the formation of NiAl2O4 spinel from the reaction of NiO and γ-Al2O3. The generated NiAl2O4 weakens the spin-conversion effect of positronium, thus leads to the increase of o-Ps lifetime τ4. Formation of NiAl2O4 is further confirmed by both X-ray diffraction and X-ray photoelectron spectroscopy measurements.

  5. Structural and electrical properties of atomic layer deposited Al-doped ZrO2 films and of the interface with TaN electrode

    NASA Astrophysics Data System (ADS)

    Spiga, S.; Rao, R.; Lamagna, L.; Wiemer, C.; Congedo, G.; Lamperti, A.; Molle, A.; Fanciulli, M.; Palma, F.; Irrera, F.

    2012-07-01

    Al-doped ZrO2 (Al-ZrO2) films deposited by atomic layer deposition onto silicon substrates and the interface with the TaN metal gate are investigated. In particular, structural properties of as-grown and annealed films in the 6-26 nm thickness range, as well as leakage and capacitive behavior of metal-oxide-semiconductor stacks are characterized. As-deposited Al-ZrO2 films in the mentioned thickness range are amorphous and crystallize in the ZrO2 cubic phase after thermal treatment at 900 °C. Correspondingly, the dielectric constant (k) value increases from 20 ± 1 to 27 ± 2. The Al-ZrO2 layers exhibit uniform composition through the film thickness and are thermally stable on Si, whereas chemical reactions take place at the TaN/Al-ZrO2 interface. A transient capacitance technique is adopted for monitoring charge trapping and flat band instability at short and long time scales. The role of traps nearby the TaN/Al-ZrO2 interface is discussed and compared with other metal/high-k oxide films. Further, analytical modeling of the flat band voltage shift with a power-law dependence on time allows extracting features of bulk traps close to the silicon/oxide interface, which exhibit energy levels in the 1.4-1.9 eV range above the valence band of the Al-ZrO2.

  6. Highly stable Na2/3 (Mn0.54 Ni0.13 Co0.13 )O2 cathode modified by atomic layer deposition for sodium-ion batteries.

    PubMed

    Kaliyappan, Karthikeyan; Liu, Jian; Lushington, Andrew; Li, Ruying; Sun, Xueliang

    2015-08-10

    For the first time, atomic layer deposition (ALD) of Al2 O3 was adopted to enhance the cyclic stability of layered P2-type Na2/3 (Mn0.54 Ni0.13 Co0.13 )O2 (MNC) cathodes for use in sodium-ion batteries (SIBs). Discharge capacities of approximately 120, 123, 113, and 105 mA h g(-1) were obtained for the pristine electrode and electrodes coated with 2, 5, and 10 ALD cycles, respectively. All electrodes were cycled at the 1C discharge current rate for voltages between 2 and 4.5 V in 1 M NaClO4 electrolyte. Among the electrodes tested, the Al2 O3 coating from 2 ALD cycles (MNC-2) exhibited the best electrochemical stability and rate capability, whereas the electrode coated by 10 ALD cycles (MNC-10) displayed the highest columbic efficiency (CE), which exceeded 97 % after 100 cycles. The enhanced electrochemical stability observed for ALD-coated electrodes could be a result of the protection effects and high band-gap energy (Eg =9.00 eV) of the Al2 O3 coating layer. Additionally, the metal-oxide coating provides structural stability against mechanical stresses occurring during the cycling process. The capacity, cyclic stability, and rate performance achieved for the MNC electrode coated with 2 ALD cycles of Al2 O3 reveal the best results for SIBs. This study provides a promising route toward increasing the stability and CE of electrode materials for SIB application. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  8. Controlled carrier screening in p-n NiO/GaN piezoelectric generators by an Al2O3 insertion layer

    NASA Astrophysics Data System (ADS)

    Johar, Muhammad Ali; Jeong, Dae Kyung; Afifi Hassan, Mostafa; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2017-12-01

    The performance of a piezoelectric generator (PG) depends significantly on the internal screening process inside the device. As piezoelectric charges appear on both ends of the piezoelectric crystal, internal screening starts to decrease the piezoelectric bias. Therefore, the piezoelectric energy generated by external stress is not fully utilized by external circuit, which is the most challenging aspect of high-efficiency PGs. In this work, the internal screening effect of a NiO/GaN p-n PG was analyzed and controlled with an Al2O3 insertion layer. Internal screening in the p-n diode PG was categorized into free-carrier screening in neutral regions and junction screening due to charge drift across the junction. It was observed that junction screening could be significantly suppressed by inserting an Al2O3 layer and that effect was dominant in a leaky diode PG. With this implementation, the piezoelectric bias of the NiO/GaN PG was improved by a factor of ~100 for high-leakage diodes and a factor of ~1.6 for low-leakage diodes. Consequently, NiO/Al2O3/GaN PGs under a stress of 5 MPa provided a piezoelectric bias of 12.1 V and a current density of 2.25 µA cm-2. The incorporation of a highly resistive Al2O3 layer between p-NiO and n-GaN layers in NiO/GaN heterojunctions provides an efficient means of improving the piezoelectric performance by controlling the internal screening of the piezoelectric field.

  9. Atomic layer deposition of a high-k dielectric on MoS2 using trimethylaluminum and ozone.

    PubMed

    Cheng, Lanxia; Qin, Xiaoye; Lucero, Antonio T; Azcatl, Angelica; Huang, Jie; Wallace, Robert M; Cho, Kyeongjae; Kim, Jiyoung

    2014-08-13

    We present an Al2O3 dielectric layer on molybdenum disulfide (MoS2), deposited using atomic layer deposition (ALD) with ozone/trimethylaluminum (TMA) and water/TMA as precursors. The results of atomic force microscopy and low-energy ion scattering spectroscopy show that using TMA and ozone as precursors leads to the formation of uniform Al2O3 layers, in contrast to the incomplete coverage we observe when using TMA/H2O as precursors. Our Raman and X-ray photoelectron spectroscopy measurements indicate minimal variations in the MoS2 structure after ozone treatment at 200 °C, suggesting its excellent chemical resistance to ozone.

  10. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  11. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  12. Development of Al2O3 fiber-reinforced Al2O3-based ceramics.

    PubMed

    Tanimoto, Yasuhiro; Nemoto, Kimiya

    2004-09-01

    The purpose of this study was to use a tape casting technique to develop an Al2O3 fiber-reinforced Al2O3-based ceramic material (Al2O3-fiber/Al2O3 composite) into a new type of dental ceramic. The Al2O3-based ceramic used a matrix consisting of 60 wt% Al2O3 powder and 40 wt% SiO2-B2O3 powder. The prepreg sheets of Al2O3-fiber/Al2O3 composite (in which uniaxially aligned Al2O3 fibers were infiltrated with the Al2O3-based matrix) were fabricated continuously using tape casting technique with a doctor blade system. Multilayer preforms of Al2O3-fiber/Al2O3 composite sheets were then sintered at a maximum temperature of 1000 degrees C under an atmospheric pressure in a furnace. The results showed that the shrinkage and bending properties of Al2O3-fiber/Al2O3 composite exceeded those of unreinforced Al2O3--hence demonstrating the positive effects of fiber reinforcement. In conclusion, the tape casting technique has been utilized to successfully develop a new type of dental ceramic material.

  13. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  14. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  15. Oxygen vacancy defect engineering using atomic layer deposited HfAlO{sub x} in multi-layered gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhuyian, M. N., E-mail: mnb3@njit.edu; Misra, D.; Sengupta, R.

    2016-05-02

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlO{sub x} with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V{sup +}/V{sup 2+}, are the primary source of defects in these dielectrics. When Al is added in HfO{sub 2}, the V{sup +} type defects with a defect activation energy of E{sub a} ∼ 0.2 eV modify to V{sup 2+} type to E{sub a} ∼ 0.1 eV with reference to the Si conduction band. When devices were stressedmore » in the gate injection mode for 1000 s, more V{sup +} type defects are generated and E{sub a} reverts back to ∼0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO{sub 2} contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.« less

  16. Role of interfacial transition layers in VO2/Al2O3 heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Honghui; Chisholm, Matthew F; Yang, Tsung-Han

    2011-01-01

    Epitaxial VO2 films grown by pulsed laser deposition (PLD) on c-cut sapphire substrates ((0001) Al2O3) were studied by aberration-corrected scanning transmission electron microscopy (STEM). A number of film/substrate orientation relationships were found and are discussed in the context of the semiconductor-metal transition (SMT) characteristics. A structurally and electronically modified buffer layer was revealed on the interface and was attributed to the interface free-energy minimization process of accommodating the symmetry mismatch between the substrate and the film. This interfacial transition layer is expected to affect the SMT behavior when the interfacial region is a significant fraction of the VO2 film thickness.

  17. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  18. Rambutan-like CNT-Al2O3 scaffolds for high-performance cathode catalyst layers of polymer electrolyte fuel cells

    NASA Astrophysics Data System (ADS)

    Chang, KwangHyun; Cho, Seonghun; Lim, Eun Ja; Park, Seok-Hee; Yim, Sung-Dae

    2018-03-01

    Rambutan-like CNT-Al2O3 scaffolds are introduced as a potential candidate for CNT-based catalyst supports to overcome the CNT issues, such as the easy bundling in catalyst ink and the poor pore structure of the CNT-based catalyst layers, and to achieve high MEA performance in PEFCs. Non-porous α-phase Al2O3 balls are introduced to enable the growth of multiwalled CNTs, and Pt nanoparticles are loaded onto the CNT surfaces. In a half-cell, the Pt/CNT-Al2O3 catalyst shows much higher durability than those of a commercial Pt/C catalyst even though it shows lower oxygen reduction reaction (ORR) activity than Pt/C. After using the decal process for MEA formation, the Pt/CNT-Al2O3 shows comparable initial performance characteristics to Pt/C, overcoming the lower ORR activity, mainly due to the facile oxygen transport in the cathode catalyst layers fabricated with the CNT-Al2O3 scaffolds. The Pt/CNT-Al2O3 also exhibits much higher durability against carbon corrosion than Pt/C owing to the durable characteristics of CNTs. Systematic analysis of single cell performance for both initial and after degradation is provided to understand the origin of the high initial performance and durable behavior of Pt/CNT-Al2O3-based catalyst layers. This will provide insights into the design of electrocatalysts for high-performance MEAs in PEFCs.

  19. Fast spatial atomic layer deposition of Al{sub 2}O{sub 3} at low temperature (<100 °C) as a gas permeation barrier for flexible organic light-emitting diode displays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Hagyoung; Shin, Seokyoon; Jeon, Hyeongtag, E-mail: hjeon@hanyang.ac.kr

    2016-01-15

    The authors developed a high throughput (70 Å/min) and scalable space-divided atomic layer deposition (ALD) system for thin film encapsulation (TFE) of flexible organic light-emitting diode (OLED) displays at low temperatures (<100 °C). In this paper, the authors report the excellent moisture barrier properties of Al{sub 2}O{sub 3} films deposited on 2G glass substrates of an industrially relevant size (370 × 470 mm{sup 2}) using the newly developed ALD system. This new ALD system reduced the ALD cycle time to less than 1 s. A growth rate of 0.9 Å/cycle was achieved using trimethylaluminum as an Al source and O{sub 3} as an O reactant. Themore » morphological features and step coverage of the Al{sub 2}O{sub 3} films were investigated using field emission scanning electron microscopy. The chemical composition was analyzed using Auger electron spectroscopy. These deposited Al{sub 2}O{sub 3} films demonstrated a good optical transmittance higher than 95% in the visible region based on the ultraviolet visible spectrometer measurements. Water vapor transmission rate lower than the detection limit of the MOCON test (less than 3.0 × 10{sup −3} g/m{sup 2} day) were obtained for the flexible substrates. Based on these results, Al{sub 2}O{sub 3} deposited using our new high-throughput and scalable spatial ALD is considered a good candidate for preparation of TFE films of flexible OLEDs.« less

  20. Retardation mechanism of ultrathin Al2O3 interlayer on Y2O3 passivated gallium nitride surface.

    PubMed

    Quah, Hock Jin; Cheong, Kuan Yew

    2014-05-28

    A systematic investigation was carried out by incorporating an ultrathin aluminum oxide (Al2O3) as an interlayer between yttrium oxide (Y2O3) passivation layer and GaN substrate. The sandwiched samples were then subjected to postdeposition annealing in oxygen ambient from 400 to 800 °C. The Al2O3 interlayer was discovered to play a significant role in slowing down inward diffusion of oxygen through the Y2O3 passivation layer as well as in impeding outward diffusion of Ga(3+) and N(3-) from the decomposed GaN surface. These beneficial effects have suppressed subsequent formation of interfacial layer. A mechanism in association with the function of Al2O3 as an interlayer was suggested and discussed. The mechanism was explicitly described on the basis of the obtained results from X-ray diffraction, X-ray photoelectron spectroscopy, energy-filtered transmission electron microscopy (TEM), high resolution TEM, and electron energy loss spectroscopy line scan. A correlation between the proposed mechanism and metal-oxide-semiconductor characteristics of Y2O3/Al2O3/GaN structure has been proposed.

  1. Enhanced Performance in Al-Doped ZnO Based Transparent Flexible Transparent Thin-Film Transistors Due to Oxygen Vacancy in ZnO Film with Zn-Al-O Interfaces Fabricated by Atomic Layer Deposition.

    PubMed

    Li, Yang; Yao, Rui; Wang, Huanhuan; Wu, Xiaoming; Wu, Jinzhu; Wu, Xiaohong; Qin, Wei

    2017-04-05

    Highly conductive and optical transparent Al-doped ZnO (AZO) thin film composed of ZnO with a Zn-Al-O interface was fabricated by thermal atomic layer deposition (ALD) method. The as-prepared AZO thin film exhibits excellent electrical and optical properties with high stability and compatibility with temperature-sensitive flexible photoelectronic devices; film resistivity is as low as 5.7 × 10 -4 Ω·cm, the carrier concentration is high up to 2.2 × 10 21 cm -3 . optical transparency is greater than 80% in a visible range, and the growth temperature is below 150 °C on the PEN substrate. Compared with the conventional AZO film containing by a ZnO-Al 2 O 3 interface, we propose that the underlying mechanism of the enhanced electrical conductivity for the current AZO thin film is attributed to the oxygen vacancies deficiency derived from the free competitive growth mode of Zn-O and Al-O bonds in the Zn-Al-O interface. The flexible transparent transistor based on this AZO electrode exhibits a favorable threshold voltage and I on /I off ratio, showing promising for use in high-resolution, fully transparent, and flexible display applications.

  2. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    PubMed

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  3. Spatial atomic layer deposition on flexible porous substrates: ZnO on anodic aluminum oxide films and Al{sub 2}O{sub 3} on Li ion battery electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Kashish; Routkevitch, Dmitri; Varaksa, Natalia

    2016-01-15

    Spatial atomic layer deposition (S-ALD) was examined on flexible porous substrates utilizing a rotating cylinder reactor to perform the S-ALD. S-ALD was first explored on flexible polyethylene terephthalate polymer substrates to obtain S-ALD growth rates on flat surfaces. ZnO ALD with diethylzinc and ozone as the reactants at 50 °C was the model S-ALD system. ZnO S-ALD was then performed on nanoporous flexible anodic aluminum oxide (AAO) films. ZnO S-ALD in porous substrates depends on the pore diameter, pore aspect ratio, and reactant exposure time that define the gas transport. To evaluate these parameters, the Zn coverage profiles in the poresmore » of the AAO films were measured using energy dispersive spectroscopy (EDS). EDS measurements were conducted for different reaction conditions and AAO pore geometries. Substrate speeds and reactant pulse durations were defined by rotating cylinder rates of 10, 100, and 200 revolutions per minute (RPM). AAO pore diameters of 10, 25, 50, and 100 nm were utilized with a pore length of 25 μm. Uniform Zn coverage profiles were obtained at 10 RPM and pore diameters of 100 nm. The Zn coverage was less uniform at higher RPM values and smaller pore diameters. These results indicate that S-ALD into porous substrates is feasible under certain reaction conditions. S-ALD was then performed on porous Li ion battery electrodes to test S-ALD on a technologically important porous substrate. Li{sub 0.20}Mn{sub 0.54}Ni{sub 0.13}Co{sub 0.13}O{sub 2} electrodes on flexible metal foil were coated with Al{sub 2}O{sub 3} using 2–5 Al{sub 2}O{sub 3} ALD cycles. The Al{sub 2}O{sub 3} ALD was performed in the S-ALD reactor at a rotating cylinder rate of 10 RPM using trimethylaluminum and ozone as the reactants at 50 °C. The capacity of the electrodes was then tested versus number of charge–discharge cycles. These measurements revealed that the Al{sub 2}O{sub 3} S-ALD coating on the electrodes enhanced the capacity stability

  4. Characterization of ZrO2 and (ZrO2)x(Al2O3)1-X thin films on Si substrates: effect of the Al2O3 component

    NASA Astrophysics Data System (ADS)

    Vitanov, P.; Harizanova, A.; Ivanova, T.

    2014-05-01

    ZrO2 and (ZrO2)x(Al2O3)1-x films were deposited by the sol-gel technique on Si substrates. The effect of the Al2O3 additive on the film surface morphology was studied by atomic force microscopy (AFM). The mixed oxide films showed a smoother morphology and lower values of the root-mean-square (RMS) roughness compared to ZrO2. Further, FTIR spectra indicated that ZrO2 underwent crystallization. The electrical measurements of the MIS structure revealed that the presence of Al2O3 and the amorphization affects its dielectric properties. The MIS structure with (ZrO2)x(Al2O3)1-x showed a lower fixed charge (~ 6×1010 cm-2) and an interface state density in the middle of the band gap of 6×1011 eV-1 cm-2). The dielectric constant measured was 22, with the leakage current density decreasing to 2×10-8 A cm-2 at 1×106 V cm-1.

  5. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  6. Capacitance-voltage characteristics of sub-nanometric Al2O3 / TiO2 laminates: dielectric and interface charge densities.

    PubMed

    Kahouli, Abdelkader; Elbahri, Marwa Ben; Lebedev, Oleg; Lüders, Ulrike

    2017-07-12

    Advanced amorphous sub-nanometric laminates based on TiO 2 and Al 2 O 3 were deposited by atomic layer deposition at low temperature. Low densities of 'slow' and 'fast' interface states are achieved with values of 3.96 · 10 10 cm -2 and 4.85 · 10 -9 eV -1 cm -2 , respectively, by using a 40 nm laminate constituted of 0.7 nm TiO 2 and 0.8 nm Al 2 O 3 . The sub-nanometric laminate shows a low hysteresis width of 20 mV due to the low oxide charge density of about 3.72 · 10 11 cm -2 . Interestingly, such properties are required for stable and reliable performance of MOS capacitors and transistor operation. Thus, decreasing the individual layer thickness to the sub-nanometric range and combining two dielectric materials with oppositely charged defects may play a major role in the electrical response, highly promising for the application in future micro and nano-electronics applications.

  7. Al atom on MoO3(010) surface: adsorption and penetration using density functional theory.

    PubMed

    Wu, Hong-Zhang; Bandaru, Sateesh; Wang, Da; Liu, Jin; Lau, Woon Ming; Wang, Zhenling; Li, Li-Li

    2016-03-14

    Interfacial issues, such as the interfacial structure and the interdiffusion of atoms at the interface, are fundamental to the understanding of the ignition and reaction mechanisms of nanothermites. This study employs first-principle density functional theory to model Al/MoO3 by placing an Al adatom onto a unit cell of a MoO3(010) slab, and to probe the initiation of interfacial interactions of Al/MoO3 nanothermite by tracking the adsorption and subsurface-penetration of the Al adatom. The calculations show that the Al adatom can spontaneously go through the topmost atomic plane (TAP) of MoO3(010) and reach the 4-fold hollow adsorption-site located below the TAP, with this subsurface adsorption configuration being the most preferred one among all plausible adsorption configurations. Two other plausible configurations place the Al adatom at two bridge sites located above the TAP of MoO3(010) but the Al adatom can easily penetrate below this TAP to a relatively more stable adsorption configuration, with a small energy barrier of merely 0.2 eV. The evidence of subsurface penetration of Al implies that Al/MoO3 likely has an interface with intermixing of Al, Mo and O atoms. These results provide new insights on the interfacial interactions of Al/MoO3 and the ignition/combustion mechanisms of Al/MoO3 nanothermites.

  8. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    PubMed

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  9. The Phase Relations in the In 2O 3-Al 2ZnO 4-ZnO System at 1350°C

    NASA Astrophysics Data System (ADS)

    Nakamura, Masaki; Kimizuka, Noboru; Mohri, Takahiko; Isobe, Mitsumasa

    1993-08-01

    Phase relations in the In 2O 3-Al 2ZnO 4-ZnO system at 1350°C are determined by a classical quenching method. This system consists of In 2O 3, Al 2ZnO 4, ZnO, and homologous phases InAlO 3(ZnO) m ( m = 2, 3, …) having solid solutions with LuFeO 3(ZnO) m-type crystal structures. These solid solution ranges are as follows: In 1+ x1Al 1- x1O 3(ZnO) 2 ( x1 = 0.70)-In 1+ x2Al 1- x2O 3(ZnO) 2 ( x2 = 0.316-0.320), In 2O 3(ZnO) 3-In 1+ xAl 1- xO 3(ZnO) 3 ( x = 0.230), In 2O 3(ZnO) 4-In 1+ xAl 1- xO 3(ZnO) 4 ( x = 0.15-0.16), In 2O 3(ZnO) 5-In 1+ xAl 1- xO 3(ZnO) 5 ( x = 0.116-0.130), In 2O 3(ZnO) 6-In 1+ xAl 1- xO 3(ZnO) 6 ( x = 0.000-0.111), In 2O 3(ZnO) 7-In 1+ xAl 1- xO 3(ZnO) 7 ( x = 0.08), In 2O 3(ZnO) 8-In 1+ xAl 1- xO 3(ZnO) 8 ( x: undetermined), and In 2O 3(ZnO) m-InAlO 3(ZnO) m ( m = 9, 10, 11, 13, 15, 17, and 19). The space groups of these homologous phases belong to R3¯ m for m = odd or P6 3/ mmc for m = even. Their crystal structures, In 1+ xAl 1- xO 3(ZnO) m (0 < x < 1), consist of three kinds of layers: an InO 1.5 layer, an (In xAl 1- xZn)O 2.5 layer, and ZnO layers. A comparison of the phase relations in the In 2O 3- M2ZnO 4-ZnO systems ( M = Fe, Ga, or Al) is made and their characteristic features are discussed in terms of the ionic radii and site preferences of the M cations.

  10. Mechanistic study of atomic layer deposition of Al{sub x}Si{sub y}O thin film via in-situ FTIR spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Jea; Kim, Taeseung; Seegmiller, Trevor

    2015-09-15

    A study of surface reaction mechanism on atomic layer deposition (ALD) of aluminum silicate (Al{sub x}Si{sub y}O) was conducted with trimethylaluminum (TMA) and tetraethoxysilane (TEOS) as precursors and H{sub 2}O as the oxidant. In-situ Fourier transform infrared spectroscopy (FTIR) was utilized to elucidate the underlying surface mechanism that enables the deposition of Al{sub x}Si{sub y}O by ALD. In-situ FTIR study revealed that ineffective hydroxylation of the surface ethoxy (–OCH{sub 2}CH{sub 3}) groups prohibits ALD of SiO{sub 2} by TEOS/H{sub 2}O. In contrast, effective desorption of the surface ethoxy group was observed in TEOS/H{sub 2}O/TMA/H{sub 2}O chemistry. The presence of Al-OH*more » group in vicinity of partially hydroxylated ethoxy (–OCH{sub 2}CH{sub 3}) group was found to propagate disproportionation reaction, which results in ALD of Al{sub x}Si{sub y}O. The maximum thickness from incorporation of SiO{sub x} from alternating exposures of TEOS/H{sub 2}O chemistry in Al{sub x}Si{sub y}O was found to be ∼2 Å, confirmed by high resolution transmission electron microscopy measurements.« less

  11. A Theoretical Investigation on CO Oxidation by Single-Atom Catalysts M1/γ-Al2O3 (M=Pd, Fe, Co, and Ni).

    PubMed

    Yang, Tao; Fukuda, Ryoichi; Hosokawa, Saburo; Tanaka, Tsunehiro; Sakaki, Shigeyoshi; Ehara, Masahiro

    2017-04-07

    Single-atom catalysts have attracted much interest recently because of their excellent stability, high catalytic activity, and remarkable atom efficiency. Inspired by the recent experimental discovery of a highly efficient single-atom catalyst Pd 1 /γ-Al 2 O 3 , we conducted a comprehensive DFT study on geometries, stabilities and CO oxidation catalytic activities of M 1 /γ-Al 2 O 3 (M=Pd, Fe, Co, and Ni) by using slab-model. One of the most important results here is that Ni 1 /Al 2 O 3 catalyst exhibits higher activity in CO oxidation than Pd 1 /Al 2 O 3 . The CO oxidation occurs through the Mars van Krevelen mechanism, the rate-determining step of which is the generation of CO 2 from CO through abstraction of surface oxygen. The projected density of states (PDOS) of 2 p orbitals of the surface O, the structure of CO-adsorbed surface, charge polarization of CO and charge transfer from CO to surface are important factors for these catalysts. Although the binding energies of Fe and Co with Al 2 O 3 are very large, those of Pd and Ni are small, indicating that the neighboring O atom is not strongly bound to Pd and Ni, which leads to an enhancement of the reactivity of the O atom toward CO. The metal oxidation state is suggested to be one of the crucial factors for the observed catalytic activity.

  12. Bi-layer channel structure-based oxide thin-film transistors consisting of ZnO and Al-doped ZnO with different Al compositions and stacking sequences

    NASA Astrophysics Data System (ADS)

    Cho, Sung Woon; Yun, Myeong Gu; Ahn, Cheol Hyoun; Kim, So Hee; Cho, Hyung Koun

    2015-03-01

    Zinc oxide (ZnO)-based bi-layers, consisting of ZnO and Al-doped ZnO (AZO) layers grown by atomic layer deposition, were utilized as the channels of oxide thin-film transistors (TFTs). Thin AZO layers (5 nm) with different Al compositions (5 and 14 at. %) were deposited on top of and beneath the ZnO layers in a bi-layer channel structure. All of the bi-layer channel TFTs that included the AZO layers showed enhanced stability (Δ V Th ≤ 3.2 V) under a positive bias stress compared to the ZnO single-layer channel TFT (Δ V Th = 4.0 V). However, the AZO/ZnO bi-layer channel TFTs with an AZO interlayer between the gate dielectric and the ZnO showed a degraded field effect mobility (0.3 cm2/V·s for 5 at. % and 1.8 cm2/V·s for 14 at. %) compared to the ZnO single-layer channel TFT (5.5 cm2/V·s) due to increased scattering caused by Al-related impurities near the gate dielectric/channel interface. In contrast, the ZnO/AZO bi-layer channel TFTs with an AZO layer on top of the ZnO layer exhibited an improved field effect mobility (7.8 cm2/V·s for 14 at. %) and better stability. [Figure not available: see fulltext.

  13. Fermi Level Unpinning of GaSb (100) using Plasma Enhanced Atomic Layer Deposition of Al2O3

    DTIC Science & Technology

    2010-01-01

    of high-/GaSb semiconductor interface. GaSb has a highly reactive surface and on exposure to air it will form a native oxide layer composed of Ga2O3 ...and Sb2O3 2GaSb+3O2→ Ga2O3 +Sb2O3. The Sb2O3 can fur- ther react with the GaSb surface forming elemental Sb and Ga2O3 Sb2O3+2GaSb→ Ga2O3 +4Sb.5,6...rights_and_permissions mentioned before, Sb2O3 reacts with GaSb forming Ga2O3 and elemental Sb.6 The kinetics of this reaction is enhanced at higher temperatures200 °C.14

  14. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  15. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  16. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  17. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  18. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE PAGES

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; ...

    2018-05-14

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  19. A Theoretical Investigation on CO Oxidation by Single‐Atom Catalysts M1/γ‐Al2O3 (M=Pd, Fe, Co, and Ni)

    PubMed Central

    Yang, Tao; Fukuda, Ryoichi; Hosokawa, Saburo; Tanaka, Tsunehiro

    2017-01-01

    Abstract Single‐atom catalysts have attracted much interest recently because of their excellent stability, high catalytic activity, and remarkable atom efficiency. Inspired by the recent experimental discovery of a highly efficient single‐atom catalyst Pd1/γ‐Al2O3, we conducted a comprehensive DFT study on geometries, stabilities and CO oxidation catalytic activities of M1/γ‐Al2O3 (M=Pd, Fe, Co, and Ni) by using slab‐model. One of the most important results here is that Ni1/Al2O3 catalyst exhibits higher activity in CO oxidation than Pd1/Al2O3. The CO oxidation occurs through the Mars van Krevelen mechanism, the rate‐determining step of which is the generation of CO2 from CO through abstraction of surface oxygen. The projected density of states (PDOS) of 2p orbitals of the surface O, the structure of CO‐adsorbed surface, charge polarization of CO and charge transfer from CO to surface are important factors for these catalysts. Although the binding energies of Fe and Co with Al2O3 are very large, those of Pd and Ni are small, indicating that the neighboring O atom is not strongly bound to Pd and Ni, which leads to an enhancement of the reactivity of the O atom toward CO. The metal oxidation state is suggested to be one of the crucial factors for the observed catalytic activity. PMID:28515795

  20. Some TEM observations of Al2O3 scales formed on NiCrAl alloys

    NASA Technical Reports Server (NTRS)

    Smialek, J.; Gibala, R.

    1979-01-01

    The microstructural development of Al2O3 scales on NiCrAl alloys has been examined by transmission electron microscopy. Voids were observed within grains in scales formed on a pure NiCrAl alloy. Both voids and oxide grains grew measurably with oxidation time at 1100 C. The size and amount of porosity decreased towards the oxide-metal growth interface. The voids resulted from an excess number of oxygen vacancies near the oxidemetal interface. Short-circuit diffusion paths were discussed in reference to current growth stress models for oxide scales. Transient oxidation of pure, Y-doped, and Zr-doped NiCrAl was also examined. Oriented alpha-(Al, Cr)2O3 and Ni(Al, Cr)2O4 scales often coexisted in layered structures on all three alloys. Close-packed oxygen planes and directions in the corundum and spinel layers were parallel. The close relationship between oxide layers provided a gradual transition from initial transient scales to steady state Al2O3 growth.

  1. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    NASA Astrophysics Data System (ADS)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  2. Crystal structures of hydrates of simple inorganic salts. III. Water-rich aluminium halide hydrates: AlCl3 · 15H2O, AlBr3 · 15H2O, AlI3 · 15H2O, AlI3 · 17H2O and AlBr3 · 9H2O.

    PubMed

    Schmidt, Horst; Hennings, Erik; Voigt, Wolfgang

    2014-09-01

    Water-rich aluminium halide hydrate structures are not known in the literature. The highest known water content per Al atom is nine for the perchlorate and fluoride. The nonahydrate of aluminium bromide, stable pentadecahydrates of aluminium chloride, bromide and iodide, and a metastable heptadecahydrate of the iodide have now been crystallized from low-temperature solutions. The structures of these hydrates were determined and are discussed in terms of the development of cation hydration spheres. The pentadecahydrate of the chloride and bromide are isostructural. In AlI(3) · 15H2O, half of the Al(3+) cations are surrounded by two complete hydration spheres, with six H2O in the primary and 12 in the secondary. For the heptadecahydrate of aluminium iodide, this hydration was found for every Al(3+).

  3. Surface Crystallization of a MgO/Y2O3/SiO2/Al2O3/ZrO2 Glass: Growth of an Oriented β-Y2Si2O7 Layer and Epitaxial ZrO2

    PubMed Central

    Wisniewski, Wolfgang; Seidel, Sabrina; Patzig, Christian; Rüssel, Christian

    2017-01-01

    The crystallization behavior of a glass with the composition 54.7 SiO2·10.9 Al2O3·15.0 MgO·3.4 ZrO2·16.0 Y2O3 is studied using X-ray diffraction (XRD), scanning electron microscopy (SEM) including electron backscatter diffraction (EBSD) and (scanning) transmission electron microscopy [(S)TEM] including energy-dispersive X-ray spectrometry (EDXS). This glass shows the sole surface crystallization of four different yttrium silicates of the composition Y2Si2O7 (YS). The almost simultaneous but independent nucleation of α-, β-, δ-, and ε-YS at the surface is followed by growth into the bulk, where ε-YS quickly dominates a first crystallized layer. An accumulation of Mg at the growth front probably triggers a secondary nucleation of β-YS, which forms a thin compact layer before fragmenting into a highly oriented layer of fine grained crystals occupying the remaining bulk. The residual glass between the YS growth structures allows the crystallization of indialite, yttrium stabilized ZrO2 (Y-ZrO2) and very probably μ-cordierite during cooling. Hence, this glass basically shows the inverted order of crystallization observed in other magnesium yttrium alumosilicate glasses containing less Y2O3. An epitaxial relationship between Y-ZrO2 and ε-YS is proven and multiple twinning relationships occur in the YS phases. PMID:28281661

  4. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  5. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  6. Photo-stability and time-resolved photoluminescence study of colloidal CdSe/ZnS quantum dots passivated in Al{sub 2}O{sub 3} using atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Chih-Yi; Mao, Ming-Hua, E-mail: mhmao@ntu.edu.tw; Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan

    2016-08-28

    We report photo-stability enhancement of colloidal CdSe/ZnS quantum dots (QDs) passivated in Al{sub 2}O{sub 3} thin film using the atomic layer deposition (ALD) technique. 62% of the original peak photoluminescence (PL) intensity remained after ALD. The photo-oxidation and photo-induced fluorescence enhancement effects of both the unpassivated and passivated QDs were studied under various conditions, including different excitation sources, power densities, and environment. The unpassivated QDs showed rapid PL degradation under high excitation due to strong photo-oxidation in air while the PL intensity of Al{sub 2}O{sub 3} passivated QDs was found to remain stable. Furthermore, recombination dynamics of the unpassivated andmore » passivated QDs were investigated by time-resolved measurements. The average lifetime of the unpassivated QDs decreases with laser irradiation time due to photo-oxidation. Photo-oxidation creates surface defects which reduces the QD emission intensity and enhances the non-radiative recombination rate. From the comparison of PL decay profiles of the unpassivated and passivated QDs, photo-oxidation-induced surface defects unexpectedly also reduce the radiative recombination rate. The ALD passivation of Al{sub 2}O{sub 3} protects QDs from photo-oxidation and therefore avoids the reduction of radiative recombination rate. Our experimental results demonstrated that passivation of colloidal QDs by ALD is a promising method to well encapsulate QDs to prevent gas permeation and to enhance photo-stability, including the PL intensity and carrier lifetime in air. This is essential for the applications of colloidal QDs in light-emitting devices.« less

  7. Influence of annealing environment on the ALD-Al2O3/4H-SiC interface studied through XPS

    NASA Astrophysics Data System (ADS)

    Usman, Muhammad; Arshad, Muhammad; Saveda Suvanam, Sethu; Hallén, Anders

    2018-03-01

    The instability of Al2O3/4H-SiC interface at various process temperatures and ambient is investigated by the annealing of Al2O3/4H-SiC in low vacuum conditions as well as in N2 environments. Atomic layer deposited Al2O3 on a 4H-SiC substrate with 3, 6 and 10 nm of thicknesses is treated at 300, 500, 700 and 900 °C under the vacuum level of 10-1 torr. The as-deposited and annealed structures are analyzed using x-ray photoelectron spectroscopy. It is hypothesized that the minute quantity of oxygen present in low vacuum conditions diffuses through thin layers of Al2O3 and helps in forming SiO2 at the interface even at low temperatures (i.e. 300 °C), which plays a pivotal role in determining the electrical properties of the interface. It is also proved that the absence of oxygen in the ambient prevents the formation of SiO2 at low temperatures. Additionally, it is observed that Al-OH is present in as-deposited layers, which gradually reduces after annealing. However, at around 700 °C, the concentration of oxygen in the whole structure increases to maximum and reduces at 900 °C.

  8. Stable, Microfabricated Thin Layer Chromatography Plates without Volume Distortion on Patterned, Carbon and Al2O3-Primed Carbon Nanotube Forests

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jensen, David S.; Kanyal, Supriya S.; Gupta, Vipul

    2012-09-28

    In a recent report (Song, J.; et al., Advanced Functional Materials 2011, 21, 1132-1139) some of us described the fabrication of thin layer chromatography (TLC) plates from patterned carbon nanotube (CNT) forests, which were directly infiltrated/coated with silicon by low pressure chemical vapor deposition (LPCVD) of silicon using SiH4. Following infiltration, the nanotubes were removed from the assemblies and the silicon simultaneously converted to SiO2 in a high temperature oxidation step. However, while straightforward, this process had some shortcomings, not the least of which was some distortion of the lithographically patterned features during the volume expansion that accompanied oxidation. Hereinmore » we overcome theis issue and also take substantial steps forward in the microfabrication of TLC plates by showing: (i) A new method for creating an adhesion promotion layer on CNT forests by depositing a few nanometers of carbon followed by atomic layer deposition (ALD) of Al2O3. This method for appears to be new, and X-ray photoelectron spectroscopy confirms the expected presence of oxygen after carbon deposition. ALD of Al2O3 alone and in combination with the carbon on patterned CNT forests was also explored as an adhesion promotion layer for CNT forest infiltration. (ii) Rapid, conformal deposition of an inorganic material that does not require subsequent oxidation: fast pseudo-ALD growth of SiO2 via alumina catalyzed deposition of tris(tert-butoxy)silanol onto the carbon/Al2O3-primed CNT forests. (iii) Faithful reproduction of the features in the masks used to microfabricate the TLC plates (M-TLC) this advance springs from the previous two points. (iv) A bonded (amino) phase on a CNT-templated microfabricated TLC plate. (v) Fast, highly efficient (125,000 - 225,000 N/m) separations of fluorescent dyes on M-TLC plates. (vi) Extensive characterization of our new materials by TEM, SEM, EDAX, DRIFT, and XPS. (vii) A substantially lower process temperature

  9. Comparison of B{sub 2}O{sub 3} and BN deposited by atomic layer deposition for forming ultrashallow dopant regions by solid state diffusion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consiglio, Steven, E-mail: steve.consiglio@us.tel.com; Clark, Robert D.; O'Meara, David

    2016-01-15

    In this study, the authors investigated atomic layer deposition (ALD) of B{sub 2}O{sub 3} and BN for conformal, ultrashallow B doping applications and compared the effect of dopant-containing overlayers on sheet resistance (R{sub s}) and B profiles for both types of films subjected to a drive-in thermal anneal. For the deposition of B{sub 2}O{sub 3}, tris(dimethylamido)borane and O{sub 3} were used as coreactants and for the deposition of BN, BCl{sub 3} and NH{sub 3} were used as coreactants. Due to the extreme air instability of B{sub 2}O{sub 3} films, physical analysis was performed on B{sub 2}O{sub 3} films, which weremore » capped in-situ with ∼30 Å ALD grown Al{sub 2}O{sub 3} layers. For the BN films, in-situ ALD grown Si{sub 3}N{sub 4} capping layers (∼30 Å) were used for comparison. From spectroscopic ellipsometry, a thickness decrease was observed after 1000 °C, 30 s anneal for the B{sub 2}O{sub 3} containing stack with 60 ALD cycles of B{sub 2}O{sub 3}, whereas the BN containing stacks showed negligible thickness decrease after the annealing step, regardless of the number of BN cycles tested. The postanneal reduction in film thickness as well as decrease in R{sub s} for the B{sub 2}O{sub 3} containing stack suggests that the solid state diffusion dopant mechanism is effective, whereas for the BN containing stacks this phenomenon seems to be suppressed. Further clarification of the effectiveness of the B{sub 2}O{sub 3} containing layer compared to the film stacks with BN was evidenced in backside secondary ion mass spectrometry profiling of B atoms. Thus, B{sub 2}O{sub 3} formed by an ALD process and subsequently capped in-situ followed by a drive-in anneal offers promise as a dopant source for ultrashallow doping, whereas the same method using BN seems ineffective. An integrated approach for B{sub 2}O{sub 3} deposition and annealing on a clustered tool also demonstrated controllable R{sub s} reduction without the use of a capping layer.« less

  10. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  11. Fabrication and mechanical properties of Al2O3/SiC/ZrO2 functionally graded material by electrophoretic deposition.

    PubMed

    Askari, E; Mehrali, M; Metselaar, I H S C; Kadri, N A; Rahman, Md M

    2012-08-01

    This study describes the synthesis of Al(2)O(3)/SiC/ZrO(2) functionally graded material (FGM) in bio-implants (artificial joints) by electrophoretic deposition (EPD). A suitable suspension that was based on 2-butanone was applied for the EPD of Al(2)O(3)/SiC/ZrO(2), and a pressureless sintering process was applied as a presintering. Hot isostatic pressing (HIP) was used to densify the deposit, with beneficial mechanical properties after 2 h at 1800 °C in Ar atmosphere. The maximum hardness in the outer layer (90 vol.% Al(2)O(3)+10 vol.% SiC) and maximum fracture toughness in the core layer (75 vol.% Al(2)O(3)+10 vol.% SiC + 15 vol.% ZrO(2)) composite were 20.8±0.3 GPa and 8±0.1 MPa m(1/2), respectively. The results, when compared with results from Al(2)O(3)/ZrO(2) FGM, showed that SiC increased the compressive stresses in the outer layers, while the inner layers were under a residual tensile stress. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Substitutional and Interstitial Diffusion in alpha2-Ti3Al(O)

    NASA Technical Reports Server (NTRS)

    Copland, Evan; Young, David J.; Gleeson, Brian; Jacobson, Nathan

    2007-01-01

    The reaction between Al2O3 and alpha2-Ti3Al was studied with a series of Al2O3/alpha2-Ti3Al multiphase diffusion couples annealed at 900, 1000 and 1100 C. The diffusion-paths were found to strongly depend on alpha2- Ti3Al(O) composition. For alloys with low oxygen concentrations the reaction involved the reduction of Al2O3, the formation of a gamma-TiAl reaction-layer and diffusion of Al and O into the alpha2-Ti3Al substrate. Measured concentration profiles across the interaction-zone showed "up-hill" diffusion of O in alpha2-Ti3Al(O) indicating a significant thermodynamic interaction between O and Al, Ti or both. Diffusion coefficients for the interstitial O in alpha2-Ti3Al(O) were determined independently from the interdiffusion of Ti and Al on the substitutional lattice. Diffusion coefficients are reported for alpha2-Ti3Al(O) as well as gamma-TiAl. Interpretation of the results were aided with the subsequent measurement of the activities of Al, Ti and O in alpha 2-Ti3Al(O) by Knudsen effusion-cell mass spectrometry.

  13. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  14. Ac Conduction in Mixed Oxides Al-In2O3-SnO2-Al Structure Deposited by Co-Evaporation

    NASA Astrophysics Data System (ADS)

    Anwar, M.; Siddiqi, S. A.; Ghauri, I. M.

    Conductivity-frequency and capacitance-frequency characteristics of mixed oxides Al-In2O3-SnO2-Al structure are examined to elicit any correlation with the conduction mechanisms most often observed in thin film work. The existence of Schottky barriers is believed to be due to a strong donor band in the insulator established during the vacuum evaporation when a layer of mixed oxides In2O3-SnO2 system is sandwiched between two metal electrodes. Low values of activation energy at low temperatures indicate that the transport of the carriers between localized states is mainly due to electronic hopping over the barrier separating the two nearest neighbor sites. The increase in the formation of ionized donors with increase in temperature during electrical measurements indicates that electronic part of the conductivity is higher than the ionic part. The initial increase in conductivity with increase in Sn content in In2O3 lattice is caused by the Sn atom substitution of In atom, giving out one extra electron. The decrease in electrical conductivity above the critical Sn content (10 mol% SnO2) is caused by the defects formed by Sn atoms, which act as carrier traps rather than electron donors. The increase in electrical conductivity with film thickness is caused by the increase in free carriers density, which is generated by oxygen vacancy acting as two electron donor. The increase in conductivity with substrate and annealing temperatures is due to either the severe deficiency of oxygen, which deteriorates the film properties and reduces the mobility of the carriers or to the diffusion of Sn atoms from interstitial locations into the In cation sites and formation of indium species of lower oxidation state (In2+). Calculations of C and σac from tan δ measurements suggest that there is some kind of space-charge polarization in the material, caused by the storage of carriers at the electrodes. Capacitance decreases not only with the rise of frequency but also with the

  15. Improvement of the GaSb/Al2O3 interface using a thin InAs surface layer

    NASA Astrophysics Data System (ADS)

    Greene, Andrew; Madisetti, Shailesh; Nagaiah, Padmaja; Yakimov, Michael; Tokranov, Vadim; Moore, Richard; Oktyabrsky, Serge

    2012-12-01

    The highly reactive GaSb surface was passivated with a thin InAs layer to limit interface trap state density (Dit) at the III-V/high-k oxide interface. This InAs surface was subjected to various cleaning processes to effectively reduce native oxides before atomic layer deposition (ALD). Ammonium sulfide pre-cleaning and trimethylaluminum/water ALD were used in conjunction to provide a clean interface and annealing in forming gas (FG) at 350 °C resulted in an optimized fabrication for n-GaSb/InAs/high-k gate stacks. Interface trap density, Dit ≈ 2-3 × 1012 cm-2eV-1 resided near the n-GaSb conductance band which was extracted and compared with three different methods. Conductance-voltage-frequency plots showed efficient Fermi level movement and a sub-threshold slope of 200 mV/dec. A composite high-k oxide process was also developed using ALD of Al2O3 and HfO2 resulting in a Dit ≈ 6-7 × 1012 cm-2eV-1. Subjecting these samples to a higher (450 °C) processing temperature results in increased oxidation and a thermally unstable interface. p-GaSb displayed very fast minority carrier generation/recombination likely due to a high density of bulk traps in GaSb.

  16. Insights into thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks and their suppressed reaction with atomically thin AlO{sub x} interlayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ogawa, Shingo, E-mail: Shingo-Ogawa@trc.toray.co.jp; Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871; Asahara, Ryohei

    2015-12-21

    The thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that {sup 18}O-tracers composing the GeO{sub 2} underlayers diffuse within the HfO{sub 2} overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO{sub 2} also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO{sub 2} surfaces, and the reaction was further enhanced at high temperatures withmore » the assistance of GeO desorption. A technique to insert atomically thin AlO{sub x} interlayers between the HfO{sub 2} and GeO{sub 2} layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks.« less

  17. Electrochemical and solid-state NMR studies on LiCoO 2 coated with Al 2O 3 derived from carboxylate-alumoxane

    NASA Astrophysics Data System (ADS)

    Fey, George T. K.; Kao, H. M.; Muralidharan, P.; Kumar, T. P.; Cho, Y. D.

    The surface of LiCoO 2 cathodes was coated with various wt.% of Al 2O 3 derived from methoxyethoxy acetate-alumoxane (MEA-alumoxane) by a mechano-thermal coating procedure, followed by calcination at 723 K in air for 10 h. The structure and morphology of the surface modified LiCoO 2 samples have been characterized with XRD, SEM, EDS, TEM, BET, XPS/ESCA and solid-state 27Al magic angle spinning (MAS) NMR techniques. The Al 2O 3 coating forms a thin layer on the surface of the core material with an average thickness of 20 nm. The corresponding 27Al MAS NMR spectrum basically exhibited the same characteristics as the spectrum for pristine Al 2O 3 derived from MEA-alumoxane, indicating that the local environment of aluminum atoms was not significantly changed at coating levels below 1 wt.%. This provides direct evidence that Al 2O 3 was on the surface of the core materials. The LiCoO 2 coated with 1 wt.% Al 2O 3 sustained continuous cycle stability 13 times longer than pristine LiCoO 2. A comparison of the electrochemical impedance behavior of the pristine and coated materials revealed that the failure of pristine cathode performance is associated with an increase in the particle-particle resistance upon continuous cycling. Coating improved the cathode performance by suppressing the characteristic structural phase transitions (hexagonal to monoclinic to hexagonal) that occur in pristine LiCoO 2 during the charge-discharge processes.

  18. ZnS/Al2S3 Layer as a Blocking Layer in Quantum Dot Sensitized Solar Cells

    NASA Astrophysics Data System (ADS)

    Vafapoor, Borzoo; Fathi, Davood; Eskandari, Mehdi

    2017-12-01

    In this research, the effect of treatment of the CdS/CdSe sensitized ZnO photoanode by ZnS, Al2S3, and ZnS/Al2S3 nanoparticles as a barrier layer on the performance of quantum dot sensitized solar cell is investigated. Current density-voltage (J-V) characteristics show that cell efficiency is enhanced from 3.62% to 4.82% with treatment of a CdS/CdSe/ZnS sensitized ZnO photoanode by Al2S3 nanoparticles. In addition, short- circuit current density (J sc) is increased from 11.5 mA/cm2 to 14.8 mA/cm2. The results extracted from electrochemical impedance spectroscopy indicate that charge transfer resistance (R ct) in photoanode/electrolyte interfaces decreases with deposition of Al2S3 nanoparticles on CdS/CdSe/ZnS sensitized ZnO photoanodes, while the chemical capacitance of photoanode (C μ ) and electron lifetime (t n) increase. Also, results revealed that cell performance is considerably decreased with the treatment of the AL2S3 blocking layer incorporated between ZnO nanorods and CdS/CdSe QDs.

  19. ZnS/Al2S3 Layer as a Blocking Layer in Quantum Dot Sensitized Solar Cells

    NASA Astrophysics Data System (ADS)

    Vafapoor, Borzoo; Fathi, Davood; Eskandari, Mehdi

    2018-03-01

    In this research, the effect of treatment of the CdS/CdSe sensitized ZnO photoanode by ZnS, Al2S3, and ZnS/Al2S3 nanoparticles as a barrier layer on the performance of quantum dot sensitized solar cell is investigated. Current density-voltage ( J- V) characteristics show that cell efficiency is enhanced from 3.62% to 4.82% with treatment of a CdS/CdSe/ZnS sensitized ZnO photoanode by Al2S3 nanoparticles. In addition, short- circuit current density ( J sc) is increased from 11.5 mA/cm2 to 14.8 mA/cm2. The results extracted from electrochemical impedance spectroscopy indicate that charge transfer resistance ( R ct) in photoanode/electrolyte interfaces decreases with deposition of Al2S3 nanoparticles on CdS/CdSe/ZnS sensitized ZnO photoanodes, while the chemical capacitance of photoanode ( C μ ) and electron lifetime ( t n) increase. Also, results revealed that cell performance is considerably decreased with the treatment of the AL2S3 blocking layer incorporated between ZnO nanorods and CdS/CdSe QDs.

  20. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  1. Insertion of Ag atoms into layered MoO{sub 3} via a template route

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Ke, E-mail: shaoke@szu.edu.cn; Wang, Hao

    2012-11-15

    Graphical abstract: PVP–Ag{sup +} complex self-assembled with inorganic (Mo{sub x}O{sub y}){sub ∞}{sup n−} chains into a layered hybrid, in which the PVP–Ag complex was intercalated between the (Mo{sub x}O{sub y}){sub ∞}{sup n−} layers. Calcinations of this hybrid at 500 °C lead to formation of Ag/MoO{sub 3} nanohybrid. By this method we have successfully inserted Ag atoms into the semiconductor MoO{sub 3} lattice. Display Omitted Highlights: ► We fabricated a PVP–Ag/polyoxomolybdate layered hybrid via in situ self-assembly. ► The PVP–Ag complex has been inserted between the molybdenum oxide layers. ► This layered hybrid transformed into Ag/MoO{sub 3} nanocomposite after calcinations. ►more » HR-TEM images show that Ag atoms of about 1 nm have been inserted in the MoO{sub 3} layers. -- Abstract: We report insertion of Ag atoms into layered MoO{sub 3} via an in situ template route. PVP–Ag{sup +} complex self-assembled with inorganic (Mo{sub x}O{sub y}){sub ∞}{sup n−} chains into a layered hybrid, in which the PVP–Ag complex was intercalated between the (Mo{sub x}O{sub y}){sub ∞}{sup n−} layers. Calcinations of this hybrid at 500 °C lead to formation of Ag/MoO{sub 3} hybrid, in which Ag nanoparticles of about 1 nm have been inserted between the MoO{sub 3} layers. By this method pillared MoO{sub 3} has been obtained very easily. We believe that this research opens new routes to fabricate novel intercalation compounds and metal/semiconductor nanohybrids via an efficient and green route.« less

  2. Chemical trend of superconducting transition temperature in hole-doped delafossite of CuAlO2, AgAlO2 and AuAlO2

    NASA Astrophysics Data System (ADS)

    Nakanishi, Akitaka; Katayama-Yoshida, Hiroshi

    2012-12-01

    We have performed the first-principles calculations about the superconducting transition temperature Tc of hole-doped delafossite CuAlO2, AgAlO2 and AuAlO2. Calculated Tc are about 50 K (CuAlO2), 40 K (AgAlO2) and 3 K(AuAlO2) at maximum in the optimum hole-doping concentration. The low Tc of AuAlO2 is attributed to the weak electron-phonon interaction caused by the low covalency and heavy atomic mass.

  3. Studies on morphology, electrical and optical characteristics of Al-doped ZnO thin films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Li; Chen, Xinliang; Zhou, Zhongxin; Guo, Sheng; Zhao, Ying; Zhang, Xiaodan

    2018-03-01

    Al doped ZnO (AZO) films deposited on glass substrates through the atomic layer deposition (ALD) technique are investigated with various temperatures from 100 to 250 °C and different Zn : Al cycle ratios from 20 : 0 to 20 : 3. Surface morphology, structure, optical and electrical properties of obtained AZO films are studied in detail. The Al composition of the AZO films is varied by controlling the ratio of Zn : Al. We achieve an excellent AZO thin film with a resistivity of 2.14 × 10‑3 Ω·cm and high optical transmittance deposited at 150 °C with 20 : 2 Zn : Al cycle ratio. This kind of AZO thin films exhibit great potential for optoelectronics device application. Project supported by the State Key Development Program for Basic Research of China (Nos. 2011CBA00706, 2011CBA00707) and the Tianjin Applied Basic Research Project and Cutting-Edge Technology Research Plan (No. 13JCZDJC26900).

  4. Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique

    NASA Astrophysics Data System (ADS)

    Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2017-03-01

    This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O2 at 300 °C exhibit a low leakage current of 2.5 × 10-13A, I on/ I off ratio of 1.4 × 107, subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.

  5. Role of Al2O3 thin layer on improving the resistive switching properties of Ta5Si3-based conductive bridge random accesses memory device

    NASA Astrophysics Data System (ADS)

    Kumar, Dayanand; Aluguri, Rakesh; Chand, Umesh; Tseng, Tseung-Yuen

    2018-04-01

    Ta5Si3-based conductive bridge random access memory (CBRAM) devices have been investigated to improve their resistive switching characteristics for their application in future nonvolatile memory technology. Changes in the switching characteristics by the addition of a thin Al2O3 layer of different thicknesses at the bottom electrode interface of a Ta5Si3-based CBRAM devices have been studied. The double-layer device with a 1 nm Al2O3 layer has shown improved resistive switching characteristics over the single layer one with a high on/off resistance ratio of 102, high endurance of more than 104 cycles, and good retention for more than 105 s at the temperature of 130 °C. The higher thermal conductivity of Al2O3 over Ta5Si3 has been attributed to the enhanced switching properties of the double-layer devices.

  6. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  7. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  8. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, Darrell G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong; Singh, Rakesh K.; Xi, Xiaoxing

    2017-12-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+δ, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy significantly advances the state of the art in constructing oxide materials with atomic layer precision and control over stoichiometry. With atomic layer-by-layer laser molecular-beam epitaxy we have produced conducting LaAlO3/SrTiO3 interfaces at high oxygen pressures that show no evidence of oxygen vacancies, a capability not accessible by existing techniques. The carrier density of the interfacial two-dimensional electron gas thus obtained agrees quantitatively with the electronic reconstruction mechanism.

  9. Atomic Layer Deposition of Al–W–Fluoride on LiCoO 2 Cathodes: Comparison of Particle- and Electrode-Level Coatings

    DOE PAGES

    Park, Joong Sun; Mane, Anil U.; Elam, Jeffrey W.; ...

    2017-07-19

    Atomic layer deposition (ALD) of the well-known Al 2O 3 on a LiCoO 2 system is compared with that of a newly developed AlW xF y material. ALD coatings (~1 nm thick) of both materials are shown to be effective in improving cycle life through mitigation of surface-induced capacity losses. However, the behaviors of Al 2O 3 and AlW xF y are shown to be significantly different when coated directly on cathode particles versus deposition on a composite electrode composed of active materials, carbons, and binders. Electrochemical impedance spectroscopy, galvanostatic intermittent titration techniques, and four-point measurements suggest that electron transportmore » is more limited in LiCoO 2 particles coated with Al 2O 3 compared with that in particles coated with AlW xF y. Here, the results show that proper design/choice of coating materials (e.g., AlW xF y) can improve capacity retention without sacrificing electron transport and suggest new avenues for engineering electrode–electrolyte interfaces to enable high-voltage operation of lithium-ion batteries.« less

  10. Carrier Density at LaAlO3/SrTiO3 Interfaces: Evidence of Electronic Reconstruction.

    NASA Astrophysics Data System (ADS)

    Xi, Xiaoxing

    The origin of the 2D electron gas at the LaAlO3/SrTiO3 interface has been a controversial subject ever since its discovery. A serious inconsistency with the most accepted mechanism, an electronic reconstruction in response to a polar discontinuity at the interface, is that the carrier densities reported experimentally are invariably lower than the expected value except under conditions where reduction of SrTiO3 substrate is suspected. We have grown LaAlO3 films of different stoichiometry on TiO2-terminated SrTiO3 substrates using atomic layer-by-layer laser molecular beam epitaxy (ALL-Laser MBE), in which La2O3 and Al2O3 targets were sequentially ablated in 37 mTorr oxygen. The high oxygen pressure during growth prevents the possible oxygen reduction in SrTiO3, ensures that the LaAlO3 films are sufficiently oxygenated, and suppresses the La-Sr intermixing due to the bombardment effect. X-ray linear dichroism (XLD) and x-ray magnetic circular dichroism (XMCD) measurements show characteristics of oxygenated samples. In the electronic reconstruction picture, instead of the charge transfer of half of an electron in the case of a sufficiently thick stoichiometric LaAlO3, a LaAlO3 film thickness dependence is expected as well as a linear dependence on stoichiometry. Our experimental results on carrier densities in 10 nm-thick LaAl1 +yO3(1 +0.5y) films agree quantitatively with the theoretical expectations, lending a strong support for the electronic reconstruction mechanism. This material is based upon work supported by the U.S. Department of Energy, Office of Science, under Grant No. DE-SC0004764.

  11. Effect of incorporation of nitrogen atoms in Al2O3 gate dielectric of wide-bandgap-semiconductor MOSFET on gate leakage current and negative fixed charge

    NASA Astrophysics Data System (ADS)

    Kojima, Eiji; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Hosoi, Takuji; Watanabe, Heiji; Shiraishi, Kenji

    2018-06-01

    We performed first-principle calculations to investigate the effect of incorporation of N atoms into Al2O3 gate dielectrics. Our calculations show that the defect levels generated by VO in Al2O3 are the origin of the stress-induced gate leakage current and that VOVAl complexes in Al2O3 cause negative fixed charge. We revealed that the incorporation of N atoms into Al2O3 eliminates the VO defect levels, reducing the stress-induced gate leakage current. Moreover, this suppresses the formation of negatively charged VOVAl complexes. Therefore, AlON can reduce both stress-induced gate leakage current and negative fixed charge in wide-bandgap-semiconductor MOSFETs.

  12. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    NASA Astrophysics Data System (ADS)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  13. β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures grown on β-Ga{sub 2}O{sub 3} (010) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaun, Stephen W., E-mail: skaun@umail.ucsb.edu; Wu, Feng; Speck, James S.

    2015-07-15

    By systematically changing growth parameters, the growth of β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures by plasma-assisted molecular beam epitaxy was optimized. Through variation of the Al flux under O-rich conditions at 600 °C, β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) layers spanning ∼10% to ∼18% Al{sub 2}O{sub 3} were grown directly on β-Ga{sub 2}O{sub 3} (010) substrates. Nominal β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) compositions were determined through Al:Ga flux ratios. With x = ∼0.18, the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak in a high-resolution x-ray diffraction (HRXRD) ω-2θ scan was barely discernible, and Pendellösung fringes were not visible.more » This indicated that the phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) at 600 °C was less than ∼18%. The substrate temperature was then varied for a series of β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers, and the smoothest layer was grown at 650 °C. The phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) appeared to increase with growth temperature, as the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak with x = ∼0.18 was easily distinguishable by HRXRD in a sample grown at 650 °C. Cross-sectional transmission electron microscopy (TEM) indicated that β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers (14.4% Al{sub 2}O{sub 3} by energy dispersive x-ray spectroscopy) grown at 650 °C were homogeneous. β-(Al{sub ∼0.20}Ga{sub ∼0.80}){sub 2}O{sub 3} (010) layers, however, displayed a phase transition. TEM images of a β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) superlattice grown at 650 °C showed abrupt layer interfaces and high alloy homogeneity.« less

  14. Engendering Long-Term Air and Light Stability of a TiO2-Supported Porphyrinic Dye via Atomic Layer Deposition.

    PubMed

    Hoffeditz, William L; Son, Ho-Jin; Pellin, Michael J; Farha, Omar K; Hupp, Joseph T

    2016-12-21

    Organic and porphyrin-based chromophores are prevalent in liquid-junction photovoltaic and photocatalytic solar-cell chemistry; however, their long-term air and light instability may limit their practicality in real world technologies. Here, we describe the protection of a zinc porphyrin dye, adsorbed on nanoparticulate TiO 2 , from air and light degradation by a protective coating of alumina grown with a previously developed post-treatment atomic layer deposition (ALD) technique. The protective Al 2 O 3 ALD layer is deposited using dimethylaluminum isopropoxide as an Al source; in contrast to the ubiquitous ALD precursor trimethylaluminum, dimethylaluminum isopropoxide does not degrade the zinc porphyrin dye, as confirmed by UV-vis measurements. The growth of this protective ALD layer around the dye can be monitored by an in-reactor quartz crystal microbalance (QCM). Furthermore, greater than 80% of porphyrin light absorption is retained over ∼1 month of exposure to air and light when the protective coating is present, whereas almost complete loss of porphyrin absorption is observed in less than 2 days in the absence of the ALD protective layer. Applying the Al 2 O 3 post-treatment technique to the TiO 2 -adsorbed dye allows the dye to remain in electronic contact with both the semiconductor surface and a surrounding electrolyte solution, the combination of which makes this technique promising for numerous other electrochemical photovoltaic and photocatalytic applications, especially those involving the dye-sensitized evolution of oxygen.

  15. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  16. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  17. Bi-layer Channel AZO/ZnO Thin Film Transistors Fabricated by Atomic Layer Deposition Technique.

    PubMed

    Li, Huijin; Han, Dedong; Liu, Liqiao; Dong, Junchen; Cui, Guodong; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2017-12-01

    This letter demonstrates bi-layer channel Al-doped ZnO/ZnO thin film transistors (AZO/ZnO TFTs) via atomic layer deposition process at a relatively low temperature. The effects of annealing in oxygen atmosphere at different temperatures have also been investigated. The ALD bi-layer channel AZO/ZnO TFTs annealed in dry O 2 at 300 °C exhibit a low leakage current of 2.5 × 10 -13 A, I on /I off ratio of 1.4 × 10 7 , subthreshold swing (SS) of 0.23 V/decade, and high transmittance. The enhanced performance obtained from the bi-layer channel AZO/ZnO TFT devices is explained by the inserted AZO front channel layer playing the role of the mobility booster.

  18. Active metal brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%)

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2018-01-01

    The application of an active braze alloy (ABA) known as Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%) to join Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) has been investigated. This ABA was selected to increase the operating temperature of the joint beyond the capabilities of typically used ABAs such as Ag-Cu-Ti-based alloys. Silica present as a secondary phase in the Al2O3 at a level of 5 wt.% enabled the ceramic component to bond to the ABA chemically by forming a layer of Si3Ti5 at the ABA/Al2O3 interface. Appropriate brazing conditions to preserve a near-continuous Si3Ti5 layer on the Al2O3 and a continuous Fe3Si layer on the Kovar® were found to be a brazing time of ≤15 min at 1025 °C or ≤2 min at 1050 °C. These conditions produced joints that did not break on handling and could be prepared easily for microscopy. Brazing for longer periods of time, up to 45 min, at these temperatures broke down the Si3Ti5 layer on the Al2O3, while brazing at ≥1075 °C for 2-45 min broke down the Fe3Si layer on the Kovar® significantly. Further complications of brazing at ≥1075 °C included leakage of the ABA out of the joint and the formation of a new brittle silicide, Ni16Si7Ti6, at the ABA/Al2O3 interface. This investigation demonstrates that it is not straightforward to join Al2O3 to Kovar® using Copper ABA®, partly because the ranges of suitable values for the brazing temperature and time are quite limited. Other approaches to increase the operating temperature of the joint are discussed.

  19. Grain size engineering for ferroelectric Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films by an insertion of Al{sub 2}O{sub 3} interlayer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Han Joon; Park, Min Hyuk; Kim, Yu Jin

    2014-11-10

    The degradation of ferroelectric (FE) properties of atomic layer deposited Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films with increasing thickness was mitigated by inserting 1 nm-thick Al{sub 2}O{sub 3} interlayer at middle position of the thickness of the FE film. The large P{sub r} of 10 μC/cm{sup 2}, which is 11 times larger than that of single layer Hf{sub 0.5}Zr{sub 0.5}O{sub 2} film with equivalent thickness, was achieved from the films as thick as 40 nm. The Al{sub 2}O{sub 3} interlayer could interrupt the continual growth of Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films, and the resulting decrease of grain size prevented the formation of non-ferroelectricmore » monoclinic phase. The Al{sub 2}O{sub 3} interlayer also largely decreased the leakage current of the Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films.« less

  20. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2O 3 (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Gregory A.

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D2O) films adsorbed on -Al2O3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products ( D2, O2 and D¬2O) and the total sputtering yield increased with increasing D2O coverage up to ~15 water monolayers (i.e. ~15 1015 cm-2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D2O and H2O) demonstrated that the highest water decomposition yields occurred at the interfaces of the nanoscalemore » water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO2(110) interfaces. We propose that the relatively low activity of Al2O3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the molecular hydrogen.100 eV electrons are stopped in the H 2O portion of the isotopically-layered nanoscale film on α-Al 2O 3(0001) but D 2is produced at the D 2O/alumina interface by mobile electronic excitations and/or hydronium ions.« less

  1. First principles study of gallium cleaning for hydrogen-contaminated α-Al2O3(0001) surfaces.

    PubMed

    Yang, Rui; Rendell, Alistair P

    2013-05-15

    The use of gallium for cleaning hydrogen-contaminated Al2O3 surfaces is explored by performing first principles density functional calculations of gallium adsorption on a hydrogen-contaminated Al-terminated α-Al2O3(0001) surface. Both physisorbed and chemisorbed H-contaminated α-Al2O3(0001) surfaces with one monolayer (ML) gallium coverage are investigated. The thermodynamics of gallium cleaning are considered for a variety of different asymptotic products, and are found to be favorable in all cases. Physisorbed H atoms have very weak interactions with the Al2O3 surface and can be removed easily by the Ga ML. Chemisorbed H atoms form stronger interactions with the surface Al atoms. Bonding energy analysis and departure simulations indicate, however, that chemisorbed H atoms can be effectively removed by the Ga ML. Copyright © 2013 Wiley Periodicals, Inc.

  2. Passivation of phosphorus diffused silicon surfaces with Al2O3: Influence of surface doping concentration and thermal activation treatments

    NASA Astrophysics Data System (ADS)

    Richter, Armin; Benick, Jan; Kimmerle, Achim; Hermle, Martin; Glunz, Stefan W.

    2014-12-01

    Thin layers of Al2O3 are well known for the excellent passivation of p-type c-Si surfaces including highly doped p+ emitters, due to a high density of fixed negative charges. Recent results indicate that Al2O3 can also provide a good passivation of certain phosphorus-diffused n+ c-Si surfaces. In this work, we studied the recombination at Al2O3 passivated n+ surfaces theoretically with device simulations and experimentally for Al2O3 deposited with atomic layer deposition. The simulation results indicate that there is a certain surface doping concentration, where the recombination is maximal due to depletion or weak inversion of the charge carriers at the c-Si/Al2O3 interface. This pronounced maximum was also observed experimentally for n+ surfaces passivated either with Al2O3 single layers or stacks of Al2O3 capped by SiNx, when activated with a low temperature anneal (425 °C). In contrast, for Al2O3/SiNx stacks activated with a short high-temperature firing process (800 °C) a significant lower surface recombination was observed for most n+ diffusion profiles without such a pronounced maximum. Based on experimentally determined interface properties and simulation results, we attribute this superior passivation quality after firing to a better chemical surface passivation, quantified by a lower interface defect density, in combination with a lower density of negative fixed charges. These experimental results reveal that Al2O3/SiNx stacks can provide not only excellent passivation on p+ surfaces but also on n+ surfaces for a wide range of surface doping concentrations when activated with short high-temperature treatments.

  3. Thermal conductivity of Er{sup +3}:Y{sub 2}O{sub 3} films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Raeisi Fard, Hafez; Hess, Andrew; Pashayi, Kamyar

    2013-11-04

    Cross-plane thermal conductivity of 800, 458, and 110 nm erbium-doped crystalline yttria (Er{sup +3}:Y{sub 2}O{sub 3}) films deposited via atomic layer deposition was measured using the 3ω method at room temperature. Thermal conductivity results show 16-fold increase in thermal conductivity from 0.49 W m{sup −1}K{sup −1} to 8 W m{sup −1}K{sup −1} upon post deposition annealing, partially due to the suppression of the number of the -OH/H{sub 2}O bonds in the films after annealing. Thermal conductivity of the annealed film was ∼70% lower than undoped bulk single crystal yttria. The cumulative interface thermal resistivity of substrate-Er{sup +3}:Y{sub 2}O{sub 3}-metal heater was determined tomore » be ∼2.5 × 10{sup −8} m{sup 2} K/W.« less

  4. Atomistic simulation study of influence of Al2O3-Al interface on dislocation interaction and prismatic loop formation during nano-indentation on Al2O3-coated aluminum.

    PubMed

    Mishra, Srishti; Meraj, Md; Pal, Snehanshu

    2018-06-19

    A large-scale molecular dynamics (MD) simulation of nano-indentation was carried out to provide insight into the influence of the Al-Al 2 O 3 interface on dislocation evolution and deformation behavior of Al substrate coated with Al 2 O 3 thin film. Adaptive common neighbor analysis (a-CNA), centro-symmetry parameter (CSP) estimation, and dislocation extraction algorithm (DXA) were implemented to represent structural evolution during nano-indentation deformation. The absence of elastic regime was observed in the P-h curve for this simulated nano-indentation test of Al 2 O 3 thin film coated Al specimen. The displacement of oxygen atoms from Al 2 O 3 to Al partly through the interface greatly influences the plastic deformation behavior of the specimen during nano-indentation. Prismatic dislocation loops, which are formed due to pinning of Shockley partials (1/6 < 112>) by Stair-rod (1/6 < 110>) and Hirth dislocation (1/3 < 001>), were observed in all cases studied in this work. Pile-up of atoms was also observed and the extent of the pile-up was found to vary with the test temperature. A distorted stacking fault tetrahedron (SFT) is formed when a nano-indentation test is carried out at 100 K. The presence of a prismatic dislocation loop, SFT and dislocation forest caused strain hardening and, consequently, there is an increase in hardness as indentation depth increases. Graphical abstract Figure illustrates nano-indentation model set up along with load vs. depth curve and distorted stacking fault tetrahedron.

  5. Growth of C60 thin films on Al2O3/NiAl(100) at early stages

    NASA Astrophysics Data System (ADS)

    Hsu, S.-C.; Liao, C.-H.; Hung, T.-C.; Wu, Y.-C.; Lai, Y.-L.; Hsu, Y.-J.; Luo, M.-F.

    2018-03-01

    The growth of thin films of C60 on Al2O3/NiAl(100) at the earliest stage was studied with scanning tunneling microscopy and synchrotron-based photoelectron spectroscopy under ultrahigh-vacuum conditions. C60 molecules, deposited from the vapor onto an ordered thin film of Al2O3/NiAl(100) at 300 K, nucleated into nanoscale rectangular islands, with their longer sides parallel to direction either [010] or [001] of NiAl. The particular island shape resulted because C60 diffused rapidly, and adsorbed and nucleated preferentially on the protrusion stripes of the crystalline Al2O3 surface. The monolayer C60 film exhibited linear protrusions of height 1-3 Å, due to either the structure of the underlying Al2O3 or the lattice mismatch at the boundaries of the coalescing C60 islands; such protrusions governed also the growth of the second layer. The second layer of the C60 film grew only for a C60 coverage >0.60 ML, implying a layer-by-layer growth mode, and also ripened in rectangular shapes. The thin film of C60 was thermally stable up to 400 K; above 500 K, the C60 islands dissociated and most C60 desorbed.

  6. Direct spectroscopic evidence for isolated silanols in SiO x/Al 2O 3 and their formation mechanism

    DOE PAGES

    Mouat, Aidan R.; Kobayashi, Takeshi; Pruski, Marek; ...

    2017-02-27

    Here, the preparation and unambiguous characterization of isolated Brønsted-acidic silanol species on silica–alumina catalysts presents a key challenge in the rational design of solid acid catalysts. In this report, atomic layer deposition (ALD) and liquid-phase preparation (chemical liquid deposition, CLD) are used to install the SiO x sites on Al 2O 3 catalysts using the same Si source (tetraethylorthosilicate, TEOS). The ALD-derived and CLD-derived SiO x sites are probed with dynamic nuclear polarization (DNP)-enhanced 29Si– 29Si double-quantum/single-quantum (DQ/SQ) correlation NMR spectroscopy. The investigation reveals conclusively that the SiO x/Al 2O 3 material prepared by ALD and CLD, followed by calcinationmore » under an O 2 stream, contains fully spatially isolated Si species, in contrast with those resulting from the calcination under static air, which is widely accepted as a postgrafting treatment for CLD. Insight into the formation mechanism of these sites is obtained via in situ monitoring of the TEOS + γ-Al 2O 3 reaction in an environmental diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS) cell. Upon calcination, the DRIFTS spectra of SiO x/Al 2O 3 reveal a signature unambiguously assignable to isolated Brønsted-acidic silanol species. Surprisingly, the results of this study indicate that the method of preparing SiO x/Al 2O 3 catalysts is less important to the final structure of the silanol sites than the post-treatment conditions. This finding should greatly simplify the methods for synthesizing site-isolated, Brønsted-acidic SiO x/Al 2O 3 catalysts.« less

  7. Understanding the Relationship Between Structure and Thermophysical Properties of CaO-SiO2-MgO-Al2O3 Molten Slags

    NASA Astrophysics Data System (ADS)

    Sun, Yongqi; Wang, Hao; Zhang, Zuotai

    2018-04-01

    In the present work, the relationship between the microscopic structure and macroscopic thermophysical properties in a basic CaO-SiO2-MgO-Al2O3 quaternary system was identified using Fourier transformation infrared, Raman and 27Al magic angular spinning nuclear magnetic resonance (MAS-NMR) techniques. The Raman spectra quantitatively proved that with increasing Al2O3 content, the concentrations of the symmetric units of Q 0(Si) and Q 2(Si) decreased, while those of the asymmetric units of Q 1(Si) and Q 3(Si) increased; consequently, the degree of polymerization of the networks increased, which resulted in an increase in slag viscosity. The 27Al MAS-NMR spectra demonstrated that three structural units of Al atoms, namely, AlO4, AlO5, and AlO6, mainly existed in the networks. With increasing Al2O3 content, the concentration of AlO4 slightly decreased, while those of AlO5 and AlO6 increased; overall, Al2O3 acted as a network former in the present system. The increasing Al2O3 content led to additional AlO6 and Si-NBO-Ca-NBO-Al frameworks, which replaced Si-NBO-Ca-NBO-Si in the networks (NBO: non-bridging oxygen) and induced a change in the primarily precipitated crystalline phase from Ca2MgSi2O7 and Ca2Al2SiO7 to MgAlO4.

  8. Improved DC and RF performance of InAlAs/InGaAs InP based HEMTs using ultra-thin 15 nm ALD-Al2O3 surface passivation

    NASA Astrophysics Data System (ADS)

    Asif, Muhammad; Chen, Chen; Peng, Ding; Xi, Wang; Zhi, Jin

    2018-04-01

    Owing to the great influence of surface passivation on DC and RF performance of InP-based HEMTs, the DC and RF performance of InAlAs/InGaAs InP HEMTs were studied before and after passivation, using an ultra-thin 15 nm atomic layer deposition Al2O3 layer. Increase in Cgs and Cgd was significantly limited by scaling the thickness of the Al2O3 layer. For verification, an analytical small-signal equivalent circuit model was developed. A significant increase in maximum transconductance (gm) up to 1150 mS/mm, drain current (IDS) up to 820 mA/mm and fmax up to 369.7 GHz was observed, after passivation. Good agreement was obtained between the measured and the simulated results. This shows that the RF performance of InP-based HEMTs can be improved by using an ultra-thin ALD-Al2O3 surface passivation.

  9. Highly Flexible and Transparent Ag Nanowire Electrode Encapsulated with Ultra-Thin Al2O3: Thermal, Ambient, and Mechanical Stabilities

    PubMed Central

    Hwang, Byungil; An, Youngseo; Lee, Hyangsook; Lee, Eunha; Becker, Stefan; Kim, Yong-Hoon; Kim, Hyoungsub

    2017-01-01

    There is an increasing demand in the flexible electronics industry for highly robust flexible/transparent conductors that can withstand high temperatures and corrosive environments. In this work, outstanding thermal and ambient stability is demonstrated for a highly transparent Ag nanowire electrode with a low electrical resistivity, by encapsulating it with an ultra-thin Al2O3 film (around 5.3 nm) via low-temperature (100 °C) atomic layer deposition. The Al2O3-encapsulated Ag nanowire (Al2O3/Ag) electrodes are stable even after annealing at 380 °C for 100 min and maintain their electrical and optical properties. The Al2O3 encapsulation layer also effectively blocks the permeation of H2O molecules and thereby enhances the ambient stability to greater than 1,080 h in an atmosphere with a relative humidity of 85% at 85 °C. Results from the cyclic bending test of up to 500,000 cycles (under an effective strain of 2.5%) confirm that the Al2O3/Ag nanowire electrode has a superior mechanical reliability to that of the conventional indium tin oxide film electrode. Moreover, the Al2O3 encapsulation significantly improves the mechanical durability of the Ag nanowire electrode, as confirmed by performing wiping tests using isopropyl alcohol. PMID:28128218

  10. Hydrogen passivation of poly-Si/SiOx contacts for Si solar cells using Al2O3 studied with deuterium

    NASA Astrophysics Data System (ADS)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; Macco, Bart; Stradins, Paul; Kessels, W. M. M.; Young, David L.

    2018-05-01

    The interplay between hydrogenation and passivation of poly-Si/SiOx contacts to n-type Si wafers is studied using atomic layer deposited Al2O3 and anneals in forming gas and nitrogen. The poly-Si/SiOx stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiOx contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al2O3 is derived from its role as a hydrogen source for chemically passivating defects at SiOx; Al2O3 layers are found to hydrogenate poly-Si/SiOx much better than a forming gas anneal. By labelling Al2O3 and the subsequent anneal with different hydrogen isotopes, it is found that Al2O3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.

  11. Investigating the electronic properties of Al2O3/Cu(In,Ga)Se2 interface

    NASA Astrophysics Data System (ADS)

    Kotipalli, R.; Vermang, B.; Joel, J.; Rajkumar, R.; Edoff, M.; Flandre, D.

    2015-10-01

    Atomic layer deposited (ALD) Al2O3 films on Cu(In,Ga)Se2 (CIGS) surfaces have been demonstrated to exhibit excellent surface passivation properties, which is advantageous in reducing recombination losses at the rear metal contact of CIGS thin-film solar cells. Here, we report, for the first time, experimentally extracted electronic parameters, i.e. fixed charge density (Qf) and interface-trap charge density (Dit), for as-deposited (AD) and post-deposition annealed (PDA) ALD Al2O3 films on CIGS surfaces using capacitance-voltage (C-V) and conductance-frequency (G-f) measurements. These results indicate that the AD films exhibit positive fixed charges Qf (approximately 1012 cm-2), whereas the PDA films exhibit a very high density of negative fixed charges Qf (approximately 1013 cm-2). The extracted Dit values, which reflect the extent of chemical passivation, were found to be in a similar range of order (approximately 1012 cm-2 eV-1) for both AD and PDA samples. The high density of negative Qf in the bulk of the PDA Al2O3 film exerts a strong Coulomb repulsive force on the underlying CIGS minority carriers (ns), preventing them to recombine at the CIGS/Al2O3 interface. Using experimentally extracted Qf and Dit values, SCAPS simulation results showed that the surface concentration of minority carriers (ns) in the PDA films was approximately eight-orders of magnitude lower than in the AD films. The electrical characterization and estimations presented in this letter construct a comprehensive picture of the interfacial physics involved at the Al2O3/CIGS interface.

  12. Spotting 2D atomic layers on aluminum nitride thin films.

    PubMed

    Chandrasekar, Hareesh; Bharadwaj B, Krishna; Vaidyuala, Kranthi Kumar; Suran, Swathi; Bhat, Navakanta; Varma, Manoj; Srinivasan Raghavan

    2015-10-23

    Substrates for 2D materials are important for tailoring their fundamental properties and realizing device applications. Aluminum nitride (AIN) films on silicon are promising large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants. In this paper epitaxial layers of AlN on 2″ Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant thickness dependent contrast enhancements are both predicted and observed for monolayers of graphene and MoS2 on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, indicating the feasibility of complete device fabrication on the identified layers.

  13. Nondestructive depth profile of the chemical state of ultrathin Al2O3/Si interface

    NASA Astrophysics Data System (ADS)

    Lee, Jong Cheol; Oh, S.-J.

    2004-05-01

    We investigated a depth profile of the chemical states of an Al2O3/Si interface using nondestructive photon energy-dependent high-resolution x-ray photoelectron spectroscopy (HRXPS). The Si 2p binding energy, attributed to the oxide interfacial layer (OIL), was found to shift from 102.1 eV to 102.9 eV as the OIL region closer to Al2O3 layer was sampled, while the Al 2p binding energy remains the same. This fact strongly suggests that the chemical state of the interfacial layer is not Al silicate as previously believed. We instead propose from the HRXPS of Al 2p and Si 2p depth-profile studies that the chemical states of the Al2O3/Si interface mainly consist of SiO2 and Si2O3.

  14. Optical properties of white organic light-emitting devices fabricated utilizing a mixed CaAl12O19:Mn4+ and Y3Al5O12:Ce3+ color conversion layer.

    PubMed

    Jeong, H S; Kim, S H; Lee, K S; Jeong, J M; Yoo, T W; Kwon, M S; Yoo, K H; Kim, T W

    2013-06-01

    White organic light-emitting devices (OLEDs) were fabricated by combining a blue OLED with a color conversion layer made of mixed Y3Al5O12:Ce3+ green and Ca2AlO19:Mn4+ red phosphors. The X-ray diffraction patterns showed that Ce3+ ions in the Y3Al5O12:Ce3+ phosphors completely substituted for the Y3+ ions and the Mn4+ ions in the CaAl12O19:Mn4+ phosphors completely substituted for the Ca2+ ions. Electroluminescence spectra at 11 V for the OLEDs fabricated utilizing a color conversion layer showed that the Commission Internationale de l'Eclairage coordinates for the Y3Al5O12:Ce3+ and CaAl12O19:Mn4+ phosphors mixed at the ratio of 1:5 and 1:10 were (0.31, 0.34) and (0.32, 0.37), respectively, indicative of a good white color.

  15. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  16. Fabrication and characterization of complex oxide RENiO3/LaAlO3 superlattices

    NASA Astrophysics Data System (ADS)

    Kareev, M.; Freeland, J. W.; Liu, J.; Kirby, B.; Keimer, B.; Chakhalian, J.

    2008-03-01

    Nowadays there has been growing interest to synthesis of atomically thin complex oxide superlattices which can result in novel electronic and magnetic properties at the interface. Here we report on digital synthesis of single unit cell nickel based heterostructures of RENiO3/LaAlO3 (RE = La, Nd and Pr) superlattices on SrTiO3 and LaAlO3 by laser MBE. RHEED analysis, grazing angle XRD and AFM imaging have confirmed the high quality of the epitaxially grown superlattices. The magnetic and electronic properties of the superlattices have been elucidated by polarized X-ray spectroscopies, which show a non-trivial evolution of magnetism and charge of the LNO layer with increasing LNO layer thickness. The work has been supported by U.S. DOD-ARO under Contract No. 0402-17291.

  17. SELF ALIGNED TIP DEINSULATION OF ATOMIC LAYER DEPOSITED AL2O3 AND PARYLENE C COATED UTAH ELECTRODE ARRAY BASED NEURAL INTERFACES

    PubMed Central

    Xie, Xianzong; Rieth, Loren; Negi, Sandeep; Bhandari, Rajmohan; Caldwell, Ryan; Sharma, Rohit; Tathireddy, Prashant; Solzbacher, Florian

    2014-01-01

    The recently developed alumina and Parylene C bi-layer encapsulation improved the lifetime of neural interfaces. Tip deinsulation of Utah electrode array based neural interfaces is challenging due to the complex 3D geometries and high aspect ratios of the devices. A three-step self-aligned process was developed for tip deinsulation of bilayer encapsulated arrays. The deinsulation process utilizes laser ablation to remove Parylene C, O2 reactive ion etching to remove carbon and Parylene residues, and buffered oxide etch to remove alumina deposited by atomic layer deposition, and expose the IrOx tip metallization. The deinsulated iridium oxide area was characterized by scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, and electrochemical impedance spectroscopy to determine the morphology, surface morphology, composition, and electrical properties of the deposited layers and deinsulated tips. The alumina layer was found to prevent the formation of micro cracks on iridium oxide during the laser ablation process, which has been previously reported as a challenge for laser deinsulation of Parylene films. The charge injection capacity, charge storage capacity, and impedance of deinsulated iridium oxide were characterized to determine the deinsulation efficacy compared to Parylene-only insulation. Deinsulated iridium oxide with bilayer encapsulation had higher charge injection capacity (240 vs 320 nC) and similar electrochemical impedance (2.5 vs 2.5 kΩ) compared to deinsulated iridium oxide with only Parylene coating for an area of 2 × 10−4 cm2. Tip impedances were in the ranges of 20 to 50 kΩ, with median of 32 KΩ and standard deviation of 30 kΩ, showing the effectiveness of the self-aligned deinsulation process for alumina and Parylene C bi-layer encapsulation. The relatively uniform tip impedance values demonstrated the consistency of tip exposures. PMID:24771981

  18. Depolarization currents in Al 2O 3 and MgAl 2O 4 oxides

    NASA Astrophysics Data System (ADS)

    Carvalhaes, R. P. M.; Rocha, M. S.; de Souza, S. S.; Blak, A. R.

    2004-06-01

    In the present work, dipole defects in γ-irradiated and thermally treated samples of Al 2O 3 and MgAl 2O 4 oxides are investigated, applying the thermally stimulated depolarisation currents technique (TSDC). The TSDC spectra of MgAl 2O 4 doped with Fe 2+, Fe 3+, Co 2+, Cr 3+ and Mn 2+ show four bands at 130 K, 160 K, 250 K and 320 K, and the spectra of Al 2O 3 doped with Mg 2+, Cr 3+ and Fe 3+ show bands between 230 K and 260 K. It has been observed that the bands at 130 K, 160 K and 250 K in MgAl 2O 4 spinel and that the 230 K and 240 K bands in Al 2O 3 are related to dipole defects. The other bands are possibly related to different types of charge storage mechanisms (space-charge and interfacial polarisation) or deal with distributions in activation energies and/or in relaxation times. A thermal decrease of the TSDC bands for heat treatments above 1000 K has been observed. In MgAl 2O 4 spinel, the 250 K band could be recovered after γ-irradiation and the two dipole peaks in Al 2O 3 were partially recovered. Thermal treatments affect the dipole aggregation processes in both oxides. Optical absorption (AO) results indicate that the presence of bands of water molecules in the infrared region obstructs the appearance of the TSDC bands in both Al 2O 3 and MgAl 2O 4. The 250 K peak in MgAl 2O 4 was correlated to V-type centres and the 250 K peak in Al 2O 3 to a substitutional Mg 2+ ion near a trapped hole localised on an adjacent oxygen ion.

  19. Influence of Content of Al2O3 on Structure and Properties of Nanocomposite Nb-B-Al-O films

    NASA Astrophysics Data System (ADS)

    Liu, Na; Dong, Lei; Dong, Lei; Yu, Jiangang; Pan, Yupeng; Wan, Rongxin; Gu, Hanqing; Li, Dejun

    2015-11-01

    Nb-B-Al-O nanocomposite films with different power of Al2O3 were successfully deposited on the Si substrate via multi-target magnetron co-sputtering method. The influences of Al2O3's content on structure and properties of obtained nanocomposite films through controlling Al2O3's power were investigated. Increasing the power of Al2O3 can influence the bombarding energy and cause the momentum transfer of NbB2. This can lead to the decreasing content of Al2O3. Furthermore, the whole films showed monocrystalline NbB2's (100) phase, and Al2O3 shaded from amorphous to weak cubic-crystalline when decreasing content of Al2O3. This structure and content changes were proof by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). When NbB2 grains were far from each other in lower power of Al2O3, the whole films showed a typical nanocomposite microstructure with crystalline NbB2 grains embedded in a matrix of an amorphous Al2O3 phase. Continuing increasing the power of Al2O3, the less content of Al2O3 tended to cause crystalline of cubic-Al2O3 between the close distances of different crystalline NbB2 grains. The appearance of cubic-crystallization Al2O3 can help to raise the nanocomposite films' mechanical properties to some extent. The maximum hardness and elastic modulus were up to 21.60 and 332.78 GPa, which were higher than the NbB2 and amorphous Al2O3 monolithic films. Furthermore, this structure change made the chemistry bond of O atom change from the existence of O-Nb, O-B, and O-Al bonds to single O-Al bond and increased the specific value of Al and O. It also influenced the hardness in higher temperature, which made the hardness variation of different Al2O3 content reduced. These results revealed that it can enhance the films' oxidation resistance properties and keep the mechanical properties at high temperature. The study highlighted the importance of controlling the Al2O3's content to prepare

  20. Atomic Layer Deposition of Metastable β-Fe 2 O 3 via Isomorphic Epitaxy for Photoassisted Water Oxidation

    DOE PAGES

    Emery, Jonathan D.; Schlepütz, Christian M.; Guo, Peijun; ...

    2014-12-09

    Here, we report the growth and photoelectrochemical (PEC) characterization of the uncommon bibyite phase of iron(III) oxide (β-Fe 2O 3) epitaxially stabilized via atomic layer deposition on an conductive, transparent, and isomorphic template (Sn-doped In 2O 3). Furthermore, as a photoanode, unoptimized β-Fe 2O 3 ultrathin films perform similarly to their ubiquitous α-phase (hematite) counterpart, but reveal a more ideal bandgap (1.8 eV), a ~0.1 V improved photocurrent onset potential, and longer wavelength (>600 nm) spectral response. Finally, stable operation under basic water oxidation justifies further exploration of this atypical phase and motivates the investigation of other unexplored metastable phasesmore » as new PEC materials.« less

  1. Atomic Layer Deposition of Stable LiAlF4 Lithium Ion Conductive Interfacial Layer for Stable Cathode Cycling.

    PubMed

    Xie, Jin; Sendek, Austin D; Cubuk, Ekin D; Zhang, Xiaokun; Lu, Zhiyi; Gong, Yongji; Wu, Tong; Shi, Feifei; Liu, Wei; Reed, Evan J; Cui, Yi

    2017-07-25

    Modern lithium ion batteries are often desired to operate at a wide electrochemical window to maximize energy densities. While pushing the limit of cutoff potentials allows batteries to provide greater energy densities with enhanced specific capacities and higher voltage outputs, it raises key challenges with thermodynamic and kinetic stability in the battery. This is especially true for layered lithium transition-metal oxides, where capacities can improve but stabilities are compromised as wider electrochemical windows are applied. To overcome the above-mentioned challenges, we used atomic layer deposition to develop a LiAlF 4 solid thin film with robust stability and satisfactory ion conductivity, which is superior to commonly used LiF and AlF 3 . With a predicted stable electrochemical window of approximately 2.0 ± 0.9 to 5.7 ± 0.7 V vs Li + /Li for LiAlF 4 , excellent stability was achieved for high Ni content LiNi 0.8 Mn 0.1 Co 0.1 O 2 electrodes with LiAlF 4 interfacial layer at a wide electrochemical window of 2.75-4.50 V vs Li + /Li.

  2. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 32Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  3. Oxygen vacancy defect engineering using atomic layer deposited HfAlOx in multi-layered gate stack

    NASA Astrophysics Data System (ADS)

    Bhuyian, M. N.; Sengupta, R.; Vurikiti, P.; Misra, D.

    2016-05-01

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlOx with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V+/V2+, are the primary source of defects in these dielectrics. When Al is added in HfO2, the V+ type defects with a defect activation energy of Ea ˜ 0.2 eV modify to V2+ type to Ea ˜ 0.1 eV with reference to the Si conduction band. When devices were stressed in the gate injection mode for 1000 s, more V+ type defects are generated and Ea reverts back to ˜0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO2 contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.

  4. Oxidation of atomically thin MoS2 on SiO2

    NASA Astrophysics Data System (ADS)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  5. Preparation and characterization of 6-layered functionally graded nickel-alumina (Ni-Al2O3) composites

    NASA Astrophysics Data System (ADS)

    Latiff, M. I. A.; Nuruzzaman, D. M.; Basri, S.; Ismail, N. M.; Jamaludin, S. N. S.; Kamaruzaman, F. F.

    2018-04-01

    The present research study deals with the preparation of 6-layered functionally graded (FG) metal-ceramic composite materials through powder metallurgy technique. Using a cylindrical die-punch set made of steel, the nickel-alumina (Ni-Al2O3) graded composite structure was fabricated. The samples consist of four gradual inter layers of varied nickel composition (80wt.%, 60wt.%, 40wt.%, 20wt.%) sandwiched with pure Ni and Al2O3 powders at the ends (100wt.% and 0wt.% nickel) were fabricated under 30 ton compaction load using a hydraulic press. After that, two-step sintering was carried out at sintering temperature 1200ºC and soaking time 3 hours was maintained in a tube furnace. The properties of the prepared samples were characterized by radial shrinkage, optical microscopy and hardness testing. Results showed that larger shrinkage occurred within the ceramic phase which proves that more porosities were eliminated in the ceramic rich layers. From the microstructural analysis, it was observed that alumina particles are almost uniformly distributed in nickel matrix, so as nickel particles in the ceramic matrix of alumina-dominant layers. From interfacial analyses, it was observed that a smooth transition in microstructure from one layer to the next confirms a good interfacial solid state bonding between metal-ceramic constituents and good compaction process. On the other hand, microhardness test results suggest that there might be increasing percentage of porosities in the graded structure as the ceramic content rises.

  6. Nature of electron trap states under inversion at In0.53Ga0.47As/Al2O3 interfaces

    NASA Astrophysics Data System (ADS)

    Colleoni, Davide; Pourtois, Geoffrey; Pasquarello, Alfredo

    2017-03-01

    In and Ga impurities substitutional to Al in the oxide layer resulting from diffusion out of the substrate are identified as candidates for electron traps under inversion at In0.53Ga0.47As/Al2O3 interfaces. Through density-functional calculations, these defects are found to be thermodynamically stable in amorphous Al2O3 and to be able to capture two electrons in a dangling bond upon breaking bonds with neighboring O atoms. Through a band alignment based on hybrid functional calculations, it is inferred that the corresponding defect levels lie at ˜1 eV above the conduction band minimum of In0.53Ga0.47As, in agreement with measured defect densities. These results support the technological importance of avoiding cation diffusion into the oxide layer.

  7. Theoretical insight into Cobalt subnano-clusters adsorption on α-Al{sub 2}O{sub 3} (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fen-e; Ren, Jun, E-mail: jun.ren@nuc.edu.cn; Wang, Qiang

    The investigation on the structural stability, nucleation, growth and interaction of cobalt cluster Con(n=2–7) on the α-Al{sub 2}O{sub 3}(0001) surface by using density functional theory methods has been reported. Energetically, the most favorable adsorption sites were identified and the strongest adsorption energy cluster is the tetrahedral Co{sub 4} cluster. On the other hand, the nucleation of Con(n=2–7) clusters on the surface is exothermic and thermodynamically favorable. Moreover, even-odd alternation was found with respect to clusters nucleation as a function of the number of cobalt atoms (for n=1–7). Meanwhile, the Co{sub n} clusters can be adsorbed on the surface stably owingmore » to the charge transfer from Co atoms to Al and O atoms of the Al{sub 2}O{sub 3} substrate. In addition, we establish the crucial importance of monomer, dimer and trimer diffusion on the surface. The diffusion of the monomer cobalt from Al{sup (3)} to O{sup (5)} or O{sup (5)} to Al{sup (4)} site is quite easy on the Al{sub 2}O{sub 3}(0001) surface, whereas the diffusion of the Co{sub 2} dimer is thermodynamically unfavorable by compared with that of the Co adatom and Co{sub 3} trimer. - Graphical abstract: Diffusion process of Co adatom on the α-Al{sub 2}O{sub 3} (0001) surface, Al{sup (3)} site→O{sup (5)} site→Al{sup (4)} site. Potential energy surface for diffusion of a single Co atom from Al{sup (3)} to O{sup (5)} site, and from O{sup (5)} to Al{sup (4)} site on the surface. The activation energy of the two migration processes from Al{sup (3)} to O{sup (5)} and O{sup (5)} to Al{sup (4)} are 0.06 and 0.09 eV, respectively. This implies the monomer is quite mobile on the surface under typical growth conditions.« less

  8. Enhanced Light Stability of InGaZnO Thin-Film Transistors by Atomic-Layer-Deposited Y2O3 with Ozone.

    PubMed

    Jung, Hanearl; Kim, Woo-Hee; Park, Bo-Eun; Woo, Whang Je; Oh, Il-Kwon; Lee, Su Jeong; Kim, Yun Cheol; Myoung, Jae-Min; Gatineau, Satoko; Dussarrat, Christian; Kim, Hyungjun

    2018-01-17

    We report the effect of Y 2 O 3 passivation by atomic layer deposition (ALD) using various oxidants, such as H 2 O, O 2 plasma, and O 3 , on In-Ga-Zn-O thin-film transistors (IGZO TFTs). A large negative shift in the threshold voltage (V th ) was observed in the case of the TFT subjected to the H 2 O-ALD Y 2 O 3 process; this shift was caused by a donor effect of negatively charged chemisorbed H 2 O molecules. In addition, degradation of the IGZO TFT device performance after the O 2 plasma-ALD Y 2 O 3 process (field-effect mobility (μ) = 8.7 cm 2 /(V·s), subthreshold swing (SS) = 0.77 V/dec, and V th = 3.7 V) was observed, which was attributed to plasma damage on the IGZO surface adversely affecting the stability of the TFT under light illumination. In contrast, the O 3 -ALD Y 2 O 3 process led to enhanced device stability under light illumination (ΔV th = -1 V after 3 h of illumination) by passivating the subgap defect states in the IGZO surface region. In addition, TFTs with a thicker IGZO film (55 nm, which was the optimum thickness under the current investigation) showed more stable device performance than TFTs with a thinner IGZO film (30 nm) (ΔV th = -0.4 V after 3 h of light illumination) by triggering the recombination of holes diffusing from the IGZO surface to the insulator-channel interface. Therefore, we envisioned that the O 3 -ALD Y 2 O 3 passivation layer suggested in this paper can improve the photostability of TFTs under light illumination.

  9. Fermi level de-pinning of aluminium contacts to n-type germanium using thin atomic layer deposited layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gajula, D. R., E-mail: dgajula01@qub.ac.uk; Baine, P.; Armstrong, B. M.

    Fermi-level pinning of aluminium on n-type germanium (n-Ge) was reduced by insertion of a thin interfacial dielectric by atomic layer deposition. The barrier height for aluminium contacts on n-Ge was reduced from 0.7 eV to a value of 0.28 eV for a thin Al{sub 2}O{sub 3} interfacial layer (∼2.8 nm). For diodes with an Al{sub 2}O{sub 3} interfacial layer, the contact resistance started to increase for layer thicknesses above 2.8 nm. For diodes with a HfO{sub 2} interfacial layer, the barrier height was also reduced but the contact resistance increased dramatically for layer thicknesses above 1.5 nm.

  10. Improvement of performance in low temperature solid oxide fuel cells operated on ethanol and air mixtures using Cu-ZnO-Al2O3 catalyst layer

    NASA Astrophysics Data System (ADS)

    Morales, M.; Espiell, F.; Segarra, M.

    2015-10-01

    Anode-supported single-chamber solid oxide fuel cells with and without Cu-ZnO-Al2O3 catalyst layers deposited on the anode support have been operated on ethanol and air mixtures. The cells consist of gadolinia-doped ceria electrolyte, Ni-doped ceria anode, and La0.6Sr0.4CoO3-δ-doped ceria cathode. Catalyst layers with different Cu-ZnO-Al2O3 ratios are deposited and sintered at several temperatures. Since the performance of single-chamber fuel cells strongly depends on catalytic properties of electrodes for partial oxidation of ethanol, the cells are electrochemically characterized as a function of the temperature, ethanol-air molar ratio and gas flow rate. In addition, catalytic activities of supported anode, catalytic layer-supported anode and cathode for partial oxidation of ethanol are analysed. Afterwards, the effect of composition and sintering temperature of catalyst layer on the cell performance are determined. The results indicate that the cell performance can be significantly enhanced using catalyst layers of 30:35:35 and 40:30:30 wt.% Cu-ZnO-Al2O3 sintered at 1100 °C, achieving power densities above 50 mW cm-2 under 0.45 ethanol-air ratio at temperatures as low as 450 °C. After testing for 15 h, all cells present a gradual loss of power density, without carbon deposition, which is mainly attributed to the partial re-oxidation of Ni at the anode.

  11. Epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) by reactive high-power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per, E-mail: perek@ifm.liu.se; Frodelius, Jenny; Hultman, Lars

    2014-01-15

    Al{sub 2}O{sub 3} was deposited by reactive high-power impulse magnetron sputtering at 600 °C onto pre-deposited Ti{sub 2}AlC(0001) thin films on α-Al{sub 2}O{sub 3}(0001) substrates. The Al{sub 2}O{sub 3} was deposited to a thickness of 65 nm and formed an adherent layer of epitaxial γ-Al{sub 2}O{sub 3}(111) as shown by transmission electron microscopy. The demonstration of epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) open prospects for growth of crystalline alumina as protective coatings on Ti{sub 2}AlC and related nanolaminated materials. The crystallographic orientation relationships are γ-Al{sub 2}O{sub 3}(111)//Ti{sub 2}AlC(0001) (out-of-plane) and γ- Al {sub 2}O{sub 3}(22{sup ¯}0)// Timore » {sub 2} AlC (112{sup ¯}0) (in-plane) as determined by electron diffraction. Annealing in vacuum at 900 °C resulted in partial decomposition of the Ti{sub 2}AlC by depletion of Al and diffusion into and through the γ-Al{sub 2}O{sub 3} layer.« less

  12. Formation of gamma'-Ni3Al via the Peritectoid Reaction: gamma plus beta (+Al2O3) equals gamma'(+Al2O3)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8 - 32 at.%Al and temperature range T = 1400 - 1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma'-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3) = gamma + beta (+ Al2O3), at 1640 plus or minus 1 K and a liquid composition of 24.8 plus or minus 0.2 at.%Al (at an unknown oxygen content). The {gamma + beta + Al2O3} phase field is stable over the temperature range 1633 - 1640 K, and gamma'-Ni3Al forms via the peritectiod, gamma + beta (+ Al2O3) = gamma'(+ Al2O3), at 1633 plus or minus 1 K. This behavior is inconsistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma'-Ni3Al phase field.

  13. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cerbu, F.; Madia, O.; Afanas'ev, V. V.

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behaviormore » of HfO{sub 2}, suggesting that alternative defect models should be considered.« less

  14. Structural and dielectric properties of thin ZrO2 films on silicon grown by atomic layer deposition from cyclopentadienyl precursor

    NASA Astrophysics Data System (ADS)

    Niinistö, J.; Putkonen, M.; Niinistö, L.; Kukli, K.; Ritala, M.; Leskelä, M.

    2004-01-01

    ZrO2 thin films with thicknesses below 20 nm were deposited by the atomic layer deposition process on Si(100) substrates at 350 °C. An organometallic precursor, Cp2Zr(CH3)2 (Cp=cyclopentadienyl, C5H5) was used as the zirconium source and water or ozone as oxygen source. The influence of oxygen source and substrate pretreatment on the dielectric properties of ZrO2 films was investigated. Structural characterization with high-resolution transmission electron microscopy was performed to films grown onto HF-etched or native oxide covered silicon. Strong inhibition of ZrO2 film growth was observed with the water process on HF-etched Si. Ozone process on HF-etched Si resulted in interfacial SiO2 formation between the dense and uniform film and the substrate while water process produced interfacial layer with intermixing of SiO2 and ZrO2. The effective permittivity of ZrO2 in Al/ZrO2/Si/Al capacitor structures was dependent on the ZrO2 layer thickness and oxygen source used. The interfacial layer formation increased the capacitance equivalent oxide thickness (CET). CET of 2.0 nm was achieved with 5.9 nm ZrO2 film deposited with the H2O process on HF-stripped Si. The ozone-processed films showed good dielectric properties such as low hysteresis and nearly ideal flatband voltage. The leakage current density was lower and breakdown field higher for the ozone-processed ZrO2 films.

  15. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  16. Stabilization of Hydrogen Production via Methanol Steam Reforming in Microreactor by Al2O3 Nano-Film Enhanced Catalyst Adhesion.

    PubMed

    Jeong, Heondo; Na, Jeong-Geol; Jang, Min Su; Ko, Chang Hyun

    2016-05-01

    In hydrogen production by methanol steam reforming reaction with microchannel reactor, Al2O3 thin film formed by atomic layer deposition (ALD) was introduced on the surface of microchannel reactor prior to the coating of catalyst particles. Methanol conversion rate and hydrogen production rate, increased in the presence of Al2O3 thin film. Over-view and cross-sectional scanning electron microscopy study showed that the adhesion between catalyst particles and the surface of microchannel reactor enhanced due to the presence of Al2O3 thin film. The improvement of hydrogen production rate inside the channels of microreactor mainly came from the stable fixation of catalyst particles on the surface of microchannels.

  17. Partial oxidation of dimethyl ether using the structured catalyst Rh/Al2O3/Al prepared through the anodic oxidation of aluminum.

    PubMed

    Yu, B Y; Lee, K H; Kim, K; Byun, D J; Ha, H P; Byun, J Y

    2011-07-01

    The partial oxidation of dimethyl ether (DME) was investigated using the structured catalyst Rh/Al2O3/Al. The porous Al2O3 layer was synthesized on the aluminum plate through anodic oxidation in an oxalic-acid solution. It was observed that about 20 nm nanopores were well developed in the Al2O3 layer. The thickness of Al2O3 layer can be adjusted by controlling the anodizing time and current density. After pore-widening and hot-water treatment, the Al2O3/Al plate was calcined at 500 degrees C for 3 h. The obtained delta-Al2O3 had a specific surface area of 160 m2/g, making it fit to be used as a catalyst support. A microchannel reactor was designed and fabricated to evaluate the catalytic activity of Rh/Al2O3/Al in the partial oxidation of DME. The structured catalyst showed an 86% maximum hydrogen yield at 450 degrees C. On the other hand, the maximum syngas yield by a pack-bed-type catalyst could be attained by using a more than fivefold Rh amount compared to that used in the structured Rh/Al2O3/Al catalyst.

  18. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  19. Arizona porphyry copper/hydrothermal deposits II: crystal structure of ajoite, (K + Na)3Cu20Al3Si29O76(OH)16*~8H2O.

    PubMed

    Pluth, Joseph J; Smith, Joseph V

    2002-08-20

    A crystal from the type locality Ajo, AZ, yielded just enough intensity from streaked diffractions using synchrotron x-rays at the Advanced Photon Source to solve the crystal structure with composition (K + Na)3Cu20Al3Si29O76(OH)16* approximately 8H2O; triclinic, P1, a = 13.634(5) A, b = 13.687(7), c = 14.522(7), alpha = 110.83(1) degrees, beta = 107.21(1), gamma = 105.68(1); refined to a final R = 12.5%. Electron microprobe analysis yielded a similar chemical composition that is slightly different from the combined chemical and electron microprobe analyses in the literature. The ajoite structure can be described as a zeolitic octahedral-tetrahedral framework that combines the alternate stacking of edge-sharing octahedral CuO6 layers and curved aluminosilicate layers and strings. Channels bounded by elliptical 12-rings and circular 8-rings of tetrahedra contain (K and Na) ions and water. The Al atoms occupy some of the Si tetrahedral sites. Each Cu atom has near-planar bonds to four oxygen atoms plus two longer distances that generate a distorted octahedron. Valence bond estimates indicate that 8 oxygen atoms of 46 are hydroxyl. Only one alkali atom was located in distorted octahedral coordination, and electron microprobe analyses indicate K and Na as major substituents. The water from chemical analysis presumably occurs as disordered molecules of zeolitic type not giving electron density from diffraction. The high R factor results from structural disorder and many weak intensities close to detection level. The crystal chemistry is compared with shattuckite, Cu5(SiO3)4(OH)2, and planchéite, Cu8Si8O22(OH)4.H2O, both found in oxidized copper deposits of Arizona but only the former directly with ajoite.

  20. Atomic layer epitaxy of YBaCuO for optoelectronic applications

    NASA Technical Reports Server (NTRS)

    Skogman, R. A.; Khan, M. A.; Van Hove, J. M.; Bhattarai, A.; Boord, W. T.

    1992-01-01

    An MOCVD-based atomic-layer epitaxy process is being developed as a potential solution to the problems of film-thickness and interface-abruptness control which are encountered when fabricating superconductor-insulator-superconductor devices using YBa2Cu3O(7-x). In initial studies, the atomic-layer MOCVD process yields superconducting YBa2Cu3O(7-x) films with substrate temperatures of 605 C during film growth, and no postdeposition anneal. The low temperature process yields a smooth film surface and can reduce interface degradation due to diffusion.

  1. Surface passivation investigation on ultra-thin atomic layer deposited aluminum oxide layers for their potential application to form tunnel layer passivated contacts

    NASA Astrophysics Data System (ADS)

    Xin, Zheng; Ling, Zhi Peng; Nandakumar, Naomi; Kaur, Gurleen; Ke, Cangming; Liao, Baochen; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    The surface passivation performance of atomic layer deposited ultra-thin aluminium oxide layers with different thickness in the tunnel layer regime, i.e., ranging from one atomic cycle (∼0.13 nm) to 11 atomic cycles (∼1.5 nm) on n-type silicon wafers is studied. The effect of thickness and thermal activation on passivation performance is investigated with corona-voltage metrology to measure the interface defect density D it(E) and the total interface charge Q tot. Furthermore, the bonding configuration variation of the AlO x films under various post-deposition thermal activation conditions is analyzed by Fourier transform infrared spectroscopy. Additionally, poly(3,4-ethylenedioxythiophene) poly(styrene sulfonate) is used as capping layer on ultra-thin AlO x tunneling layers to further reduce the surface recombination current density to values as low as 42 fA/cm2. This work is a useful reference for using ultra-thin ALD AlO x layers as tunnel layers in order to form hole selective passivated contacts for silicon solar cells.

  2. In Situ Infrared Spectroscopic Studies of Molecular Layer Deposition and Atomic Layer Etching Processes

    NASA Astrophysics Data System (ADS)

    DuMont, Jaime Willadean

    In this thesis, in situ Fourier transform infrared (FTIR) spectroscopy was used to study: i) the growth and pyrolysis of molecular layer deposition (MLD) films. ii) the surface chemistry of atomic layer etching (ALE) processes. Atomic layer processes such as molecular layer deposition (MLD) and atomic layer etching (ALE) are techniques that can add or remove material with atomic level precision using sequential, self-limiting surface reactions. Deposition and removal processes at the atomic scale are powerful tools for many industrial and research applications such as energy storage and semiconductor nanofabrication. The first section of this thesis describes the chemistry of reactions leading to the MLD of aluminum and tin alkoxide polymer films known as "alucone" and "tincone", respectively. The subsequent pyrolysis of these films to produce metal oxide/carbon composites was also investigated. In situ FTIR spectroscopy was conducted to monitor surface species during MLD film growth and to monitor the films background infrared absorbance versus pyrolysis temperature. Ex situ techniques such as transmission electron microscopy (TEM), four-point probe and X-ray diffraction (XRD) were utilized to study the properties of the films post-pyrolysis. TEM confirmed that the pyrolyzed films maintained conformality during post-processing. Four-point probe monitored film resistivity versus pyrolysis temperature and XRD determined the film crystallinity. The second section of this thesis focuses on the surface chemistry of Al2O3 and SiO2 ALE processes, respectively. Thermal ALE processes have been recently developed which utilize sequential fluorination and ligand exchange reactions. An intimate knowledge of the surface chemistry is important in understanding the ALE process. In this section, the competition between the Al2O3 etching and AlF 3 growth that occur during sequential HF (fluorinating agent) and TMA (ligand exchange) exposures is investigated using in situ FTIR

  3. From atomic structure to excess entropy: a neutron diffraction and density functional theory study of CaO-Al2O3-SiO2 melts

    NASA Astrophysics Data System (ADS)

    Liu, Maoyuan; Jacob, Aurélie; Schmetterer, Clemens; Masset, Patrick J.; Hennet, Louis; Fischer, Henry E.; Kozaily, Jad; Jahn, Sandro; Gray-Weale, Angus

    2016-04-01

    Calcium aluminosilicate \\text{CaO}-\\text{A}{{\\text{l}}2}{{\\text{O}}3}-\\text{Si}{{\\text{O}}2} (CAS) melts with compositions {{≤ft(\\text{CaO}-\\text{Si}{{\\text{O}}2}\\right)}x}{{≤ft(\\text{A}{{\\text{l}}2}{{\\text{O}}3}\\right)}1-x} for x  <  0.5 and {{≤ft(\\text{A}{{\\text{l}}2}{{\\text{O}}3}\\right)}x}{{≤ft(\\text{Si}{{\\text{O}}2}\\right)}1-x} for x≥slant 0.5 are studied using neutron diffraction with aerodynamic levitation and density functional theory molecular dynamics modelling. Simulated structure factors are found to be in good agreement with experimental structure factors. Local atomic structures from simulations reveal the role of calcium cations as a network modifier, and aluminium cations as a non-tetrahedral network former. Distributions of tetrahedral order show that an increasing concentration of the network former Al increases entropy, while an increasing concentration of the network modifier Ca decreases entropy. This trend is opposite to the conventional understanding that increasing amounts of network former should increase order in the network liquid, and so decrease entropy. The two-body correlation entropy S 2 is found to not correlate with the excess entropy values obtained from thermochemical databases, while entropies including higher-order correlations such as tetrahedral order, O-M-O or M-O-M bond angles and Q N environments show a clear linear correlation between computed entropy and database excess entropy. The possible relationship between atomic structures and excess entropy is discussed.

  4. Bimetallic Pt-Au Nanocatalysts on ZnO/Al2O3/Monolith for Air Pollution Control.

    PubMed

    Kim, Ki-Joong; Ahn, Ho-Geun

    2015-08-01

    The catalytic activity of a monolithic catalyst with nanosized Pt and Au particles on ZnO/Al2O3 (Pt-Au/ZnO/Al2O3/M) prepared by a wash-coat method was examined, specifically for toluene oxidation. Scanning electron microscopy image showed clearly the formation of a ZnO/Al2O3 layer on the monolith. Nanosized Pt-Au particles on ZnO/Al2O3/M with different sizes could be found in the Pt-Au/ZnO/Al2O3/M catalyst. The conversion of toluene decreased with increasing toluene concentration and was also largely affected by the feed flow rate. The Pt-Au/ZnO/Al2O3/M catalysts prepared in this work have almost the same activity (molecules of toluene per second) compared with a powder Pt-Au/ZnO/Al2O3 catalyst with the same loadings of Pt and Au components; thus this catalyst could be used in controlling air pollution with very low concentrations and high flow rate.

  5. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  6. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    NASA Astrophysics Data System (ADS)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  7. Thermoelectric Properties and Microstructure of Ca3 Co 4 O 9 thin films on SrTiO3 and Al2 O 3 Substrates

    NASA Astrophysics Data System (ADS)

    Paulauskas, T.; Qiao, Q.; Gulec, A.; Klie, R. F.; Ozdemir, M.; Boyraz, C.; Mazumdar, D.; Gupta, A.

    2011-03-01

    Ca 3 Co 4 O9 (CCO), a misfit layered structure exhibiting large Seebeck coefficient at temperatures up to 1000K has attracted increasing attention as a novel high-temperature thermoelectric material. In this work, we investigate CCO thin films grown on SrTi O3 (001) and Al 2 O3 (0001) using pulsed laser deposition. Quality of the thin films was examined using high-resolution transmission electron microscopy and thermoelectric transport measurements. HRTEM images show incommensurate stacks of Cd I2 -type Co O2 layer alternating with rock-salt-type Ca 2 Co O3 layer along the c-axis. Perovskite buffer layer about 10nm thick was found present between CCO and SrTi O3 accompanied by higher density of stacking faults. The CCO grown on Al 2 O3 exhibited numerous misoriented grains and presence of Ca x Co O2 phase. Seebeck coefficient measurements yield an improvement for both samples compared to the bulk value. We suggest that thermoelectric properties of CCO increase due to additional phonon scattering at the stacking faults as well as at the film surfaces/interfaces. This research was supported by the US Army Research Office (W911NF-10-1-0147) and the Sivananthan Undergraduate Research Fellowship.

  8. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    NASA Astrophysics Data System (ADS)

    Jiahui, Zhou; Hudong, Chang; Honggang, Liu; Guiming, Liu; Wenjun, Xu; Qi, Li; Simin, Li; Zhiyi, He; Haiou, Li

    2015-05-01

    The impact of various thicknesses of Al2O3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al2O3, the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm2 and acceptable voltage coefficients of capacitance of 681 ppm/V2 at 1 MHz. An outstanding VCC-α of 74 ppm/V2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al2O3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al2O3 could be very promising candidates for GaAs RFIC applications. Project supported by the National Natural Science Foundation of China (Nos. 61274077, 61474031), the Guangxi Natural Science Foundation (No. 2013GXNSFGA019003), the Guangxi Department of Education Project (No. 201202ZD041), the Guilin City Technology Bureau (Nos. 20120104-8, 20130107-4), the China Postdoctoral Science Foundation Funded Project (Nos. 2012M521127, 2013T60566), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449), the State Key Laboratory of Electronic Thin Films and Integrated Devices, UESTC (No. KFJJ201205), and the Guilin City Science and Technology Development Project (Nos. 20130107-4, 20120104-8).

  9. Highly Repeatable and Recoverable Phototransistors Based on Multifunctional Channels of Photoactive CdS, Fast Charge Transporting ZnO, and Chemically Durable Al2O3 Layers.

    PubMed

    Ahn, Cheol Hyoun; Kang, Won Jun; Kim, Ye Kyun; Yun, Myeong Gu; Cho, Hyung Koun

    2016-06-22

    Highly repeatable and recoverable phototransistors were explored using a "multifunctional channels" structure with multistacked chalcogenide and oxide semiconductors. These devices were made of (i) photoactive CdS (with a visible band gap), (ii) fast charge transporting ZnO (with a high field-effect mobility), and (iii) a protection layer of Al2O3 (with high chemical durability). The CdS TFT without the Al2O3 protection layer did not show a transfer curve due to the chemical damage that occurred on the ZnO layer during the chemical bath deposition (CBD) process used for CdS deposition. Alternatively, compared to CdS phototransistors with long recovery time and high hysteresis (ΔVth = 19.5 V), our "multi-functional channels" phototransistors showed an extremely low hysteresis loop (ΔVth = 0.5V) and superior photosensitivity with repeatable high photoresponsivity (52.9 A/W at 400 nm). These improvements are likely caused by the physical isolation of the sensing region and charge transport region by the insertion of the ultrathin Al2O3 layer. This approach successfully addresses some of the existing problems in CdS phototransistors, such as the high gate-interface trap site density and high absorption of molecular oxygen, which originate from the polycrystalline CdS.

  10. [Influences of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite ceramics].

    PubMed

    Wang, Zhiqiang; Chen, Xiaoxu; Cai, Yingji; Lü, Bingling

    2003-06-01

    The effects of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite (HAP) ceramics were assessed. The results showed that alpha-Al2O3 impeded the sintering of HAP and raised the sintering temperature. When glass and alpha-Al2O3 were used together to reinforce HAP ceramics, better results could be obtained; the bending strength of multiphase HAP ceramics approached 106 MPa when 10% (wt) alpha-Al2O3 and 20%(wt) glass were used and sintered at 1200 for 1 h.

  11. Impacts of Annealing Conditions on the Flat Band Voltage of Alternate La2O3/Al2O3 Multilayer Stack Structures.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2016-12-01

    The mechanism of flat band voltage (VFB) shift for alternate La2O3/Al2O3 multilayer stack structures in different annealing condition is investigated. The samples were prepared for alternate multilayer structures, which were annealed in different conditions. The capacitance-voltage (C-V) measuring results indicate that the VFB of samples shift negatively for thinner bottom Al2O3 layer, increasing annealing temperature or longer annealing duration. Simultaneously, the diffusion of high-k material to interfaces in different multilayer structures and annealing conditions is observed by X-ray photoelectron spectroscopy (XPS). Based on the dipole theory, a correlation between the diffusion effect of La towards bottom Al2O3/Si interface and VFB shift is found. Without changing the dielectric constant k of films, VFB shift can be manipulated by controlling the single-layer cycles and annealing conditions of alternate high-k multilayer stack.

  12. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  13. Novel Layered Supercell Structure from Bi 2AlMnO 6 for Multifunctionalities

    DOE PAGES

    Li, Leigang; Boullay, Philippe; Lu, Ping; ...

    2017-10-02

    Layered materials, e.g., graphene and transition metal (di)chalcogenides, holding great promises in nanoscale device applications have been extensively studied in fundamental chemistry, solid state physics and materials research areas. In parallel, layered oxides (e.g., Aurivillius and Ruddlesden–Popper phases) present an attractive class of materials both because of their rich physics behind and potential device applications. In this work, we report a novel layered oxide material with self-assembled layered supercell structure consisting of two mismatch-layered sublattices of [Bi 3O 3+δ] and [MO 2] 1.84 (M = Al/Mn, simply named BAMO), i.e., alternative layered stacking of two mutually incommensurate sublattices made ofmore » a three-layer-thick Bi–O slab and a one-layer-thick Al/Mn–O octahedra slab in the out-of-plane direction. Strong room-temperature ferromagnetic and piezoelectric responses as well as anisotropic optical property have been demonstrated with great potentials in various device applications. Furthermore, the realization of the novel BAMO layered supercell structure in this work has paved an avenue toward exploring and designing new materials with multifunctionalities.« less

  14. Distinctive electrical properties in sandwich-structured Al2O3/low density polyethylene nanocomposites

    NASA Astrophysics Data System (ADS)

    Wang, Si-Jiao; Zha, Jun-Wei; Li, Wei-Kang; Dang, Zhi-Min

    2016-02-01

    The sandwich-structured Al2O3/low density polyethylene (Al2O3/LDPE) nanocomposite dielectrics consisting of layer-by-layer with different concentration Al2O3 loading were prepared by melt-blending and following hot pressing method. The space charge distribution from pulsed electro-acoustic method and breakdown strength of the nanocomposites were investigated. Compared with the single-layer Al2O3/LDPE nanocomposites, the sandwich-structured nanocomposites remarkably suppressed the space charge accumulation and presented higher breakdown strength. The charges in the sandwich-structured nanocomposites decayed much faster than that in the single-layer nanocomposites, which was attributed to an effective electric field caused by the formation of the interfacial space charges. The energy depth of shallow and deep traps was estimated as 0.73 eV and 1.17 eV in the sandwich-structured nanocomposites, respectively, according to the thermal excitation theoretical model we proposed. This work provides an attractive strategy of design and fabrication of polymer nanocomposites with excellent space charge suppression.

  15. Temperature- and frequency-dependent dielectric behaviors of insulator/semiconductor (Al2O3/ZnO) nanolaminates with various ZnO thicknesses

    NASA Astrophysics Data System (ADS)

    Li, Jin; Bi, Xiaofang

    2016-07-01

    Al2O3/ZnO nanolaminates (NLs) with various ZnO sublayer thicknesses were prepared by atomic layer deposition. The Al2O3 sublayers are characterized as amorphous and the ZnO sublayers have an oriented polycrystalline structure. As the ZnO thickness decreases to a certain value, each NL exhibits a critical temperature at which its dielectric constant starts to rise quickly. Moreover, this temperature increases as the ZnO thickness is decreased further. On the other hand, the permittivity demonstrates a large value of several hundred at a frequency  ⩽1000 Hz, followed by a steplike decrease at a higher frequency. The change in the cut-off frequency with ZnO thickness is characterized by a hook function. It is revealed that the Coulomb confinement effect becomes predominant in the dielectric behaviors of the NLs with very thin ZnO. As the ZnO thickness decreases to about the same as or even smaller than the Bohr radius of ZnO, a great change in the carrier concentration and effective mass of ZnO is induced, which is shown to be responsible for the peculiar dielectric behaviors of Al2O3/ZnO with very thin ZnO. These findings provide insight into the prevailing mechanisms to optimize the dielectric properties of semiconductor/insulator laminates with nanoscale sublayer thickness.

  16. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    NASA Astrophysics Data System (ADS)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  17. Retention of Electronic Conductivity in LaAlO3/SrTiO3 Nanostructures Using a SrCuO2 Capping Layer

    NASA Astrophysics Data System (ADS)

    Aurino, P. P.; Kalabukhov, A.; Borgani, R.; Haviland, D. B.; Bauch, T.; Lombardi, F.; Claeson, T.; Winkler, D.

    2016-08-01

    The interface between two wide band-gap insulators, LaAlO3 and SrTiO3 (LAO/STO) offers a unique playground to study the interplay and competitions between different ordering phenomena in a strongly correlated two-dimensional electron gas. Recent studies of the LAO/STO interface reveal the inhomogeneous nature of the 2DEG that strongly influences electrical-transport properties. Nanowires needed in future applications may be adversely affected, and our aim is, thus, to produce a more homogeneous electron gas. In this work, we demonstrate that nanostructures fabricated in the quasi-2DEG at the LaAlO3/SrTiO3 interface, capped with a SrCuO2 layer, retain their electrical resistivity and mobility independent of the structure size, ranging from 100 nm to 30 μ m . This is in contrast to noncapped LAO/STO structures, where the room-temperature electrical resistivity significantly increases when the structure size becomes smaller than 1 μ m . High-resolution intermodulation electrostatic force microscopy reveals an inhomogeneous surface potential with "puddles" of a characteristic size of 130 nm in the noncapped samples and a more uniform surface potential with a larger characteristic size of the puddles in the capped samples. In addition, capped structures show superconductivity below 200 mK and nonlinear current-voltage characteristics with a clear critical current observed up to 700 mK. Our findings shed light on the complicated nature of the 2DEG at the LAO/STO interface and may also be used for the design of electronic devices.

  18. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    NASA Astrophysics Data System (ADS)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  19. Understanding of interface structures and reaction mechanisms induced by Ge or GeO diffusion in Al{sub 2}O{sub 3}/Ge structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shibayama, Shigehisa; JSPS, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083; Kato, Kimihiko

    2013-08-19

    The reaction mechanisms at Al{sub 2}O{sub 3}/Ge interfaces with thermal oxidation through the Al{sub 2}O{sub 3} layer have been investigated. X-ray photoelectron spectroscopy reveals that an Al{sub 6}Ge{sub 2}O{sub 13} layer is formed near the interface, and a GeO{sub 2} layer is formed on the Al{sub 2}O{sub 3} surface, suggesting Ge or GeO diffusion from the Ge surface. It is also clarified that the Al{sub 6}Ge{sub 2}O{sub 13} layer is formed by the different mechanism with a small activation energy of 0.2 eV, compared with the GeO{sub 2} formation limited by oxygen diffusion. Formation of Al-O-Ge bonds due to themore » AlGeO formation could lead appropriate interface structures with high interface qualities.« less

  20. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  1. Formation of gamma(sup prime)-Ni3Al via the Peritectoid Reaction: gamma + beta (+ Al2O3)=gamma(sup prime)(+ Al2O3)

    NASA Technical Reports Server (NTRS)

    Copeland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8-32 at.%Al and temperature range T=1400-1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma(sup prime)-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3)=gamma + Beta(+ Al2O3), at 1640 +/- 1 K and a liquid composition of 24.8 +/- 0.2 at.%al (at an unknown oxygen content). The {gamma + Beta (+Al2O3} phase field is stable over the temperature range 1633-1640 K, and gamma(sup prime)-Ni3Al forms via the peritectoid, gamma + Beta (+ Al2O3)=gamma(sup prime) (+ Al2O3), at 1633 +/- 1 K. This behavior is consistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady-state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma(sup prime)-Ni2Al phase field.

  2. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  3. InGaN/GaN blue light emitting diodes using Al-doped ZnO grown by atomic layer deposition as a current spreading layer

    NASA Astrophysics Data System (ADS)

    Kong, Bo Hyun; Cho, Hyung Koun; Kim, Mi Yang; Choi, Rak Jun; Kim, Bae Kyun

    2011-07-01

    For the fabrication of InGaN/GaN multiple quantum well-based blue light emitting diodes (LEDs) showing large area emission, transparent Al-doped ZnO (AZO) films grown by atomic layer deposition at relatively low temperatures were introduced as current spreading layers. These AZO films with an Al content of 3 at% showed a low electrical resistivity of <10 -3-10 -4 Ω cm, a high carrier concentration of >10 20 cm -3, and an excellent optical transmittance of ˜85%, in spite of the low growth temperature. The deposition of the AZO film induced an intense blue emission from the whole surface of the p-GaN and weak ultraviolet emission from the n-AZO and p-GaN junction. At an injection current of 50 mA, the output powers of the blue LEDs were 1760 and 1440 mcd for the samples with AZO thicknesses of 100 and 300 nm, respectively.

  4. Arizona porphyry copper/hydrothermal deposits II: Crystal structure of ajoite, (K + Na)3Cu20Al3Si29O76(OH)16⋅∼8H2O

    PubMed Central

    Pluth, Joseph J.; Smith, Joseph V.

    2002-01-01

    A crystal from the type locality Ajo, AZ, yielded just enough intensity from streaked diffractions using synchrotron x-rays at the Advanced Photon Source to solve the crystal structure with composition (K + Na)3Cu20Al3Si29O76(OH)16⋅∼8H2O; triclinic, P1̄, a = 13.634(5) Å, b = 13.687(7), c = 14.522(7), α = 110.83(1)°, β = 107.21(1), γ = 105.68(1); refined to a final R = 12.5%. Electron microprobe analysis yielded a similar chemical composition that is slightly different from the combined chemical and electron microprobe analyses in the literature. The ajoite structure can be described as a zeolitic octahedral-tetrahedral framework that combines the alternate stacking of edge-sharing octahedral CuO6 layers and curved aluminosilicate layers and strings. Channels bounded by elliptical 12-rings and circular 8-rings of tetrahedra contain (K and Na) ions and water. The Al atoms occupy some of the Si tetrahedral sites. Each Cu atom has near-planar bonds to four oxygen atoms plus two longer distances that generate a distorted octahedron. Valence bond estimates indicate that 8 oxygen atoms of 46 are hydroxyl. Only one alkali atom was located in distorted octahedral coordination, and electron microprobe analyses indicate K and Na as major substituents. The water from chemical analysis presumably occurs as disordered molecules of zeolitic type not giving electron density from diffraction. The high R factor results from structural disorder and many weak intensities close to detection level. The crystal chemistry is compared with shattuckite, Cu5(SiO3)4(OH)2, and planchéite, Cu8Si8O22(OH)4⋅H2O, both found in oxidized copper deposits of Arizona but only the former directly with ajoite. PMID:12177404

  5. A molecular dynamics study of the atomic structure of (CaO)x(Al2O3)1-x glass with x = 0.625 close to the eutectic

    NASA Astrophysics Data System (ADS)

    Thomas, B. W. M.; Mead, R. N.; Mountjoy, G.

    2006-05-01

    Aluminate glasses are difficult to prepare as they do not contain traditional network formers, but they are promising materials for optical applications. The atomic structure of calcium aluminate glasses has been studied using several experimental techniques. The current study uses molecular dynamics to obtain a model of a (CaO)0.625(Al2O3)0.375 glass close to the eutectic. The glass consists of a tetrahedral alumina network with average network polymerization \\langle Q^{n}\\rangle of n = 3.3. Ca acts as a network modifier with average coordination of 6.2. Ca is typically coordinated to three bridging oxygens (Ob) and three non-bridging oxygens (Onb), with Ca-Onb bonds noticeably shorter than the Ca-Ob bonds. A new method of analysing modifier cation coordination is presented, which specifically shows the distribution of Ca coordination NCaO in terms of combinations of NCaOb and NCaOnb. Ob is most often coordinated to two Al plus two Ca, and Onb is most often coordinated to one Al plus three Ca. The typical coordinations of Ca, Ob, and Onb all have a noticeable similarity to those for the 5CaO·3Al2O3 crystal. The Ca-Ca distribution shows a clear similarity to that for (CaO)0.5(SiO2)0.5 glass, and this is attributed to the equal atomic number densities of Ca in these glasses.

  6. Synthesis, microstructure and magnetic properties of Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jian, E-mail: snove418562@163.com; Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081; Fan, Xi’an, E-mail: groupfxa@163.com

    2015-11-15

    Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core have been synthesized via a modified stöber method combined with following high temperature sintering process. Most of conductive Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by insulating SiO{sub 2} using the modified stöber method. The Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles exhibited good soft magnetic properties with low coercivity and high saturation magnetization. The reaction 4Al+3SiO{sub 2}=2α-Al{sub 2}O{sub 3}+3Si took place during the sintering process. As a result the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{submore » 3} composite core displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher electrical resistivity and lower core loss than the pure Fe{sub 3}Si{sub 0.7}Al{sub 0.3} core. The method of introducing insulating layers surrounding magnetic particles provides a promising route to develop new and high compact soft magnetic materials with good magnetic and electric properties. - Graphical abstract: In Fe{sub 3}Si/Al{sub 2}O{sub 3} composite, Fe{sub 3}Si phases are separated by Al{sub 2}O{sub 3} layers and the eddy currents are confined in Fe{sub 3}Si phases, thus increasing resistivity and reducing core loss. - Highlights: • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores were prepared. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by nano-sized SiO{sub 2} clusters. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores showed good soft magnetic properties. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than Fe{sub 3}Si{sub 0.7}Al{sub 0.3} cores.« less

  7. Effect of Li2O/Al cathode in Alq3 based organic light-emitting diodes.

    PubMed

    Shin, Eun Chul; Ahn, Hui Chul; Han, Wone Keun; Kim, Tae Wan; Lee, Won Jae; Hong, Jin Woong; Chung, Dong Hoe; Song, Min Jong

    2008-09-01

    An effect of bilayer cathode Li20/Al was studied in Alq3 based organic light-emitting diodes with a variation of Li2O layer thickness. The current-luminance-voltage characteristics of ITO/TPD/Alq3/Li2O/Al device were measured at ambient condition to investigate the effect of Li2O/Al. It was found that when the thickness of Li2O layer is in the range of 0.5-1 nm, there are improvements in luminance, efficiency, and turn-on voltage of the device. A current density and a luminance are increased by about 100 times, a turn-on voltage is lowered from 6 V to 3 V, a maximum current efficiency is improved by a factor of 2.3, and a maximum power efficiency is improved by a factor of 3.2 for a device with a use of thin Li2O layer compared to those of the one without the Li2Otron-barrier height for electron injection from the cathode to the emissive layer.

  8. Electrical conductivity optimization of the Na3AlF6-Al2O3-Sm2O3 molten salts system for Al-Sm intermediate binary alloy production

    NASA Astrophysics Data System (ADS)

    Liao, Chun-fa; Jiao, Yun-fen; Wang, Xu; Cai, Bo-qing; Sun, Qiang-chao; Tang, Hao

    2017-09-01

    Metal Sm has been widely used in making Al-Sm magnet alloy materials. Conventional distillation technology to produce Sm has the disadvantages of low productivity, high costs, and pollution generation. The objective of this study was to develop a molten salt electrolyte system to produce Al-Sm alloy directly, with focus on the electrical conductivity and optimal operating conditions to minimize the energy consumption. The continuously varying cell constant (CVCC) technique was used to measure the conductivity for the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 electrolysis medium in the temperature range from 905 to 1055°C. The temperature ( t) and the addition of Al2O3 ( W(Al2O3)), Sm2O3 ( W(Sm2O3)), and a combination of Al2O3 and Sm2O3 into the basic fluoride system were examined with respect to their effects on the conductivity ( κ) and activation energy. The experimental results showed that the molten electrolyte conductivity increases with increasing temperature ( t) and decreases with the addition of Al2O3 or Sm2O3 or both. We concluded that the optimal operation conditions for Al-Sm intermediate alloy production in the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 system are W(Al2O3) + W(Sm2O3) = 3wt%, W(Al2O3): W(Sm2O3) = 7:3, and a temperature of 965 to 995°C, which results in satisfactory conductivity, low fluoride evaporation losses, and low energy consumption.

  9. Understanding the mechanisms of interfacial reactions during TiO{sub 2} layer growth on RuO{sub 2} by atomic layer deposition with O{sub 2} plasma or H{sub 2}O as oxygen source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaker, A.; Szkutnik, P. D.; Pointet, J.

    2016-08-28

    In this paper, TiO{sub 2} layers grown on RuO{sub 2} by atomic layer deposition (ALD) using tetrakis (dimethyla-mino) titanium (TDMAT) and either oxygen plasma or H{sub 2}O as oxygen source were analyzed using X-ray diffraction (XRD), Raman spectroscopy, and depth-resolved X-ray Photoelectron spectroscopy (XPS). The main objective is to investigate the surface chemical reactions mechanisms and their influence on the TiO{sub 2} film properties. The experimental results using XRD show that ALD deposition using H{sub 2}O leads to anatase TiO{sub 2} whereas a rutile TiO{sub 2} is obtained when oxygen-plasma is used as oxygen source. Depth-resolved XPS analysis allows tomore » determine the reaction mechanisms at the RuO{sub 2} substrate surface after growth of thin TiO{sub 2} layers. Indeed, the XPS analysis shows that when H{sub 2}O assisted ALD process is used, intermediate Ti{sub 2}O{sub 3} layer is obtained and RuO{sub 2} is reduced into Ru as evidenced by high resolution transmission electron microscopy. In this case, there is no possibility to re-oxidize the Ru surface into RuO{sub 2} due to the weak oxidation character of H{sub 2}O and an anatase TiO{sub 2} layer is therefore grown on Ti{sub 2}O{sub 3}. In contrast, when oxygen plasma is used in the ALD process, its strong oxidation character leads to the re-oxidation of the partially reduced RuO{sub 2} following the first Ti deposition step. Consequently, the RuO{sub 2} surface is regenerated, allowing the growth of rutile TiO{sub 2}. A surface chemical reaction scheme is proposed that well accounts for the observed experimental results.« less

  10. Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO{sub 2} thin films grown by the atomic layer deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kassmi, M.; LMOP, El Manar University, Tunis 2092; Pointet, J.

    2016-06-28

    Dielectric spectroscopy is carried out for intrinsic and aluminum-doped TiO{sub 2} rutile films which are deposited on RuO{sub 2} by the atomic layer deposition technique. Capacitance and conductance are measured in the 0.1 Hz–100 kHz range, for ac electric fields up to 1 MV{sub rms}/cm. Intrinsic films have a much lower dielectric constant than rutile crystals. This is ascribed to the presence of oxygen vacancies which depress polarizability. When Al is substituted for Ti, the dielectric constant further decreases. By considering Al-induced modification of polarizability, a theoretical relationship between the dielectric constant and the Al concentration is proposed. Al doping drastically decreasesmore » the loss in the very low frequency part of the spectrum. However, Al doping has almost no effect on the loss at high frequencies. The effect of Al doping on loss is discussed through models of hopping transport implying intrinsic oxygen vacancies and Al related centers. When increasing the ac electric field in the MV{sub rms}/cm range, strong voltage non-linearities are evidenced in undoped films. The conductance increases exponentially with the ac field and the capacitance displays negative values (inductive behavior). Hopping barrier lowering is proposed to explain high-field effects. Finally, it is shown that Al doping strongly improves the high-field dielectric behavior.« less

  11. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  12. Passivation of phosphorus diffused silicon surfaces with Al{sub 2}O{sub 3}: Influence of surface doping concentration and thermal activation treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richter, Armin, E-mail: armin.richter@ise.fraunhofer.de; Benick, Jan; Kimmerle, Achim

    2014-12-28

    Thin layers of Al{sub 2}O{sub 3} are well known for the excellent passivation of p-type c-Si surfaces including highly doped p{sup +} emitters, due to a high density of fixed negative charges. Recent results indicate that Al{sub 2}O{sub 3} can also provide a good passivation of certain phosphorus-diffused n{sup +} c-Si surfaces. In this work, we studied the recombination at Al{sub 2}O{sub 3} passivated n{sup +} surfaces theoretically with device simulations and experimentally for Al{sub 2}O{sub 3} deposited with atomic layer deposition. The simulation results indicate that there is a certain surface doping concentration, where the recombination is maximal duemore » to depletion or weak inversion of the charge carriers at the c-Si/Al{sub 2}O{sub 3} interface. This pronounced maximum was also observed experimentally for n{sup +} surfaces passivated either with Al{sub 2}O{sub 3} single layers or stacks of Al{sub 2}O{sub 3} capped by SiN{sub x}, when activated with a low temperature anneal (425 °C). In contrast, for Al{sub 2}O{sub 3}/SiN{sub x} stacks activated with a short high-temperature firing process (800 °C) a significant lower surface recombination was observed for most n{sup +} diffusion profiles without such a pronounced maximum. Based on experimentally determined interface properties and simulation results, we attribute this superior passivation quality after firing to a better chemical surface passivation, quantified by a lower interface defect density, in combination with a lower density of negative fixed charges. These experimental results reveal that Al{sub 2}O{sub 3}/SiN{sub x} stacks can provide not only excellent passivation on p{sup +} surfaces but also on n{sup +} surfaces for a wide range of surface doping concentrations when activated with short high-temperature treatments.« less

  13. Electron-stimulated reactions in nanoscale water films adsorbed on (alpha)-Al2O3(0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Gregory A.

    2018-05-11

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D2O) films adsorbed on -Al2O3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products ( D2, O2 and D¬2O) and the total sputtering yield increased with increasing D2O coverage up to ~15 water monolayers (i.e. ~15 1015 cm-2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D2O and H2O) demonstrated that the highest water decomposition yields occurred at the interfaces of the nanoscalemore » water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO2(110) interfaces. We propose that the relatively low activity of Al2O3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the molecular hydrogen.« less

  14. Enhanced two dimensional electron gas transport characteristics in Al2O3/AlInN/GaN metal-oxide-semiconductor high-electron-mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Freedsman, J. J.; Watanabe, A.; Urayama, Y.; Egawa, T.

    2015-09-01

    The authors report on Al2O3/Al0.85In0.15N/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistor (MOS-HEMT) on Si fabricated by using atomic layer deposited Al2O3 as gate insulator and passivation layer. The MOS-HEMT with the gate length of 2 μm exhibits excellent direct-current (dc) characteristics with a drain current maximum of 1270 mA/mm at a gate bias of 3 V and an off-state breakdown voltage of 180 V for a gate-drain spacing of 4 μm. Also, the 1 μm-gate MOS-HEMT shows good radio-frequency (rf) response such as current gain and maximum oscillation cut-off frequencies of 10 and 34 GHz, respectively. The capacitance-voltage characteristics at 1 MHz revealed significant increase in two-dimensional electron gas (2DEG) density for the MOS-HEMT compared to conventional Schottky barrier HEMTs. Analyses using drain-source conductivity measurements showed improvements in 2DEG transport characteristics for the MOS-HEMT. The enhancements in dc and rf performances of the Al2O3/Al0.85In0.15N/GaN MOS-HEMT are attributed to the improvements in 2DEG characteristics.

  15. Tribological Properties of AlSi12-Al2O3 Interpenetrating Composite Layers in Comparison with Unreinforced Matrix Alloy

    PubMed Central

    Dolata, Anna Janina

    2017-01-01

    Alumina–Aluminum composites with interpenetrating network structures are a new class of advanced materials with potentially better properties than composites reinforced by particles or fibers. Local casting reinforcement was proposed to take into account problems with the machinability of this type of materials and the shaping of the finished products. The centrifugal infiltration process fabricated composite castings in the form of locally reinforced shafts. The main objective of the research presented in this work was to compare the tribological properties (friction coefficient, wear resistance) of AlSi12/Al2O3 interpenetrating composite layers with unreinforced AlSi12 matrix areas. Profilometric tests enabled both quantitative and qualitative analyses of the wear trace that formed on investigated surfaces. It has been shown that interpenetrating composite layers are characterized by lower and more stable coefficients of friction (μ), as well as higher wear resistance than unreinforced matrix areas. At the present stage, the study confirmed that the tribological properties of the composite layers depend on the spatial structure of the ceramic reinforcement, and primarily the volume and size of alumina foam cells. PMID:28878162

  16. The adsorption of Run (n = 1-4) on γ-Al2O3 Surface: A DFT study

    NASA Astrophysics Data System (ADS)

    Liu, Zhe; Guo, Yafei; Chen, Yu; Shen, Rong

    2018-05-01

    The density functional theory (DFT) was adopted to study the adsorption and growth of Run (n = 1-4) clusters on γ-Al2O3 surface, which is of great significances for the design of many important catalysts, especially for carbon dioxide methanation. It is found that both the Rusbnd Ru bond length and adsorption energy Eads of Ru clusters with the surface increase with the Run clusters increasing. The growth ability of the supported Run cluster is weaker than the gas phase Run clusters through comparing their respective growth process, which ascribes to the stabilization of γ-Al2O3 support. An interesting discovery is that the basin structure was supposed to be the most favorable adsorption geometry for Run clusters. Additionally, the distances between Ru atoms in the adsorbed clusters are longer than that in their isolated counterparts. Bader charge analysis was conducted for the most stable configurations of Run (n = 1-4) clusters on γ-Al2O3 surface as well. And the results suggest that Run (n = 1-4) clusters serve as the electron donators. The result of projected density of states (PDOS) shows that strong adsorption of Ru atom on the γ-Al2O3 surface correlates with strong interaction between d orbital of Ru atom and p orbital of Al or O atom of the Al2O3 support.

  17. A study of Pd/SO4/ZrO2/Al2O3 catalysts in n-hexane isomerization

    NASA Astrophysics Data System (ADS)

    Dzhikiya, O. V.; Smolikov, M. D.; Kazantsev, K. V.; Yablokova, S. S.; Kireeva, T. V.; Paukshtis, E. A.; Gulyaeva, T. I.; Belyi, A. S.

    2017-08-01

    The effect of palladium concentration in a range from 0.02 to 1.6 wt.% on characteristics of n-hexane isomerization was studied. The (O2-Hchem) titration and O2 chemisorption study revealed that palladium in Pd/SO4/ZrO2/Al2O3 systems adsorbs hydrogen in a ratio H/Pds = 1.13-1.65 at./at. Investigation of the charge state of the metal by IR spectroscopy of adsorbed CO showed the presence of both the metallic (Pd0) and charged palladium species. Pd/SO4/ZrO2/Al2O3 catalysts with charged palladium atoms exhibit high activity and selectivity in n-hexane isomerization.

  18. Analysis of Al2O3 Nanostructure Using Scanning Microscopy

    PubMed Central

    Kubica, Marek; Bara, Marek

    2018-01-01

    It has been reported that the size and shape of the pores depend on the structure of the base metal, the type of electrolyte, and the conditions of the anodizing process. The paper presents thin Al2O3 oxide layer formed under hard anodizing conditions on a plate made of EN AW-5251 aluminum alloy. The oxidation of the ceramic layer was carried out for 40–80 minutes in a three-component SAS electrolyte (aqueous solution of acids: sulphuric 33 ml/l, adipic 67 g/l, and oxalic 30 g/l) at a temperature of 293–313 K, and the current density was 200–400 A/m2. Presented images were taken by a scanning microscope. A computer analysis of the binary images of layers showed different shapes of pores. The structure of ceramic Al2O3 layers is one of the main factors determining mechanical properties. The resistance to wear of specimen-oxide coating layer depends on porosity, morphology, and roughness of the ceramic layer surface. A 3D oxide coating model, based on the computer analysis of images from a scanning electron microscope (Philips XL 30 ESEM/EDAX), was proposed. PMID:29861823

  19. Effect of N2 annealing on AlZrO oxide

    NASA Astrophysics Data System (ADS)

    Pétry, J.; Richard, O.; Vandervorst, W.; Conard, T.; Chen, J.; Cosnier, V.

    2003-07-01

    In the path to the introduction of high-k dielectric into integrated circuit components, a large number of challenges has to be solved. Subsequent to the film deposition, the high-k film is exposed to additional high-temperature anneals for polycrystalline Si activation but also to improve its own electrical properties. Hence, concerns can be raised regarding the thermal stability of these stacks upon annealing. In this study, we investigated the effect of N2 annealing (700 to 900 °C) of atomic layer chemical vapor deposition AlZrO layers using x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (TOFSIMS), transmission electron microscopy (TEM), and Fourier transform infrared (FTIR) spectroscopy. The effect of the Si surface preparation [H-Si, 0.5 nm rapid thermal oxide (RTO), Al2O3] on the modification of the high-k oxide and the interfacial layer upon annealing was also analyzed. Compositional changes can be observed for all temperature and surface preparations. In particular, we observe a segregation of Al(oxide) toward the surface of the mixed oxide. In addition, an increase of the Si concentration in the high-k film itself can be seen with a diffusion profile extending toward the surface of the film. On the other hand, the modification of the interfacial layer is strongly dependent on the system considered. In the case of mixed oxide grown on 0.5 nm RTO, no differences are observed between the as-deposited layer and the layer annealed at 700 °C. At 800 °C, a radical change occurs: The initial RTO layer seems to be converted into a mixed layer composed of the initial SiO2 and Al2O3 coming from the mixed oxide, however without forming an Al-silicate layer. A similar situation is found for anneals at 900 °C, as well. When grown on 1.5 nm Al2O3 on 0.5 nm RTO, the only difference with the previous system is the observation of an Al-silicate fraction in the interfacial layer for the as-deposited and 700 °C annealed samples

  20. Synthesis, crystal structure, and ionic conductivity of a new layered metal phosphate, Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Sung-Chul; Kwak, Hyun-Jung; Yoo, Chung-Yul

    2016-11-15

    A new layered metal phosphate, Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}, was synthesized in the form of either a single-crystal or polycrystalline powder using the molten hydroxide flux method or a solid-state reaction, respectively. Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} crystallizes to the P2{sub 1}/n (Z=4) monoclinic space group with lattice parameters a≈4.95 Å, b≈22.06 Å, c≈8.63 Å, and β≈91.5°. The structure is composed of stacked [LiSrAl(PO{sub 4}){sub 2}] layers alternating regularly with [LiSrPO{sub 4}] layers. In the [LiSrAl(PO{sub 4}){sub 2}] sublattice, the AlO{sub 6} octahedra and PO{sub 4} tetrahedra are tilted cooperatively to form an anionic, corrugated, two-dimensional [Al(PO{sub 4}){sub 2}]{supmore » 3−} framework that can be regarded as a “distorted-glaserite” structure. The [LiSrPO{sub 4}] sublattice is that of a layered block containing a six-membered ring formed from alternating linkages of LiO{sub 4} and PO{sub 4} tetrahedra. The six-membered rings show a boat-type arrangement with the up(U) or down(D) pointing sequence, UUDUUD. The interspace between the two sublattices generates a two-dimensional pathway for Li{sup +} ion conduction. The impedance measurement indicated that Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} had a moderate ion conductivity (σ≈1.30×10{sup −4} S cm{sup −1} at 667 K), with an activation energy E{sub a}≈1.02 eV. - Graphical abstract: Polyhedral view of Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}. Li{sup +} ions are represented by green spheres, Sr atoms by white spheres, AlO{sub 6} groups by octahedra, and PO{sub 4} groups by tetrahedra. - Highlights: • New compound Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} is reported. • The crystal structure is investigated by single-crystal XRD analysis. • The structure is formed by the alternate stacking of two different sublattices. • Correlation between the crystal structure and ionic conductivity is discussed.« less

  1. POx/Al2O3 stacks: Highly effective surface passivation of crystalline silicon with a large positive fixed charge

    NASA Astrophysics Data System (ADS)

    Black, Lachlan E.; Kessels, W. M. M. Erwin

    2018-05-01

    Thin-film stacks of phosphorus oxide (POx) and aluminium oxide (Al2O3) are shown to provide highly effective passivation of crystalline silicon (c-Si) surfaces. Surface recombination velocities as low as 1.7 cm s-1 and saturation current densities J0s as low as 3.3 fA cm-2 are obtained on n-type (100) c-Si surfaces passivated by 6 nm/14 nm thick POx/Al2O3 stacks deposited in an atomic layer deposition system and annealed at 450 °C. This excellent passivation can be attributed in part to an unusually large positive fixed charge density of up to 4.7 × 1012 cm-2, which makes such stacks especially suitable for passivation of n-type Si surfaces.

  2. Kinetics of dissolution of sapphire in melts in the CaO-Al2O3-SiO2 system

    NASA Astrophysics Data System (ADS)

    Shaw, Cliff S. J.; Klausen, Kim B.; Mao, Huahai

    2018-05-01

    The dissolution rate of sapphire in melts in the CAS system of varying silica activity, viscosity and degree of alumina saturation has been determined at 1600 °C and 1.5 GPa. After an initiation period of up to 1800 s, dissolution is controlled by diffusion of cations through the boundary layer adjacent to the dissolving sapphire. The dissolution rate decreases with increasing silica activity, viscosity and molar Al2O3/CaO. The calculated diffusion matrix for each solvent melt shows that CAS 1 and 9 which have molar Al2O3/CaO of 0.33 and 0.6 and dissolution rate constants of 0.65 × 10-6 and 0.59 × 10-6 m/s0.5 have similar directions and magnitudes of diffusive coupling: DCaO-Al2O3 and DAl2O3-CaO are both negative are approximately equal. The solvent with the fastest dissolution rate: CAS 4, which has a rate constant of 1.5 × 10-6 m/s0.5 and Al2O3/CaO of 0.31 has positive DCaO-Al2O3 and negative DAl2O3-CaO and the absolute values vary by a factor of 4. Although many studies show that aluminium is added to the melts via the reaction: Si4+ =Al3+ + 0.5Ca2+ the compositional profiles show that this reaction is not the only one involved in accommodating the aluminium added during sapphire dissolution. Rather, aluminium is incorporated as both tetrahedrally coordinated Al charge balanced by Ca and as aluminium not charge balanced by Ca (termed Alxs). This reaction: AlIV -Ca =Alxs +CaNBO where CaNBO is a non-bridging oxygen associated with calcium, may involve the formation of aluminium triclusters. The shape of the compositional profiles and oxide-oxide composition paths is controlled by the aluminium addition reaction. When Alxs exceeds 2%, CaO diffusion becomes increasingly anomalous and since the bond strength of Alxs correlates with CaO/CaO + Al2O3, the presence of more than 2% Alxs leads to significantly slower dissolution than when Alxs is absent or at low concentration. Thus, dissolution is controlled by diffusion of cations through the boundary layer, but this

  3. Three-dimensionally Ordered Macroporous Structure Enabled Nanothermite Membrane of Mn2O3/Al

    PubMed Central

    Zheng, Guoqiang; Zhang, Wenchao; Shen, Ruiqi; Ye, Jiahai; Qin, Zhichun; Chao, Yimin

    2016-01-01

    Mn2O3 has been selected to realize nanothermite membrane for the first time in the literature. Mn2O3/Al nanothermite has been synthesized by magnetron sputtering a layer of Al film onto three-dimensionally ordered macroporous (3DOM) Mn2O3 skeleton. The energy release is significantly enhanced owing to the unusual 3DOM structure, which ensures Al and Mn2O3 to integrate compactly in nanoscale and greatly increase effective contact area. The morphology and DSC curve of the nanothermite membrane have been investigated at various aluminizing times. At the optimized aluminizing time of 30 min, energy release reaches a maximum of 2.09 kJ∙g−1, where the Al layer thickness plays a decisive role in the total energy release. This method possesses advantages of high compatibility with MEMS and can be applied to other nanothermite systems easily, which will make great contribution to little-known nanothermite research. PMID:26935405

  4. Structure and magnetic properties of FeSiAl-based soft magnetic composite with AlN and Al2O3 insulating layer prepared by selective nitridation and oxidation

    NASA Astrophysics Data System (ADS)

    Zhong, Xiaoxi; Liu, Ying; Li, Jun; Wang, Yiwei

    2012-08-01

    FeSiAl is widely used in switching power supply, filter inductors and pulse transformers. But when used under higher frequencies in some particular condition, it is required to reduce its high-frequency loss. Preparing a homogeneous insulating coating with good heat resistance and high resistivity, such as AlN and Al2O3, is supposed to be an effective way to reduce eddy current loss, which is less focused on. In this project, mixed AlN and Al2O3 insulating layers were prepared on the surface of FeSiAl powders after 30 min exposure at 1100 °C in high purity nitrogen atmosphere, by means of surface nitridation and oxidation. The results revealed that the insulating layers increase the electrical resistivity, and hence decrease the loss factor, improve the frequency stability and increase the quality factor, especially in the high-frequency range. The morphologies, microstructure and compositions of the oxidized and nitrided products on the surface were characterized by Scanning Electron Microscopy/Energy Disperse Spectroscopy, X-Ray Diffraction, Transmission Electron Microscopy, Selected Area Electron Diffraction and X-ray Photoelectron Spectroscopy.

  5. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer

    PubMed Central

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J.

    2016-01-01

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack. PMID:27279454

  6. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    PubMed

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  7. Al{sub 2}O{sub 3}/GeO{sub x} gate stack on germanium substrate fabricated by in situ cycling ozone oxidation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xu; Zeng, Zhen-Hua; Microwave Device and IC Department, Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029

    2014-09-01

    Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stack fabricated by an in situ cycling ozone oxidation (COO) method in the atomic layer deposition (ALD) system at low temperature is systematically investigated. Excellent electrical characteristics such as minimum interface trap density as low as 1.9 × 10{sup 11 }cm{sup −2 }eV{sup −1} have been obtained by COO treatment. The impact of COO treatment against the band alignment of Al{sub 2}O{sub 3} with respect to Ge is studied by x-ray photoelectron spectroscopy (XPS) and spectroscopic ellipsometry (SE). Based on both XPS and SE studies, the origin of gate leakage in the ALD-Al{sub 2}O{sub 3} is attributed to themore » sub-gap states, which may be correlated to the OH-related groups in Al{sub 2}O{sub 3} network. It is demonstrated that the COO method is effective in repairing the OH-related defects in high-k dielectrics as well as forming superior high-k/Ge interface for high performance Ge MOS devices.« less

  8. Electronic and Optical Properties of Atomic Layer-Deposited ZnO and TiO2

    NASA Astrophysics Data System (ADS)

    Ates, H.; Bolat, S.; Oruc, F.; Okyay, A. K.

    2018-05-01

    Metal oxides are attractive for thin film optoelectronic applications. Due to their wide energy bandgaps, ZnO and TiO2 are being investigated by many researchers. Here, we have studied the electrical and optical properties of ZnO and TiO2 as a function of deposition and post-annealing conditions. Atomic layer deposition (ALD) is a novel thin film deposition technique where the growth conditions can be controlled down to atomic precision. ALD-grown ZnO films are shown to exhibit tunable optical absorption properties in the visible and infrared region. Furthermore, the growth temperature and post-annealing conditions of ZnO and TiO2 affect the electrical properties which are investigated using ALD-grown metal oxide as the electron transport channel on thin film field-effect devices.

  9. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  10. Structural and mechanical characterization of Al/Al2O3 nanotube thin film on TiV alloy

    NASA Astrophysics Data System (ADS)

    Sarraf, M.; Zalnezhad, E.; Bushroa, A. R.; Hamouda, A. M. S.; Baradaran, S.; Nasiri-Tabrizi, B.; Rafieerad, A. R.

    2014-12-01

    In this study, the fabrication and characterization of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate were carried out. To this end, aluminum thin films were deposited as a first coating layer by direct current (DC) magnetron sputtering with the coating conditions of 300 W, 150 °C and 75 V substrate bias voltage. Al2O3 nanotube array as a second layer was grown on the Al layer by electrochemical anodisation at the constant potential of 20 V within different time periods in an electrolyte solution. For annealing the coated substrates, plasma treatment (PT) technique was utilized under various conditions to get the best adhesion strength of coating to the substrate. To characterize the coating layers, micro scratch test, Vickers hardness and field emission of scanning electron microscopy (FESEM) were used. Results show that after the deposition of pure aluminum on the substrate the scratch length, load and failure point were 794.37 μm, 1100 mN and 411.43 μm, respectively. After PT, the best adhesion strength (2038 mN) was obtained at RF power of 60 W. With the increase of the RF power up to 80 W, a reduction in adhesion strength was observed (1525.22 mN). From the microstructural point of view, a homogenous porous structure with an average pore size of 40-60 nm was formed after the anodisation for 10-45 min. During PT, the porous structure was converted to dense alumina layer when the RF power rose from 40 to 80 W. This led to an increase in hardness value from 2.7 to 3.4 GPa. Based on the obtained data, the RF power of 60 W was the optimum condition for plasma treatment of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate.

  11. InP MOS capacitor and E-mode n-channel FET with ALD Al2O3-based high- k dielectric

    NASA Astrophysics Data System (ADS)

    Yen, Chih-Feng; Yeh, Min-Yen; Chong, Kwok-Keung; Hsu, Chun-Fa; Lee, Ming-Kwei

    2016-07-01

    The electrical characteristics of atomic-layer-deposited Al2O3/TiO2/Al2O3 on (NH4)2S-treated InP MOS capacitor and related MOSFET were studied. The electrical characteristics were improved from the reduction of native oxides and sulfur passivation on InP by (NH4)2S treatment. The high bandgap Al2O3 on TiO2 can reduce the thermionic emission, and the Al2O3 under TiO2 improves the interface-state density by self-cleaning. The high dielectric constant TiO2 is used to lower the equivalent oxide thickness. The leakage currents can reach 2.3 × 10-8 and 2.2 × 10-7 A/cm2 at ±2 MV/cm, respectively. The lowest interface-state density is 4.6 × 1011 cm-2 eV-1 with a low-frequency dispersion of 15 %. The fabricated enhancement-mode n-channel sulfur-treated InP MOSFET exhibits good electrical characteristics with a maximum transconductance of 146 mS/mm and effective mobility of 1760 cm2/V s. The subthreshold swing and threshold voltage are 117 mV/decade and 0.44 V, respectively.

  12. Effect of Al and Mg Contents on Wettability and Reactivity of Molten Zn-Al-Mg Alloys on Steel Sheets Covered with MnO and SiO2 Layers

    NASA Astrophysics Data System (ADS)

    Huh, Joo-Youl; Hwang, Min-Je; Shim, Seung-Woo; Kim, Tae-Chul; Kim, Jong-Sang

    2018-05-01

    The reactive wetting behaviors of molten Zn-Al-Mg alloys on MnO- and amorphous (a-) SiO2-covered steel sheets were investigated by the sessile drop method, as a function of the Al and Mg contents in the alloys. The sessile drop tests were carried out at 460 °C and the variation in the contact angles (θc) of alloys containing 0.2-2.5 wt% Al and 0-3.0 wt% Mg was monitored for 20 s. For all the alloys, the MnO-covered steel substrate exhibited reactive wetting whereas the a-SiO2-covered steel exhibited nonreactive, nonwetting (θc > 90°) behavior. The MnO layer was rapidly removed by Al and Mg contained in the alloys. The wetting of the MnO-covered steel sheet significantly improved upon increasing the Mg content but decreased upon increasing the Al content, indicating that the surface tension of the alloy droplet is the main factor controlling its wettability. Although the reactions of Al and Mg in molten alloys with the a-SiO2 layer were found to be sluggish, the wettability of Zn-Al-Mg alloys on the a-SiO2 layer improved upon increasing the Al and Mg contents. These results suggest that the wetting of advanced high-strength steel sheets, the surface oxide layer of which consists of a mixture of MnO and SiO2, with Zn-Al-Mg alloys could be most effectively improved by increasing the Mg content of the alloys.

  13. Oxidant effect of La(NO3)3·6H2O solution on the crystalline characteristics of nanocrystalline ZrO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Oh, Nam Khen; Kim, Jin-Tae; Kang, Goru; An, Jong-Ki; Nam, Minwoo; Kim, So Yeon; Park, In-Sung; Yun, Ju-Young

    2017-02-01

    Nanocrystalline ZrO2 films were synthesized by atomic layer deposition method using CpZr[N(CH3)2]3 (Cp = C5H5) as the metal precursor and La(NO3)3·6H2O solution as the oxygen source. La element in the deposited ZrO2 films could not be detected as its content was below the resolution limit of the X-ray photoelectron spectroscopy. The alternative introduction of La(NO3)3·6H2O solution to conventionally used H2O as the oxidant effectively altered the crystalline structure, grain size, and surface roughness of the grown ZrO2 films. Specifically, the crystalline structure of the ZrO2 film changed from a mixture of tetragonal and monoclinic phases to monoclinic phase. The average grain size also increased, and the resulting film surface became rougher. The average grain sizes of the ZrO2 films prepared from La(NO3)3·6H2O solution at concentrations of 10, 20, 30, and 40% were 280, 256, 208, and 200 nm, respectively, whereas that prepared using H2O oxidant was 142 nm. However, the concentration of La(NO3)3·6H2O solution minimally influenced the crystalline characteristics of the nanocrystalline ZrO2 films i.e., the crystalline structure, grain size, and surface roughness except for crystallite size.

  14. QCM and AFM Study of atomic scale polishing and roughening of surfaces exposed to nanoparticle suspensions of diamond, Al2O3 and SiO2.

    NASA Astrophysics Data System (ADS)

    Krim, Jacqueline; Acharya, Biplav; Chestnut, Melanie; Marek, Antonin; Shendarova, Olga; Smirnov, Alex

    The addition of nanoparticles to conventional automotive lubricants is known in many cases to result in increased energy efficiency, but the atomic scale mechanisms leading to the increased efficiency are yet to be established. To explore this issue, we studied surface uptake and nanotribological properties of nanoparticle suspensions of diamond, Al2O3 and SiO2 dispersed in water and/or oil (PAO6) in real time by means of an in situ Quartz Crystal Microbalance (QCM) technique, with a focus on the impact of the suspension on the surface roughness and texture of the QCM electrode and how the results compared to macroscopic reductions in friction and increased energy efficiency for the same materials' combinations. The frequency and dissipative properties (mechanical resistance) of QCM's with both gold and nickel surface electrodes were first studied for immersed samples upon addition of the nanoparticles. Nanodiamonds resulted in an increased mechanical resistance while the addition of Al2O3 and SiO2 nanoparticles resulted in a decreased resistance, indicating a reduced resistance of the fluid to the motion of the QCM. Atomic Force Microscope (AFM) measurements were then performed on the QCM electrodes after exposure to the suspensions, to explore potential polishing and/or roughening effects. The results are closely linked to the macroscopic friction and wear attributes. Work supported by NSF.

  15. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    PubMed

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  16. Mechanism of MnS Precipitation on Al2O3-SiO2 Inclusions in Non-oriented Silicon Steel

    NASA Astrophysics Data System (ADS)

    Li, Fangjie; Li, Huigai; Huang, Di; Zheng, Shaobo; You, Jinglin

    2018-05-01

    This study investigates the mechanism of MnS precipitation on Al2O3-SiO2 inclusions during the solidification of non-oriented silicon steel, especially the influence of the phase structures and sizes of the oxides on the MnS precipitation, by scanning electron microscopy and transmission electron microscopy coupled with energy dispersive spectrometry. The investigation results show that MnS tends to nucleate on submicron-sized Al2O3-SiO2 inclusions formed by interdendritic segregation and that it covers the oxides completely. In addition, MnS can precipitate on micron-sized oxides and its precipitation behavior is governed by the phase structure of the oxides. The MnS embryo formed in a MnO-containing oxide can act as a substrate for MnS precipitation, thus permitting further growth via diffusion of solute atoms from the matrix. MnS also precipitates in a MnO-free oxide by the heterogeneous nucleation mechanism. Furthermore, MnS is less prone to precipitation in the Al2O3-rich regions of the Al2O3-SiO2 inclusions; this can be explained by the high lattice disregistry between MnS and Al2O3.

  17. A photoelectron spectroscopy and quantum chemical study on ternary Al-B-O clusters: AlnBO2- and AlnBO2 (n = 2, 3).

    PubMed

    Ou, Ting; Feng, Yuan; Tian, Wen-Juan; Zhao, Li-Juan; Kong, Xiang-Yu; Xu, Hong-Guang; Zheng, Wei-Jun; Zhai, Hua-Jin

    2018-02-14

    Both B and Al have high oxygen affinity and their oxidation processes are highly exothermic, hinting at intriguing physical chemistry in ternary Al-B-O clusters. We report a combined photoelectron spectroscopy and density-functional study on the structural, electronic, and bonding properties of Al n BO 2 - and Al n BO 2 (n = 2, 3) clusters. Ground-state vertical detachment energies (VDEs) are measured to be 2.83 and 2.24 eV for Al 2 BO 2 - and Al 3 BO 2 - , respectively. A weak isomer is also observed for Al 3 BO 2 - with a VDE of 1.31 eV. Coalescence-kick global searches allow the identification of candidate structures, confirmed via comparisons with experiment. The Al 2 BO 2 - anion is V-shaped in geometry, C s ( 1 A'), with an Al center connecting to OB and OAl terminals. It can be viewed alternatively as the fusion of BOAl and AlOAl by sharing an Al atom. Al 3 BO 2 - has a C s ( 2 A'') global minimum in which an Al 2 dimer interacts with bridging boronyl (BO) and an OAl unit, as well as a low-lying C 2v ( 2 B 2 ) isomer consisting of boronyl and OAl that are doubly bridged by two Al atoms. The BO 2 block (linear O[double bond, length as m-dash]B[double bond, length as m-dash]O chain) is nonexistent in any of the anion and neutral species. Chemical bonding in these Al-B-O clusters is elucidated via canonical molecular orbitals and adaptive natural density partitioning. The cluster structures are also rationalized using the concept of sequential and competitive oxidation of B versus Al centers in Al n B. The first O atom prefers to oxidize B and form BO, whereas the second O atom has options to interact with a fresh Al/Al n /Al n B unit or a BO group. The former route wins thermodynamically, leading to the observed geometries.

  18. Characterization of the ScAlMgO4 cleaving layer by X-ray crystal truncation rod scattering

    NASA Astrophysics Data System (ADS)

    Hanada, Takashi; Tajiri, Hiroo; Sakata, Osami; Fukuda, Tsuguo; Matsuoka, Takashi

    2018-05-01

    ScAlMgO4—easily cleaved in c-plane—forms a natural superlattice structure of a ScO2 layer and two Al0.5Mg0.5O layers stacking along c-axis. ScAlMgO4 is one of the RAMO4-type layered multicomponent oxides and a promising lattice-matching substrate material for InGaN and ZnO. Identification of the topmost layer and the surface atomic structure of the cleaved ScAlMgO4 (0001) are investigated by the X-ray crystal truncation rod scattering method. It is confirmed that ScAlMgO4 is cleaved between the two Al0.5Mg0.5O layers. The two parts separated at this interlayer are inversion symmetric to each other and without surface charge. This prevents parallel-plate-capacitor-like electrostatic force during the cleavage. Two different mechanisms are proposed for the two types of cleavage caused by the impact of a wedge and by the in-plane stress due to an overgrown thick GaN film. It is also revealed that about 10%-20% of the topmost O atoms are desorbed during a surface cleaning at 600 °C in ultra-high vacuum. Surface observations using reflection high-energy electron diffraction are possible only after the high-temperature cleaning because the electrical conduction caused by the oxygen deficiency prevents the charge-up of the insulating sample.

  19. Evaluation to the effect of B2O3-La2O3-SrO-Na2O-Al2O3 bonding agent on Ti6Al4V-porcelain bonding.

    PubMed

    Zhao, C Q; Wu, S Q; Lu, Y J; Gan, Y L; Guo, S; Lin, J J; Huang, T T; Lin, J X

    2016-10-01

    Low-fusing bonding agents have been widely applied in Ti-ceramics restorations. As an important category, borate bonding agents have great potentials in increasing Ti-porcelain bonding. The purpose of this study is to evaluate the effect of borate bonding agent with addition of Na2O and Al2O3 on Ti6Al4V-porcelain bonding. The thermal properties of borate bonding agent, such as glass transition temperature (Tg) and crystallization peak temperature (Tp), were investigated to establish the sintering process. And the coefficient of thermal expansion (CTE) was to evaluate the matching effect of porcelain to Ti6Al4V. The bond strength was analyzed by the three point bending test. The microscopic morphology of the borate bonding agent surface after sintering, the interface of Ti-borate bonding agent-porcelain, and the fracture mode after porcelains fracture, were studied to assess the influence of borate bonding agent on Ti6Al4V-ceramics. With the addition of Na2O and Al2O3, the porcelain residues were observed increased indication on the Ti6Al4V surface after porcelain fracture and the bond strength was acquired the maximum (49.45MPa) in the bonding agent composition of 75.70B2O3-5.92La2O3-11.84SrO-4.67Na2O-1.87Al2O3. Those results suggest that borate bonding agent is an effective way to improve the Ti6Al4V-ceramics bond strength. And the addition of Na2O and Al2O3 strengthen this effect. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Interaction of Al with O2 exposed Mo2BC

    NASA Astrophysics Data System (ADS)

    Bolvardi, Hamid; Music, Denis; Schneider, Jochen M.

    2015-03-01

    A Mo2BC(0 4 0) surface was exposed to O2. The gas interaction was investigated using ab initio molecular dynamics and X-ray photoelectron spectroscopy (XPS) of air exposed surfaces. The calculations suggest that the most dominating physical mechanism is dissociative O2 adsorption whereby Mosbnd O, Osbnd Mosbnd O and Mo2sbnd Csbnd O bond formation is observed. To validate these results, Mo2BC thin films were synthesized utilizing high power pulsed magnetron sputtering and air exposed surfaces were probed by XPS. MoO2 and MoO3 bond formation is observed and is consistent with here obtained ab initio data. Additionally, the interfacial interactions of O2 exposed Mo2BC(0 4 0) surface with an Al nonamer is studied with ab initio molecular dynamics to describe on the atomic scale the interaction between this surface and Al to mimic the interface present during cold forming processes of Al based alloys. The Al nonamer was disrupted and Al forms chemical bonds with oxygen contained in the O2 exposed Mo2BC(0 4 0) surface. Based on the comparison of here calculated adsorption energy with literature data, Alsbnd Al bonds are shown to be significantly weaker than the Alsbnd O bonds formed across the interface. Hence, Alsbnd Al bond rupture is expected for a mechanically loaded interface. Therefore the adhesion of a residual Al on the native oxide layer is predicted. This is consistent with experimental observations. The data presented here may also be relevant for other oxygen containing surfaces in a contact with Al or Al based alloys for example during forming operations.

  1. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  2. The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes

    NASA Astrophysics Data System (ADS)

    Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit

    2018-06-01

    In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.

  3. Cu(In,Ga)Se2 Solar Cells with Amorphous In2O3-Based Front Contact Layers.

    PubMed

    Koida, Takashi; Ueno, Yuko; Nishinaga, Jiro; Higuchi, Hirohumi; Takahashi, Hideki; Iioka, Masayuki; Shibata, Hajime; Niki, Shigeru

    2017-09-06

    Amorphous (a-) In 2 O 3 -based front contact layers composed of transparent conducting oxide (TCO) and transparent oxide semiconductor (TOS) layers were proved to be effective in enhancing the short-circuit current density (J sc ) of Cu(In,Ga)Se 2 (CIGS) solar cells with a glass/Mo/CIGS/CdS/TOS/TCO structure, while maintaining high fill factor (FF) and open-circuit voltage (V oc ). An n-type a-In-Ga-Zn-O layer was introduced between the CdS and TCO layers. Unlike unintentionally doped ZnO broadly used as TOS layers in CIGS solar cells, the grain-boundary(GB)-free amorphous structure of the a-In-Ga-Zn-O layers allowed high electron mobility with superior control over the carrier density (N). High FF and V oc values were achieved in solar cells containing a-In-Ga-Zn-O layers with N values broadly ranging from 2 × 10 15 to 3 × 10 18 cm -3 . The decrease in FF and V oc produced by the electronic inhomogeneity of solar cells was mitigated by controlling the series resistance within the TOS layer of CIGS solar cells. In addition, a-In 2 O 3 :H and a-In-Zn-O layers exhibited higher electron mobilities than the ZnO:Al layers conventionally used as TCO layers in CIGS solar cells. The In 2 O 3 -based layers exhibited lower free carrier absorption while maintaining similar sheet resistance than ZnO:Al. The TCO and TOS materials and their combinations did not significantly change the V oc of the CIGS solar cells and the mini-modules.

  4. Effect of intermediate layers on atomic layer deposition-aluminum oxide protected silver mirrors

    NASA Astrophysics Data System (ADS)

    Fryauf, David M.; Diaz Leon, Juan J.; Phillips, Andrew C.; Kobayashi, Nobuhiko P.

    2017-07-01

    This work investigates intermediate materials deposited between silver (Ag) thin-film mirrors and an aluminum oxide (AlOx) barrier overlayer and compares the effects on mirror durability to environmental stresses. Physical vapor deposition of various fluorides, oxides, and nitrides in combination with AlOx by atomic layer deposition (ALD) is used to develop several coating recipes. Ag-AlOx samples with different intermediate materials undergo aggressive high-temperature (80°C), high-humidity (80%) (HTHH) testing for 10 days. Reflectivity of mirror samples is measured before and after HTHH testing, and image processing techniques are used to analyze the specular surface of the samples after HTHH testing. Among the seven intermediate materials used in this work, TiN, MgAl2O4, NiO, and Al2O3 intermediate layers offer more robust protection against chemical corrosion and moisture when compared with samples with no intermediate layer. In addition, results show that the performance of the ALD-AlOx barrier overlayer depends significantly on the ALD-growth process temperature. Because higher durability is observed in samples with less transparent TiN and NiO layers, we propose a figure of merit based on post-HTHH testing reflectivity change and specular reflective mirror surface area remaining after HTHH testing to judge overall barrier performance.

  5. Room temperature radiolytic synthesized Cu@CuAlO(2)-Al(2)O(3) nanoparticles.

    PubMed

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO(2)-Al(2)O(3) bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a (60)Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO(2)-Al(2)O(3) nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO(2)-Al(2)O(3) nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation.

  6. Relating electronic and geometric structure of atomic layer deposited BaTiO 3 to its electrical properties

    DOE PAGES

    Torgersen, Jan; Acharya, Shinjita; Dadlani, Anup Lal; ...

    2016-03-24

    Atomic layer deposition allows the fabrication of BaTiO 3 (BTO) ultrathin films with tunable dielectric properties, which is a promising material for electronic and optical technology. Industrial applicability necessitates a better understanding of their atomic structure and corresponding properties. Through the use of element-specific X-ray absorption near edge structure (XANES) analysis, O K-edge of BTO as a function of cation composition and underlying substrate (RuO 2 and SiO 2) is revealed. By employing density functional theory and multiple scattering simulations, we analyze the distortions in BTO’s bonding environment captured by the XANES spectra. The spectral weight shifts to lower energymore » with increasing Ti content and provides an atomic scale (microscopic) explanation for the increase in leakage current density. Differences in film morphologies in the first few layers near substrate–film interfaces reveal BTO’s homogeneous growth on RuO 2 and its distorted growth on SiO 2. As a result, this work links structural changes to BTO thin-film properties and provides insight necessary for optimizing future BTO and other ternary metal oxide-based thin-film devices.« less

  7. Al2O3/SiON stack layers for effective surface passivation and anti-reflection of high efficiency n-type c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Thi Thanh Nguyen, Huong; Balaji, Nagarajan; Park, Cheolmin; Triet, Nguyen Minh; Le, Anh Huy Tuan; Lee, Seunghwan; Jeon, Minhan; Oh, Donhyun; Dao, Vinh Ai; Yi, Junsin

    2017-02-01

    Excellent surface passivation and anti-reflection properties of double-stack layers is a prerequisite for high efficiency of n-type c-Si solar cells. The high positive fixed charge (Q f) density of N-rich hydrogenated amorphous silicon nitride (a-SiNx:H) films plays a poor role in boron emitter passivation. The more the refractive index ( n ) of a-SiNx:H is decreased, the more the positive Q f of a-SiNx:H is increased. Hydrogenated amorphous silicon oxynitride (SiON) films possess the properties of amorphous silicon oxide (a-SiOx) and a-SiNx:H with variable n and less positive Q f compared with a-SiNx:H. In this study, we investigated the passivation and anti-reflection properties of Al2O3/SiON stacks. Initially, a SiON layer was deposited by plasma enhanced chemical vapor deposition with variable n and its chemical composition was analyzed by Fourier transform infrared spectroscopy. Then, the SiON layer was deposited as a capping layer on a 10 nm thick Al2O3 layer, and the electrical and optical properties were analyzed. The SiON capping layer with n = 1.47 and a thickness of 70 nm resulted in an interface trap density of 4.74 = 1010 cm-2 eV-1 and Q f of -2.59 = 1012 cm-2 with a substantial improvement in lifetime of 1.52 ms after industrial firing. The incorporation of an Al2O3/SiON stack on the front side of the n-type solar cells results in an energy conversion efficiency of 18.34% compared to the one with Al2O3/a-SiNx:H showing 17.55% efficiency. The short circuit current density and open circuit voltage increase by up to 0.83 mA cm-2 and 12 mV, respectively, compared to the Al2O3/a-SiNx:H stack on the front side of the n-type solar cells due to the good anti-reflection and front side surface passivation.

  8. Interaction of overlayers of Al and Rb with single-crystalline surfaces of Bi2Sr2CaCu2O8

    NASA Astrophysics Data System (ADS)

    Lindberg, P. A. P.; Wells, B. O.; Shen, Z.-X.; Dessau, D. S.; Lindau, I.; Spicer, W. E.; Mitzi, D. B.; Kapitulnik, A.

    1990-03-01

    Photoemission results from Al and Rb interfaces with single crystals of Bi2Sr2CaCu2O8 high-temperature superconductors are reported. The Al and Rb adsorbates are found to react quite differently with the Bi2Sr2CaCu2O8 substrate. While adatoms of Rb significantly affect only the Bi and O atoms in the top atomic layer, the Al adsorbate profoundly disrupts the bonding character of the whole Bi2Sr2CaCu2O8 material. For Al, the Bi and Cu states are strongly reduced, and the Sr and O states show evidence of oxidized components. In addition, Al causes a strong out-diffusion of oxygen from the bulk. The differences in the reactivity of Al and Rb are discussed in terms of the different mobility of the two atoms.

  9. ADSORPTION AND DISSOCIATION OF O2 ON Ti3Al (0001) STUDIED BY FIRST-PRINCIPLES

    NASA Astrophysics Data System (ADS)

    Wei, Li-Jing; Guo, Jian-Xin; Dai, Xiu-Hong; Wang, Ying-Long; Liu, Bao-Ting

    2015-05-01

    The adsorption and dissociation of oxygen molecule on Ti3Al (0001) surface have been investigated by density functional theory (DFT) with the generalized gradient approximation (GGA). All possible adsorption sites including nine vertical and fifteen parallel sites of O2 are considered on Ti3Al (0001) surface. It is found that all oxygen molecules dissociate except for three vertical adsorption sites after structure optimization. This indicates that oxygen molecules prefer to dissociate on the junction site between Ti and Al atoms. Oxygen atoms coming from dissociation of oxygen molecule tend to occupy the most stable adsorption sites of the Ti3Al (0001) surface. The distance of O-O is related to the surface dissociation distance of Ti3Al (0001) surface. The valence electron localization function (ELF) and projected density of states (DOS) show that the bonds of O-O are breakaway at parallel adsorption end structures.

  10. The role of cleaning conditions and epitaxial layer structure on reliability of Sc 2O 3 and MgO passivation on AlGaN/GaN HEMTS

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R. M.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Fitch, R. C.; Gillespie, J.; Dellmer, R.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2002-12-01

    The effect of layer structure (GaN versus AlGaN cap) and cleaning procedure prior to Sc 2O 3 or MgO deposition at 100 °C were examined for their effects on the long-term bias-stress stability of AlGaN/GaN high electron mobility transistors (HEMTs). Surface cleaning by itself was not sufficient to prevent current collapse in the devices. The forward and reverse gate leakage currents were decreased under most conditions upon deposition of the oxide passivation layers. After ≈13 h of bias-stressing, the MgO-passivated HEMTs retain ⩾90% their initial drain-source current. The Sc 2O 3-passivated devices retained ˜80% recovery of the current under the same conditions.

  11. Ho3+-doped AlF3-TeO2-based glass fibers for 2.1 µm laser applications

    NASA Astrophysics Data System (ADS)

    Wang, S. B.; Jia, Z. X.; Yao, C. F.; Ohishi, Y.; Qin, G. S.; Qin, W. P.

    2017-05-01

    Ho3+-doped AlF3-TeO2-based glass fibers based on AlF3-BaF2-CaF2-YF3-SrF2-MgF2-TeO2 glasses are fabricated by using a rod-in-tube method. The glass rod including a core and a thick cladding layer is prepared by using a suction method, where the thick cladding layer is used to protect the core from the effect of surface crystallization during the fiber drawing. By inserting the glass rod into a glass tube, the glass fibers with relatively low loss (~2.3 dB m-1 @ 1560 nm) are prepared. By using a 38 cm long Ho3+-doped AlF3-TeO2-based glass fiber as the gain medium and a 1965 nm fiber laser as the pump source, 2065 nm lasing is obtained for a threshold pump power of ~220 mW. With further increasing the pump power to ~325 mW, the unsaturated output power of the 2065 nm laser is about 82 mW and the corresponding slope efficiency is up to 68.8%. The effects of the gain fiber length on the lasing threshold, the slope efficiency, and the operating wavelength are also investigated. Our experimental results show that Ho3+-doped AlF3-TeO2-based glass fibers are promising gain media for 2.1 µm laser applications.

  12. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE PAGES

    Petrik, Nikolay G.; Kimmel, Greg A.

    2018-04-11

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D 2O) films adsorbed on an α-Al 2O 3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products (D 2, O 2 and D 2O) and the total sputtering yield increased with increasing D 2O coverage up to ~15 water monolayers (i.e. ~15 x 10 15 cm -2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D 2O and H 2O) demonstrated thatmore » the highest water decomposition yields occurred at the interfaces of the nanoscale water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO 2(110) interfaces. Here, we propose that the relatively low activity of Al 2O 3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the formation of molecular hydrogen.« less

  13. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Greg A.

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D 2O) films adsorbed on an α-Al 2O 3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products (D 2, O 2 and D 2O) and the total sputtering yield increased with increasing D 2O coverage up to ~15 water monolayers (i.e. ~15 x 10 15 cm -2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D 2O and H 2O) demonstrated thatmore » the highest water decomposition yields occurred at the interfaces of the nanoscale water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO 2(110) interfaces. Here, we propose that the relatively low activity of Al 2O 3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the formation of molecular hydrogen.« less

  14. First-principles study of nitrogen-doped CuAlO2

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ao, Zhi Min; Yuan, Ding Wang

    2012-08-01

    The electronic structure and formation energies of N-doped CuAlO2 are studied using first-principles calculations. It is found that, when a N atom is doped into CuAlO2, the N atom prefers to substitute an O atom rather than to occupy an interstitial site of the Cu layer. The NO acts as a shallow accepter while the Ni acts as a deep accepter. The results of the electronic structure show that the N-doping doesn't alter the band gap of CuAlO2 for the both cases. In the substitutional case, the N impurity states occur at the top of valance band maximum (VBM), which provides holes and increases the p-type conductivity. However, in the interstitial case, the N impurity states occur in the middle of the band gap, which are more localized and this indicates that it is not good for p-type conductivity.

  15. Modulus, strength and thermal exposure studies of FP-Al2O3/aluminum and FP-Al2O3/magnesium composites

    NASA Technical Reports Server (NTRS)

    Bhatt, R. T.

    1981-01-01

    The mechanical properties of FP-Al2O3 fiber reinforced composites prepared by liquid infiltration techniques are improved. A strengthening addition, magnesium, was incorporated with the aluminum-lithium matrix alloy usually selected for these composites because of its good wetting characteristics. This ternary composite, FP-Al2O3/Al-(2-3)Li-(3-5)Mg, showed improved transverse strength compared with FP-Al2O3/Al-(2-3)Li composites. The lower axial strengths found for the FP-Al2O3/Al-(2-3)Li-(3-5)Mg composites were attributed to fabrication related defects. Another technique was the use of Ti/B coated FP-Al2O3 fibers in the composites. This coating is readily wet by molten aluminum and permitted the use of more conventional aluminum alloys in the composites. However, the anticipated improvements in the axial and transverse strengths were not obtained due to poor bonding between the fiber coating and the matrix. A third approach studied to improve the strengths of FP-Al2O3 reinforced composites was the use of magnesium alloys as matrix materials. While these alloys wet fibers satisfactorily, the result indicated that the magnesium alloy composites used offered no axial strength or modulus advantage over FP-Al2O3/Al-(2-3)Li composites.

  16. Subnanometer Ga2O3 tunnelling layer by atomic layer deposition to achieve 1.1 V open-circuit potential in dye-sensitized solar cells.

    PubMed

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grätzel, Michael

    2012-08-08

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new DSC record open-circuit potential of 1.1 V with state-of-the-art organic D-π-A sensitizer and cobalt redox mediator. After ALD of only a few angstroms of Ga(2)O(3), the electron back reaction is reduced by more than an order of magnitude, while charge collection efficiency and fill factor are increased by 30% and 15%, respectively. The photogenerated exciton separation processes of electron injection into the TiO(2) conduction band and the hole injection into the electrolyte are characterized in detail.

  17. Conductance control at the LaAlO{sub 3}/SrTiO{sub 3}-interface by a multiferroic BiFeO{sub 3} ad-layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mix, Christian; Finizio, Simone; Kläui, Mathias

    2014-06-30

    Multilayered BiFeO{sub 3} (BFO)/LaAlO{sub 3} (LAO) thin film samples were fabricated on SrTiO{sub 3} (STO) substrates by pulsed laser deposition. In this work, the ferroelectric polarization of a multiferroic BFO ad-layer on top of the quasi-two-dimensional electron gas (2DEG) at the LAO/STO interface is used to manipulate the conductivity of the quasi-2DEG. By microstructuring the conductive area of the LAO/STO-interface, a four-point geometry for the measurement of the resistivity was achieved. Piezo force microscopy allows for imaging and poling the spontaneous ferroelectric polarization of the multiferroic layer. The resistance changes showed a linear dependence on the area scanned and amore » hysteretic behavior with respect to the voltages applied in the scanning process. This is evidence for the ferroelectric polarization of the multiferroic causing the resistance changes. Coupling the antiferromagnetic BFO layer to another ferromagnetic layer could enable a magnetic field control of the conductance of the quasi-2DEG at the LAO/STO interface.« less

  18. Removal of nitrate and phosphate using chitosan/Al2O3/Fe3O4 composite nanofibrous adsorbent: Comparison with chitosan/Al2O3/Fe3O4 beads.

    PubMed

    Bozorgpour, Farahnaz; Ramandi, Hossein Fasih; Jafari, Pooya; Samadi, Saman; Yazd, Shabnam Sharif; Aliabadi, Majid

    2016-12-01

    In the present study the chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibrous adsorbent was prepared by electrospinning process and its application for the removal of nitrate and phosphate were compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite bead adsorbent. The influence of Al 2 O 3 /Fe 3 O 4 composite content, pH, contact time, nitrate and phosphate initial concentrations and temperature on the nitrate and phosphate sorption using synthesized bead and nanofibrous adsorbents was investigated in a single system. The reusability of chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers after five sorption-desorption cycles were carried out. The Box-Behnken design was used to investigate the interaction effects of adsorbent dosage, nitrate and phosphate initial concentrations on the nitrate and phosphate removal efficiency. The pseudo-second-order kinetic model and known Freundlich and Langmuir isotherm models were used to describe the kinetic and equilibrium data of nitrate and phosphate sorption using chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers. The influence of other anions including chloride, fluoride and sulphate on the sorption efficiency of nitrate and phosphate was examined. The obtained results revealed the higher potential of chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibers for nitrate and phosphate compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite beads. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. Network topology for the formation of solvated electrons in binary CaO-Al2O3 composition glasses.

    PubMed

    Akola, Jaakko; Kohara, Shinji; Ohara, Koji; Fujiwara, Akihiko; Watanabe, Yasuhiro; Masuno, Atsunobu; Usuki, Takeshi; Kubo, Takashi; Nakahira, Atsushi; Nitta, Kiyofumi; Uruga, Tomoya; Weber, J K Richard; Benmore, Chris J

    2013-06-18

    Glass formation in the CaO-Al2O3 system represents an important phenomenon because it does not contain typical network-forming cations. We have produced structural models of CaO-Al2O3 glasses using combined density functional theory-reverse Monte Carlo simulations and obtained structures that reproduce experiments (X-ray and neutron diffraction, extended X-ray absorption fine structure) and result in cohesive energies close to the crystalline ground states. The O-Ca and O-Al coordination numbers are similar in the eutectic 64 mol % CaO (64CaO) glass [comparable to 12CaO·7Al2O3 (C12A7)], and the glass structure comprises a topologically disordered cage network with large-sized rings. This topologically disordered network is the signature of the high glass-forming ability of 64CaO glass and high viscosity in the melt. Analysis of the electronic structure reveals that the atomic charges for Al are comparable to those for Ca, and the bond strength of Al-O is stronger than that of Ca-O, indicating that oxygen is more weakly bound by cations in CaO-rich glass. The analysis shows that the lowest unoccupied molecular orbitals occurs in cavity sites, suggesting that the C12A7 electride glass [Kim SW, Shimoyama T, Hosono H (2011) Science 333(6038):71-74] synthesized from a strongly reduced high-temperature melt can host solvated electrons and bipolarons. Calculations of 64CaO glass structures with few subtracted oxygen atoms (additional electrons) confirm this observation. The comparable atomic charges and coordination of the cations promote more efficient elemental mixing, and this is the origin of the extended cage structure and hosted solvated (trapped) electrons in the C12A7 glass.

  20. Evolution of crystal structure during the initial stages of ZnO atomic layer deposition

    DOE PAGES

    Boichot, R.; Tian, L.; Richard, M. -I.; ...

    2016-01-05

    In this study, a complementary suite of in situ synchrotron X-ray techniques is used to investigate both structural and chemical evolution during ZnO growth by atomic layer deposition. Focusing on the first 10 cycles of growth, we observe that the structure formed during the coalescence stage largely determines the overall microstructure of the film. Furthermore, by comparing ZnO growth on silicon with a native oxide with that on Al 2O 3(001), we find that even with lattice-mismatched substrates and low deposition temperatures, the crystalline texture of the films depend strongly on the nature of the interfacial bonds.

  1. A Reaction Between High Mn-High Al Steel and CaO-SiO2-Type Molten Mold Flux: Part II. Reaction Mechanism, Interface Morphology, and Al2O3 Accumulation in Molten Mold Flux

    NASA Astrophysics Data System (ADS)

    Kang, Youn-Bae; Kim, Min-Su; Lee, Su-Wan; Cho, Jung-Wook; Park, Min-Seok; Lee, Hae-Geon

    2013-04-01

    Following a series of laboratory-scale experiments, the mechanism of a chemical reaction 4[{Al}] + 3({SiO}_2) = 3[{Si}] + 2({Al}_2{O}_3) between high-alloyed TWIP (TWin-Induced Plasticity) steel containing Mn and Al and molten mold flux composed mainly of CaO-SiO2 during the continuous casting process is discussed in the present article in the context of kinetic analysis, morphological evolution at the reaction interface. By the kinetic analysis using a two-film theory, a rate-controlling step of the chemical reaction at the interface between the molten steel and the molten flux is found to be mass transport of Al in a boundary layer of the molten steel, as long as the molten steel and the molten flux phases are concerned. Mass transfer coefficient of the Al in the boundary layer (k_{{Al}}) is estimated to be 0.9 to 1.2 × 10-4 m/s at 1773 K (1500 ^{circ}C). By utilizing experimental data at various temperatures, the following equation is obtained for the k_{{Al}}; ln k_{{Al}} = -14,290/T - 1.1107. Activation energy for the mass transfer of Al in the boundary layer is 119 kJ/mol, which is close to a value of activation energy for mass transfer in metal phase. The composition evolution of Al in the molten steel was well explained by the mechanism of Al mass transfer. On the other hand, when the concentration of Al in the steel was high, a significant deviation of the composition evolution of Al in the molten steel was observed. By observing reaction interface between the molten steel and the molten flux, it is thought that the chemical reaction controlled by the mass transfer of Al seemed to be disturbed by formation of a solid product layer of MgAl2O4. A model based on a dynamic mass balance and the reaction mechanism of mass transfer of Al in the boundary layer for the low Al steel was developed to predict (pct Al2O3) accumulation rate in the molten mold flux.

  2. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  3. Room Temperature Radiolytic Synthesized Cu@CuAlO2-Al2O3 Nanoparticles

    PubMed Central

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO2-Al2O3 bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a 60Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO2-Al2O3 nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO2-Al2O3 nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation. PMID:23109893

  4. Core-shell carbon nanosphere-TiO2 composite and hollow TiO2 nanospheres prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Bakos, L. P.; Justh, N.; Hernádi, K.; Kiss, G.; Réti, B.; Erdélyi, Z.; Parditka, B.; Szilágyi, I. M.

    2016-10-01

    Core-shell carbon-TiO2 composite and hollow TiO2 nanospheres were prepared using carbon nanospheres as hard-templates, coating them with TiO2 using atomic layer deposition, and subsequent burning out of the carbon cores. The bare carbon, the composite carbon-TiO2 and the hollow TiO2 nanospheres were characterized with TG/DTA-MS, FTIR, XRD and SEM-EDX.

  5. Modification of the acid/base properties of γ-Al2O3 by oxide additives: An ethanol TPD investigation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kwak, Ja Hun; Lee, Jaekyoung; Szanyi, Janos

    2016-02-26

    The electronic properties of oxide-modified γ Al2O3 surfaces were investigated by using ethanol TPD. Ethanol TPD showed remarkable sensitivity toward the surface structures and electronic properties of the aluminas modified by various transition metal oxides. Maximum desorption rates for the primary product of ethanol adsorption, ethylene, were observed at 225 °C on non-modified γ-Al2O3. Desorption temperature of ethanol over a γ Al2O3 samples with different amounts of BaO linearly increased with increasing loading. On the contrary, ethanol desorption temperature on Pt modified γ-Al2O3 after calcined at 500 oC linearly decreased with increasing Pt loading. These results clearly suggested that themore » acid/base properties of the γ-Al2O3 surface can be strongly affected by ad-atoms. For confirming these arguments, we performed ethanol TPD experiments on various oxide modified γ-Al2O3 and normalized the maximum desorption temperatures based on the same number of oxide dopants. These normalized ethanol desorption temperatures linearly correlate with the electronegativity of the metal atom in the oxide. This linear relationship clearly demonstrates that the acidic properties of alumina surfaces can be systematically changed by ad-atoms.« less

  6. Atomic layer deposited high-k nanolaminate capacitors

    NASA Astrophysics Data System (ADS)

    Smith, S. W.; McAuliffe, K. G.; Conley, J. F., Jr.

    2010-10-01

    Al 2O 3-Ta 2O 5 nanolaminate films were prepared via atomic layer deposition (ALD) on silicon with a single overall composition and thickness, but with a varying number of Al 2O 3/Ta 2O 5 bilayers. The composition of the films was roughly 57% Al 2O 3 and 43% Ta 2O 5 and the total film thickness was held at ˜58 nm, while the number of bilayers was varied from 3 to 192 by changing the target bilayer thickness from ˜19.2 nm to ˜0.3 nm. Varying the number of bilayers was found to impact electrical properties. Although, almost all laminate films exhibited leakage, breakdown, hysteresis, and overall dielectric constant intermediate between pure Al 2O 3 and Ta 2O 5 films, laminates with few bilayers exhibited leakage current density lower than Al 2O 3 over the range of ˜3.5-4.5 MV/cm. Select samples annealed at temperatures from 400 to 900 °C were compared with as-deposited laminates. Annealing the laminate films at low temperatures improved leakage and breakdown while higher temperature anneals degraded both leakage and breakdown but improved the effective dielectric constant. A figure of merit was used to evaluate the overall ability of the various films to store charge. It was found that the few bilayer laminates were ranked higher than the many bilayer laminates as well as above both the pure Ta 2O 5 and pure Al 2O 3 films. These results indicate that even for a fixed overall composition, the electrical properties of a nanolaminate can be adjusted by varying the number of bilayers.

  7. Amplified spontaneous emission from ZnO in n-ZnO/ZnO nanodots-SiO(2) composite/p-AlGaN heterojunction light-emitting diodes.

    PubMed

    Shih, Ying Tsang; Wu, Mong Kai; Li, Wei Chih; Kuan, Hon; Yang, Jer Ren; Shiojiri, Makoto; Chen, Miin Jang

    2009-04-22

    This study demonstrates amplified spontaneous emission (ASE) of the ultraviolet (UV) electroluminescence (EL) from ZnO at lambda~380 nm in the n-ZnO/ZnO nanodots-SiO(2) composite/p- Al(0.12)Ga(0.88)N heterojunction light-emitting diode. A SiO(2) layer embedded with ZnO nanodots was prepared on the p-type Al(0.12)Ga(0.88)N using spin-on coating of SiO(2) nanoparticles followed by atomic layer deposition (ALD) of ZnO. An n-type Al-doped ZnO layer was deposited upon the ZnO nanodots-SiO(2) composite layer also by the ALD technique. High-resolution transmission electron microscopy (HRTEM) reveals that the ZnO nanodots embedded in the SiO(2) matrix have diameters of 3-8 nm and the wurtzite crystal structure, which allows the transport of carriers through the thick ZnO nanodots-SiO(2) composite layer. The high quality of the n-ZnO layer was manifested by the well crystallized lattice image in the HRTEM picture and the low-threshold optically pumped stimulated emission. The low refractive index of the ZnO nanodots-SiO(2) composite layer results in the increase in the light extraction efficiency from n-ZnO and the internal optical feedback of UV EL into n-ZnO layer. Consequently, significant enhancement of the UV EL intensity and super-linear increase in the EL intensity, as well as the spectral narrowing, with injection current were observed owing to ASE in the n-ZnO layer.

  8. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  9. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1988-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  10. Effect of powder reactivity on fabrication and properties of NiAl/Al2O3 composite coated on cast iron using spark plasma sintering

    NASA Astrophysics Data System (ADS)

    Beyhaghi, Maryam; Kiani-Rashid, Ali-Reza; Kashefi, Mehrdad; Khaki, Jalil Vahdati; Jonsson, Stefan

    2015-07-01

    Powder mixtures of Ni, NiO and Al are ball milled for 1 and 10 h. X-ray diffractometry and differential thermal analysis show that while ball milling for 1 h produced mechanically activated powder; 10 h ball milling produced NiAl and Al2O3 phases. Dense NiAl/Al2O3 composite coatings are formed on gray cast iron substrate by spark plasma sintering (SPS) technique. The effect of powder reactivity on microstructure, hardness and scratch hardness of NiAl/Al2O3 coatings after SPS is discussed. Results show that in the coating sample made of mechanically activated powder in situ synthesis of NiAl/Al2O3 composite coating is fulfilled and a thicker well-formed diffusion bond layer at the interface between coating and substrate is observed. The diffusion of elements across the bond layers and phase evolution in the bond layers were investigated. No pores or cracks were observed at the interface between coating layer and substrate in any of samples. Higher Vickers hardness and scratch hardness values in coating made of 10 h ball milled powder than in coating fabricated from 1 h ball milled powder are attributed to better dispersion of Al2O3 reinforcement particles in NiAl matrix and nano-crystalline structure of NiAl matrix. Scratched surface of coatings did not reveal any cracking or spallation at coating-substrate interface indicating their good adherence at test conditions.

  11. Elemental and lattice-parameter mapping of binary oxide superlattices of (LaNiO 3 ) 4 /(LaMnO 3 ) 2 at atomic resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kwon, Ji-Hwan; Lu, Ping; Hoffman, Jason

    2016-12-19

    We construct the elemental distribution and lattice strain maps from the measured atomic column positions in a (LaNiO3)(4)/(LaMnO3)(2) superlattice over a large field of view. The correlation between the distribution of B-cations and the lattice parameter in the form of Vegard's law is validated using atomic resolution energy dispersive x-ray spectroscopy (EDS). The maps show negligible Mn intermixing in the LaNiO3 layer, while Ni intermixing in the LaMnO3 layer improves away from the substrate interface to 9.5 atomic% from the 8th period onwards, indicating that the superlattice interfacial sharpness is established as the distance from the substrate increases. The mapsmore » allow an observation of the compositional defects of the B-sites, which is not possible by Z-contrast alone. Thus, this study demonstrates a promising approach for atomic scale correlative study of lattice strain and composition, and a method for the calibration of atomic resolution EDS maps.« less

  12. A new high-κ Al2O3 based metal-insulator-metal antifuse

    NASA Astrophysics Data System (ADS)

    Tian, Min; Zhong, Huicai; Li, Li; Wang, Zhigang

    2018-06-01

    In this paper, a new metal-insulator-metal (MIM) antifuse was fabricated with the high κ Al2O3 deposited by atomic layer deposition (ALD) as the dielectric. On this high κ antifuse structure, the very low on-state resistance was obtained under certain programming conditions. It is the first time that the antifuse on-state resistance has been found decreasing along with the increase of dielectric film thickness, which is attributed to a large current overshoot during breakdown. For the device with a dielectric thickness of 12 nm, very large overshoot current (∼60 mA) was observed and extremely low on-state resistance (∼10 Ω) was achieved.

  13. Unraveling the Origin of Structural Disorder in High Temperature Transition Al2O3: Structure of θ-Al2O3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kovarik, Libor; Bowden, Mark E.; Shi, Dachuan

    The crystallography of transition Al2O3 has been extensively studied in the past due to the advantageous properties of the oxide in catalytic and a range of other technological applications. However, existing crystallographic models are insufficient to describe the structure of many important Al2O3 polymorphs due to their highly disordered nature. In this work, we investigate structure and disorder in high-temperature treated transition Al2O3, and provide a structural description for θ-Al2O3 by using a suite of complementary imaging, spectroscopy and quantum calculation techniques. Contrary to current understanding, our high-resolution imaging shows that θ-Al2O3 is a disordered composite phase of at leastmore » two different end members. By correlating imaging and spectroscopy results with DFT calculations, we propose a model that describes θ-Al2O3 as a disordered intergrowth of two crystallographic variants at the unit cell level. One variant is based on β-Ga2O3, and the other on a monoclinic phase that is closely-related to δ-Al2O3. The overall findings and interpretations afford new insight into the origin of poor crystallinity in transition Al2O3, and also provide new perspectives on structural complexity that can emerge from intergrowth of closely related structural polymorphs.« less

  14. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  15. Structural and electronic properties of Ga2O3-Al2O3 alloys

    NASA Astrophysics Data System (ADS)

    Peelaers, Hartwin; Varley, Joel B.; Speck, James S.; Van de Walle, Chris G.

    2018-06-01

    Ga2O3 is emerging as an important electronic material. Alloying with Al2O3 is a viable method to achieve carrier confinement, to increase the bandgap, or to modify the lattice parameters. However, the two materials have very different ground-state crystal structures (monoclinic β-gallia for Ga2O3 and corundum for Al2O3). Here, we use hybrid density functional theory calculations to assess the alloy stabilities and electronic properties of the alloys. We find that the monoclinic phase is the preferred structure for up to 71% Al incorporation, in close agreement with experimental phase diagrams, and that the ordered monoclinic AlGaO3 alloy is exceptionally stable. We also discuss bandgap bowing, lattice constants, and band offsets that can guide future synthesis and device design efforts.

  16. Comprehensive electrical analysis of metal/Al2O3/O-terminated diamond capacitance

    NASA Astrophysics Data System (ADS)

    Pham, T. T.; Maréchal, A.; Muret, P.; Eon, D.; Gheeraert, E.; Rouger, N.; Pernot, J.

    2018-04-01

    Metal oxide semiconductor capacitors were fabricated using p - type oxygen-terminated (001) diamond and Al2O3 deposited by atomic layer deposition at two different temperatures 250 °C and 380 °C. Current voltage I(V), capacitance voltage C(V), and capacitance frequency C(f) measurements were performed and analyzed for frequencies ranging from 1 Hz to 1 MHz and temperatures from 160 K to 360 K. A complete model for the Metal-Oxide-Semiconductor Capacitors electrostatics, leakage current mechanisms through the oxide into the semiconductor and small a.c. signal equivalent circuit of the device is proposed and discussed. Interface states densities are then evaluated in the range of 1012eV-1cm-2 . The strong Fermi level pinning is demonstrated to be induced by the combined effects of the leakage current through the oxide and the presence of diamond/oxide interface states.

  17. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    NASA Astrophysics Data System (ADS)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  18. Metal-HfO{sub 2}-Ge capacitor: Its enhanced charge trapping properties with S-treated substrate and atomic-layer-deposited HfO{sub 2} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, In-Sung; Jung, Yong Chan; Seong, Sejong

    2015-01-15

    The charge trapping properties of metal-HfO{sub 2}-Ge capacitor as a nonvolatile memory have been investigated with (NH{sub 4}){sub 2}S-treated Ge substrate and atomic-layer-deposited HfO{sub 2} layer. The interfacial layer generated by (NH{sub 4}){sub 2}S-treated Ge substrate reveals a trace of -S- bonding, very sharp interface edges, and smooth surface morphology. The Ru-HfO{sub 2}-Ge capacitor with (NH{sub 4}){sub 2}S-treated Ge substrate shows an enhanced interface state with little frequency dispersion, a lower leakage current, and very reliable properties with the enhanced endurance and retention than Ru-HfO{sub 2}-Ge capacitor with cyclic-cleaned Ge substrate.

  19. The MgO-Al2O3-SiO2 system - Free energy of pyrope and Al2O3-enstatite. [in earth mantle formation

    NASA Technical Reports Server (NTRS)

    Saxena, S. K.

    1981-01-01

    The model of fictive ideal components is used to determine Gibbs free energies of formation of pyrope and Al2O3-enstatite from the experimental data on coexisting garnet and orthopyroxene and orthopyroxene and spinel in the temperature range 1200-1600 K. It is noted that Al2O3 forms an ideal solution with MgSiO3. These thermochemical data are found to be consistent with the Al2O3 isopleths that could be drawn using most recent experimental data and with the reversed experimental data on the garnet-spinel field boundary.

  20. High-quality ZnO inverse opals and related heterostructures as photocatalysts produced by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Long, Jie; Fu, Ming; Li, Caixia; Sun, Cuifeng; He, Dawei; Wang, Yongsheng

    2018-10-01

    ZnO with various nanostructures is widely investigated for high photoelectrochemical (PEC) catalysis performances due to its abundant and inert semiconducting properties with elevated electronic mobility and variable morphologies. Because the solar energy conversion efficiencies could possibly be further enhanced by the introduction of nanophotonic structures with larger surface ratios, high-quality ZnO inverse opals (IOs) were achieved by ALD method using O3 as the oxidant. The intrinsic UV emission peaks and PEC currents of ZnO IOs produced by O3 atomic layer deposition (ALD) method were much improved when compared to those made by H2O ALD and electrodeposition. ALD at higher temperatures (240 °C) can further enhance the crystalline quality and PEC performances. The optimal ALD thickness and filling fraction obtained by controlling ALD cycles, as well as the optimal photonic stop band position obtained by colloidal crystals with different sphere diameters were also discussed. It was found that conformally coated samples with TiO2 protection layers by ALD method using titanium tetrachloride as a precursor enhanced the photochemical stability of ZnO IOs. The photocorrosion was further reduced by inserting ALD Al2O3 inside the TiO2 protection layers. Heterostructured photonic crystals with double-layer IO structures with different pore periodicities were also developed for enhancing the PEC performances.

  1. Design and syntheses of hybrid metal-organic materials based on K3[M(C2O4)33H2O [M(III)=Fe, Al, Cr] metallotectons

    NASA Astrophysics Data System (ADS)

    Sun, Yayong; Zong, Yingxia; Ma, Haoran; Zhang, Ao; Liu, Kang; Wang, Debao; Wang, Wenqiang; Wang, Lei

    2016-05-01

    By using K3[M(C2O4)33H2O [M(III)=Fe, Al, Cr] (C2O42-=oxalate) metallotectons as the starting material, we have synthesized eight novel complexes with formulas [{Fe(C2O4)2(H2O)2}2]·(H-L1)2·H2O 1, [Fe(C2O4)Cl2]·(H2-L2)0.5·(L2)0.5·H2O 2, [{Fe(C2O4)1.5Cl2}2]·(H-L3)43, [Fe2(C2O4)Cl8]·(H2-L4)2·2H2O 4, K[Al(C2O4)3]·(H2-L5)·2H2O 5, K[Al(C2O4)3]·(H-L6)2·2H2O 6, K[Cr(C2O4)32H2O 7, Na[Fe(C2O4)3]·(H-L6)2·2H2O 8 (with L1=4-dimethylaminopyridine, L2=2,3,5,6-tetramethylpyrazine, L3=2-aminobenzimidazole, L4=1,4-bis-(1H-imidazol-1-yl)benzene, L5=1,4-bis((2-methylimidazol-1-yl)methyl)benzene, L6=2-methylbenzimidazole). Their structures have been determined by single-crystal X-ray diffraction analyses, elemental analyses, IR spectra and thermogravimetric analyses. Compound 3 is a 2D H-bonded supramolecular architecture. Others are 3D supramolecular structures. Compound 1 shows a [Fe(C2O4)2(H2O)2]- unit and 3D antionic H-bonded framework. Compound 2 features a [Fe(C2O4)Cl2]- anion and 1D iron-oxalate-iron chain. Compound 3 features a [Fe2(C2O4)3Cl4]4- unit. Compound 4 features distinct [Fe2(C2O4)Cl8]4- units, which are mutual linked by water molecules to generated a 2D H-bonded network. Compound 5 features infinite ladder-like chains constructed by [Al(C2O4)3]3- units and K+ cations. The 1D chains are further extended into 3D antionic H-bonded framework through O-H···O H-bonds. Compounds 6-8 show 2D [KAl(C2O4)3]2- layer, [KCr(C2O4)3]2- layer and [NaFe(C2O4)3]2- layer, respectively.

  2. Postperovskite phase equilibria in the MgSiO3-Al2O3 system.

    PubMed

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-12-09

    We investigate high-P,T phase equilibria of the MgSiO(3)-Al(2)O(3) system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh(2)O(3)(II) phase, present calculations demonstrate that (i) dissolving Al(2)O(3) tends to decrease the postperovskite transition pressure of MgSiO(3) but the effect is not significant ( approximately -0.2 GPa/mol% Al(2)O(3)); (ii) Al(2)O(3) produces the narrow perovskite+postperovskite coexisting P,T area (approximately 1 GPa) for the pyrolitic concentration (x(Al2O3) approximately 6 mol%), which is sufficiently responsible to the deep-mantle D'' seismic discontinuity; (iii) the transition would be smeared (approximately 4 GPa) for the basaltic Al-rich composition (x(Al2O3) approximately 20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh(2)O(3)(II) with increasing the Al concentration involving small displacements of the Mg-site cations.

  3. Electron Scattering and Doping Mechanisms in Solid-Phase-Crystallized In2O3:H Prepared by Atomic Layer Deposition.

    PubMed

    Macco, Bart; Knoops, Harm C M; Kessels, Wilhelmus M M

    2015-08-05

    Hydrogen-doped indium oxide (In2O3:H) has recently emerged as an enabling transparent conductive oxide for solar cells, in particular for silicon heterojunction solar cells because its high electron mobility (>100 cm(2)/(V s)) allows for a simultaneously high electrical conductivity and optical transparency. Here, we report on high-quality In2O3:H prepared by a low-temperature atomic layer deposition (ALD) process and present insights into the doping mechanism and the electron scattering processes that limit the carrier mobility in such films. The process consists of ALD of amorphous In2O3:H at 100 °C and subsequent solid-phase crystallization at 150-200 °C to obtain large-grained polycrystalline In2O3:H films. The changes in optoelectronic properties upon crystallization have been monitored both electrically by Hall measurements and optically by analysis of the Drude response. After crystallization, an excellent carrier mobility of 128 ± 4 cm(2)/(V s) can be obtained at a carrier density of 1.8 × 10(20) cm(-3), irrespective of the annealing temperature. Temperature-dependent Hall measurements have revealed that electron scattering is dominated by unavoidable phonon and ionized impurity scattering from singly charged H-donors. Extrinsic defect scattering related to material quality such as grain boundary and neutral impurity scattering was found to be negligible in crystallized films indicating that the carrier mobility is maximized. Furthermore, by comparison of the absolute H-concentration and the carrier density in crystallized films, it is deduced that <4% of the incorporated H is an active dopant in crystallized films. Therefore, it can be concluded that inactive H atoms do not (significantly) contribute to defect scattering, which potentially explains why In2O3:H films are capable of achieving a much higher carrier mobility than conventional In2O3:Sn (ITO).

  4. Abnormal positive bias stress instability of In–Ga–Zn–O thin-film transistors with low-temperature Al{sub 2}O{sub 3} gate dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Yu-Hong; Yu, Ming-Jiue; Lin, Ruei-Ping

    2016-01-18

    Low-temperature atomic layer deposition (ALD) was employed to deposit Al{sub 2}O{sub 3} as a gate dielectric in amorphous In–Ga–Zn–O thin-film transistors fabricated at temperatures below 120 °C. The devices exhibited a negligible threshold voltage shift (ΔV{sub T}) during negative bias stress, but a more pronounced ΔV{sub T} under positive bias stress with a characteristic turnaround behavior from a positive ΔV{sub T} to a negative ΔV{sub T}. This abnormal positive bias instability is explained using a two-process model, including both electron trapping and hydrogen release and migration. Electron trapping induces the initial positive ΔV{sub T}, which can be fitted using the stretchedmore » exponential function. The breakage of residual AlO-H bonds in low-temperature ALD Al{sub 2}O{sub 3} is triggered by the energetic channel electrons. The hydrogen atoms then diffuse toward the In–Ga–Zn–O channel and induce the negative ΔV{sub T} through electron doping with power-law time dependence. A rapid partial recovery of the negative ΔV{sub T} after stress is also observed during relaxation.« less

  5. Metastable Phase Relations in the System Ca(sub O)-Al2(sub O)3-MgO-TiO(sub 2): Applications to Ca- And Al-Rich Inclusions

    NASA Technical Reports Server (NTRS)

    Keller, L. P.; Han, J.

    2017-01-01

    Introduction: High temperature phases such as corundum, hibonite, grossite, and perovskite are among the earliest phases that condensed in the early solar nebula. Recent work has shown that defect-structured phases occur in some ultrarefractory inclusions as metastable, possibly more kinetically-favored alternatives to the thermodynamically predicted stable phase assemblages [1-4]. For example, Han et al. have shown that non-stoichiometry in hibonite is accommodated by extra "spinel" blocks in the structure instead of the equilibrium assemblages hibonite+corundum or hibonite+spinel. To explore these relations, we have conducted a series of experiments in the system CaO-Al2O3- MgO-TiO2. Here we discuss the compositions and mineralogy of the experimental samples and how they relate to phases in refractory inclusions with a focus on perovskite and spinel. Methods: For the series of annealing studies, a CaO-Al2O3 eutectic melt is allowed to react with a pure alumina crucible at 1,530degC for either 4 hours or 5 days, followed by quenching in air. Later experiments were similar except that additions of 5 wt% MgO, and CaTiO(sub 3) were used to explore the effect of minor elements on the phase assemblages. The experimental conditions resulted in reaction zones approximately 100-300 ?m wide consisting of a hibonite layer immediately adjacent to the corundum, followed by a grossite layer, and finally krotite with residual quenched melt. For the experiments with Mg, spinel is distributed in all layers but is mainly concentrated in the krotite layer. In the Ti-bearing experiments, perovskite precipitated in association with the krotite and residual melt. In addition to the experiments, we also analyzed perovskite grains in the FUN inclusion SHAL [5] and a large compact type A CAI from Allende. The experiments and refractory inclusions were analyzed using a JEOL 7600F SEM and quantitative analyses were obtained using the JEOL 8530F field-emission electron microprobe.

  6. Memory effect, resolution, and efficiency measurements of an Al2O3 coated plastic scintillator used for radioxenon detection

    NASA Astrophysics Data System (ADS)

    Bläckberg, L.; Fritioff, T.; Mårtensson, L.; Nielsen, F.; Ringbom, A.; Sjöstrand, H.; Klintenberg, M.

    2013-06-01

    A cylindrical plastic scintillator cell, used for radioxenon monitoring within the verification regime of the Comprehensive Nuclear-Test-Ban Treaty, has been coated with 425 nm Al2O3 using low temperature Atomic Layer Deposition, and its performance has been evaluated. The motivation is to reduce the memory effect caused by radioxenon diffusing into the plastic scintillator material during measurements, resulting in an elevated detection limit. Measurements with the coated detector show both energy resolution and efficiency comparable to uncoated detectors, and a memory effect reduction of a factor of 1000. Provided that the quality of the detector is maintained for a longer period of time, Al2O3 coatings are believed to be a viable solution to the memory effect problem in question.

  7. Capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode

    NASA Astrophysics Data System (ADS)

    Gawri, Isha; Sharma, Mamta; Jindal, Silky; Singh, Harpreet; Tripathi, S. K.

    2018-05-01

    The present paper reports the capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode using chemical bath deposition method. Here anodic alumina layer prepared using electrolytic deposition method on Al substrate is used as insulating material. Using the capacitance-voltage variation at a fixed frequency, the different parameters such as Depletion layer width, Barrier height, Built-in voltage and Carrier concentration has been calculated at room temperature as well as at temperature range from 123 K to 323 K. With the increase in temperature the barrier height and depletion layer width follow a decreasing trend. Therefore, the capacitance-voltage characterization at different temperatures characterization provides strong evidence that the properties of MIS diode are primarily affected by diode parameters.

  8. ZnO-based ultra-violet light emitting diodes and nanostructures fabricated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Chen, Miin-Jang; Yang, Jer-Ren; Shiojiri, Makoto

    2012-07-01

    We have investigated ZnO-based light-emitting diodes (LEDs) fabricated by atomic layer deposition (ALD), demonstrating that ALD is one of the noteworthy techniques to prepare high-quality ZnO required for ultraviolet (UV) photonic devices. Here, we review our recent investigations on different ZnO-based heterojunction LEDs such as n-ZnO/p-GaN LEDS, n-ZnO:Al/ZnO nanodots-SiO2 composite/p-GaN LEDS, n-ZnO/ZnO nanodots-SiO2 composite/p-AlGaN LEDs, n-ZnO:Al/i-ZnO/p-SiC(4H) LEDs, and also on ZnO-based nanostructures including ZnO quantum dots embedded in SiO2 nanoparticle layer, ZnO nanopillars on sapphire substrates, Al-doped ZnO films on sapphire substrate and highly (0 0 0 1)-oriented ZnO films on amorphous glass substrate. The latest investigation also demonstrated p-type ZnO:P films prepared on amorphous silica substrates, which allow us to fabricate ZnO-based homojunction LEDs. These devices and structures were studied by x-ray diffraction and various analytical electron microscopy observations as well as electric and electro-optical measurements.

  9. Sintering of (Ni,Mg)(Al,Fe)2O4 Materials and their Corrosion Process in Na3AlF6-AlF3-K3AlF6 Electrolyte

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Yang, Jianhong; Sang, Shaobai; Wang, Qinghu

    2017-06-01

    The application of ledge-free sidewalls in the Hall-Héroult cells can potentially reduce the energy requirement of aluminum production by about 30 pct (Nightingale et al. in J Eur Ceram, 33:2761-2765, 2013). However, this approach poses great material challenges since such sidewalls are in direct contact with corrosive electrolyte. In the present paper, (Ni,Mg)(Al,Fe)2O4 materials were prepared using fused magnesia, reactive alumina, nickel oxide, and iron oxide powders as the starting materials. The sintering behaviors of specimens as well as their corrosion resistance to molten electrolyte have been investigated by means of X-ray diffraction and scanning electron microscope. The results show that after firing at temperature ranging from 1673 K (1400 °C) up to 1873 K (1600 °C), all the specimens prepared are composed of single-phase (Ni,Mg)(Al,Fe)2O4 composite spinel, the lattice parameter of which increases with increasing Fe3+ ion concentration. Increasing the iron oxide content enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed smaller pores in the matrix. The corrosion tests show that corrosion layers consist of fluoride and Ni(Al,Fe)2O4 composite spinel grains are produced in specimens with Fe/Al mole ratio no more than 1, whereas dense Ni(Al,Fe)2O4 composite spinel layers are formed on the surface of the specimens with Fe/Al mole ratio more than 1. The dense Ni(Al,Fe)2O4 composite spinel layers formed improve the corrosion resistance of the specimens by inhibiting the infiltration of electrolyte and hindering the chemical reaction between the specimen and electrolyte.

  10. Epitaxial growth of high quality SrFeO3 films on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7

    NASA Astrophysics Data System (ADS)

    Hong, Deshun; Liu, Changjiang; Pearson, John; Bhattacharya, Anand

    2017-12-01

    The growth of strontium ferrite SrFeO3 films with a stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report the layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film's resistivity decreased from 750 μΩ c m to 150 μΩ c m , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperature resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and the out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.

  11. Epitaxial growth of high quality SrFeO 3 films on (001) oriented (LaAlO 3 ) 0.3 (Sr 2 TaAlO 6 ) 0.7

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Deshun; Liu, Changjiang; Pearson, John

    2017-12-04

    Growth of strontium ferrite SrFeO3 films with stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film’s resistivity decreased from 750 Ω ∙ to 150 Ω ∙ , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperaturemore » resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.« less

  12. MCrAlY bond coat with enhanced yttrium

    DOEpatents

    Jablonski, Paul D.; Hawk, Jeffrey A.

    2016-08-30

    One or more embodiments relates to a method of producing an MCrAlY bond coat comprising an MCrAlY layer in contact with a Y--Al.sub.2O.sub.3 layer. The MCrAlY layer is comprised of a .gamma.-M solid solution, a .beta.-MAl intermetallic phase, and Y-type intermetallics. The Y--Al.sub.2O.sub.3 layer is comprised of Yttrium atoms coordinated with oxygen atoms comprising the Al.sub.2O.sub.3 lattice. The method comprises depositing an MCrAlY material on a substrate, applying an Y.sub.2O.sub.3 paste, and heating the substrate in a non-oxidizing atmosphere at a temperature between 400-1300.degree. C. for a time sufficient to generate the Y--Al.sub.2O.sub.3 layer. Both the MCrAlY layer and the Y--Al.sub.2O.sub.3 layer have a substantial absence of Y.sub.2O.sub.3, YAG, and YAP phases.

  13. In situ DRIFTS study of O3 adsorption on CaO, γ-Al2O3, CuO, α-Fe2O3 and ZnO at room temperature for the catalytic ozonation of cinnamaldehyde

    NASA Astrophysics Data System (ADS)

    Wu, Jianfeng; Su, Tongming; Jiang, Yuexiu; Xie, Xinling; Qin, Zuzeng; Ji, Hongbing

    2017-08-01

    In situ DRIFTS were conducted to identify adsorbed ozone and/or adsorbed oxygen species on CaO, ZnO, γ-Al2O3, CuO and α-Fe2O3 surfaces at room temperature. Samples were characterized by means of TG, XRD, N2 adsorption-desorption, pyridine-IR, nitrobenzene-IR, chloroform-IR, and CO2-TPD. Pyridine-DRIFTS measurements evidence two kinds of acid sites in all the samples. Nitrobenzene, chloroform-DRIFTS, and CO2-TPD reveal that there are large amounts of medium-strength base sites on all the metal oxides, and only CaO, ZnO, and γ-Al2O3 have strong base sites. And the benzaldehyde selectivity was increased in the same order of the alkalinity of the metal oxides. With weaker sites, ozone molecules form coordinative complexes bound via the terminal oxygen atom, observed by vibrational frequencies at 2095-2122 and 1026-1054 cm-1. The formation of ozonide O3- at 790 cm-1, atomic oxygen at 1317 cm-1, and superoxide O2- at 1124 cm-1 was detected; these species are believed to be intermediates of O3 decomposition on strong acid/base sites. The adsorption of ozone on metal oxides is a weak adsorption, and other gases, such as CO2, will compete with O3 adsorption. The mechanism of cinnamaldehyde ozonation at room temperature over CaO shows that cinnamaldehyde can not only be oxidized into cinnamic acid, but also be further oxidized into benzaldehyde, benzoic acid, maleic anhydride, and ultimately mineralized to CO2 in the presence of O3.

  14. Self-Assembled Layered Supercell Structure of Bi2AlMnO6 with Strong Room-Temperature Multiferroic Properties.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Leigang; Boullay, Philippe; Lu, Ping

    2017-02-01

    Room-temperature (RT) multiferroics, possessing ferroelectricity and ferromagnetism simultaneously at RT, hold great promise in miniaturized devices including sensors, actuators, transducers, and multi-state memories. In this work, we report a novel 2D layered RT multiferroic system with self-assembled layered supercell structure consisting of two mismatch-layered sub-lattices of [Bi 3O 3+δ] and [MO 2] 1.84 (M=Al/Mn, simply named as BAMO), i.e., alternative layered stacking of two mutually incommensurate sublattices made of a three-layer-thick Bi-O slab and a one-layer-thick Al/Mn-O octahedra slab along the out-of-plane direction. Strong room-temperature multiferroic responses, e.g., ferromagnetic and ferroelectric properties, have been demonstrated and attributed to the highlymore » anisotropic 2D nature of the non-ferromagnetic and ferromagnetic sublattices which are highly mismatched. The work demonstrates an alternative design approach for new 2D layered oxide materials that hold promises as single-phase multiferroics, 2D oxides with tunable bandgaps, and beyond.« less

  15. In-situ XAFS study for calcination process of Cr catalyst supported on γ-Al2O3 and SiO2

    NASA Astrophysics Data System (ADS)

    Watanabe, T.; Ikeda, K.; Katayama, M.; Inada, Y.

    2016-05-01

    The catalytic performance is largely affected by the oxidation state of supported Cr species, and its control changes the activity of Cr catalysts and the selectivity of products. In this study, the calcination process of the supported Cr catalysts on γ-Al2O3 and SiO2 was investigated by in-situ XAFS spectroscopy. The hydrate species was first supported by the impregnation method and was converted to CrO3 via Cr2O3 during the calcination process on both supporting materials. It was found that the temperature to complete the oxidation from Cr2O3 to CrO3 on SiO2 was higher than that on γ-Al2O3. The similarity of the interatomic distance between the surface oxygen atoms of the intermediate Cr2O3 species to that of SiO2 contributes to the stabilization of Cr2O3 on SiO2 during the calcination process.

  16. Study on Preparing Al2O3 Particles Reinforced ZL109 Composite by in Situ Reaction of Fe2O3/Al System

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Yu, Huashun; Zhao, Qi; Wang, Haitao; Min, Guanghui

    Al2O3 particles reinforced ZL109 composite was prepared by in situ reaction between Fe2O3 and Al. The phases were identified by XRD and the microstructures were observed by SEM and TEM. The Al2O3 particles in sub-micron size distribute uniformly in the matrix and Fe displaced from the in situ reaction forms net-like alloy phases with Cu, Ni, Al, Mn ect. The hardness and the tensile strength at room temperature of the composites have a small increase compared with the matrix. However, the tensile strength at 350°C can reach 92.18 MPa, which is 18.87 MPa higher than that of the matrix. The mechanism of the reaction in the Fe2O3/Al system was studied by DSC. The reaction between Fe2O3 and Al involves two steps. The first step in which Fe2O3 reacts with Al to form FeO and Al2O3 takes place at the matrix alloy melting temperature. The second step in which FeO reacts with Al to form Fe and Al2O3 takes place at a higher temperature.

  17. Combustion synthesis of AlB2-Al2O3 composite powders with AlB2 nanowire structures

    NASA Astrophysics Data System (ADS)

    Yang, Pan; Xiao, Guoqing; Ding, Donghai; Ren, Yun; Yang, Shoulei; Lv, Lihua; Hou, Xing

    2018-05-01

    Using of Al and B2O3 powders as starting materials, and Mg-Al alloy as additives, AlB2-Al2O3 composite powders with AlB2 nanowire structures were successfully fabricated via combustion synthesis method in Ar atmosphere at a pressure of 1.5 MPa. The effect of different amount of Mg-Al alloy on the phase compositions and morphology of the combustion products was investigated. The results revealed that AlB2 and Al2O3 increased, whereas Al decreased with the content of Mg-Al alloy increasing. The impurities MgAl2O4 and AlB12 would exist in the sample with adding of 18 wt% Mg-Al alloy. Interestingly, FESEM/TEM/EDS results showed that AlB2 nanowires were observed in the products when the content of Mg-Al alloy is 6 wt% and 12 wt%. The more AlB2 nanowires can be found as the content of Mg-Al alloy increased. And the yield of AlB2 nanowires with the diameter of about 200 nanometers (nm) and the length up to several tens of micrometers (μm) in the combustion product is highest when the content of Mg-Al alloy is 12 wt%. The vapor, such as Mg-Al (g), B2O2 (g), AlO (g) and Al2O (g), produced during the process of combustion synthesis, reacted with each other to yield AlB2 nanowires by vapor-solid (VS) mechanism and the corresponding model was also proposed.

  18. Conducting LaAlO3/SrTiO3 heterointerfaces on atomically-flat substrates prepared by deionized-water

    PubMed Central

    Connell, J. G.; Nichols, J.; Gruenewald, J. H.; Kim, D.-W.; Seo, S. S. A.

    2016-01-01

    We have investigated how the recently-developed water-leaching method for atomically-flat SrTiO3 (STO) substrates affects the transport properties of LaAlO3 (LAO) and STO heterointerfaces. Using pulsed laser deposition at identical growth conditions, we have synthesized epitaxial LAO thin-films on two different STO substrates, which are prepared by water-leaching and buffered hydrofluoric acid (BHF) etching methods. The structural, transport, and optical properties of LAO/STO heterostructures grown on water-leached substrates show the same high-quality as the samples grown on BHF-etched substrates. These results indicate that the water-leaching method can be used to grow complex oxide heterostructures with atomically well-defined heterointerfaces without safety concerns. PMID:27033248

  19. High Temperature Mechanical Characterization and Analysis of Al2O3 /Al2O3 Composition

    NASA Technical Reports Server (NTRS)

    Gyekenyesi, John Z.; Jaskowiak, Martha H.

    1999-01-01

    Sixteen ply unidirectional zirconia coated single crystal Al2O3 fiber reinforced polycrystalline Al2O3 was tested in uniaxial tension at temperatures to 1400 C in air. Fiber volume fractions ranged from 26 to 31%. The matrix has primarily open porosity of approximately 40%. Theories for predicting the Young's modulus, first matrix cracking stress, and ultimate strength were applied and evaluated for suitability in predicting the mechanical behavior of Al2O3/Al2O3 composites. The composite exhibited pseudo tough behavior (increased area under the stress/strain curve relative to monolithic alumina) from 22 to 1400 C. The rule-of-mixtures provides a good estimate of the Young's modulus of the composite using the constituent properties from room temperature to approximately 1200 C for short term static tensile tests in air. The ACK theory provides the best approximation of the first matrix cracking stress while accounting for residual stresses at room temperature. Difficulties in determining the fiber/matrix interfacial shear stress at high temperatures prevented the accurate prediction of the first matrix cracking stress above room temperature. The theory of Cao and Thouless, based on Weibull statistics, gave the best prediction for the composite ultimate tensile strength.

  20. Photocatalytic C60-amorphous TiO2 composites prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Justh, Nóra; Firkala, Tamás; László, Krisztina; Lábár, János; Szilágyi, Imre Miklós

    2017-10-01

    Nanocomposites of TiO2 and single fullerene (C60) molecule are prepared by atomic layer deposition (ALD). To create nucleation sites for the ALD reaction, the bare fullerene is functionalized by H2SO4/HNO3 treatment, which results in C60-SO3H. After a NaOH washing step the intermediate hydrolyzes into C60sbnd OH. This process and the consecutive ALD growth of TiO2 are monitored with FTIR, TG/DTA-MS, EDX, Raman, FTIR, XRD, and TEM measurements. Although the TiO2 grown by ALD at 80 and 160 °C onto fullerol is amorphous it enhances the decomposition of methyl orange under UV exposure. This study proves that amorphous TiO2 grown by low temperature ALD has photocatalytic activity, and it can be used e.g. as self-cleaning coatings also on heat sensitive substrates.

  1. Corrosion and wear properties of Zn-Ni and Zn-Ni-Al2O3 multilayer electrodeposited coatings

    NASA Astrophysics Data System (ADS)

    Shourgeshty, M.; Aliofkhazraei, M.; Karimzadeh, A.; Poursalehi, R.

    2017-09-01

    Zn-Ni and Zn-Ni-Al2O3 multilayer coatings with 32, 128, and 512 layers were electroplated on a low carbon steel substrate by pulse electrodeposition under alternative changes in the duty cycle between 20% and 90% and a constant frequency of 250 Hz. Corrosion behavior was investigated by potentiodynamic polarization test and electrochemical impedance spectroscopy (EIS) and wear behavior of the coatings was evaluated by a pin on disk test. The results showed that the corrosion resistance of coatings was improved by increasing the number of layers (the decrease in layer thickness) as well as the presence of alumina nanoparticles. The lowest corrosion current density corresponds to Zn-Ni-Al2O3 with 512 layers equal to 3.74 µA cm-2. Increasing the number of layers in the same total thickness and the presence of alumina nanoparticles within the coating also leads to the improvement in wear resistance of the samples. The coefficient of friction decreased with increasing number of layers and the lowest coefficient of friction (0.517) corresponds to Zn-Ni-Al2O3 coating with 512 layers. Wear mechanism of Zn-Ni coatings with a different number of layers is adhesive while in the Zn-Ni-Al2O3 coatings wear mechanism is a combination of adhesive and abrasive wear, where by increasing the number of the layers to 512 abrasive wear mechanism becomes dominant.

  2. Uncovering a new quasi-2D CuO2 plane between the YBa2Cu3O7 and CeO2 buffer layer of coated conductors

    NASA Astrophysics Data System (ADS)

    Li, Zhi-Xin; Cao, Jin-Jin; Gou, Xiao-Fan; Wang, Tian-Ge; Xue, Feng

    2018-01-01

    We report a discovery of the quasi-two-dimensional (quasi-2D) CuO2 plane between the superconductor YBa2Cu3O7 (YBCO) and CeO2 buffer layer (mostly used in the fabrication) of coated conductors through the atomistic computer simulations with the molecular dynamics (MD) and first-principle calculations. For an YBCO coated conductor with multilayer structures, the buffer layers deposited onto a substrate are mainly considered to transfer a strong biaxial texture from the substrate to the YBCO layer. To deeply understand the tuning mechanism of the texture transfer, exploring the complete atomic-level picture of the structure between the YBa2Cu3O7/CeO2 interfaces is firstly required. However, the related observation data have not been available due to some big challenges of experimental techniques. With the MD simulations, having tested the accuracy of the potential functions for the YBa2Cu3O7/CeO2 interface, we constructed a total of 54 possible atom stacking models of the interface and identified its most appropriate and stable structure according to the criterion of the interface adhesion energy and the coherent characterization. To further verify the stability of the identified structure, we performed the first-principle calculations to obtain the adhesion energy and developed the general knowledge of the interface structure. Finally, a coherent interface formed with a new built quasi-2D CuO2 plane that is structurally similar to the CuO2 plane inside bulk YBCO was determined.

  3. Structural study of Al2O3-Na2O-CaO-P2O5 bioactive glasses as a function of aluminium content.

    PubMed

    Smith, J M; King, S P; Barney, E R; Hanna, J V; Newport, R J; Pickup, D M

    2013-01-21

    Calcium phosphate based biomaterials are extensively used in the context of tissue engineering: small changes in composition can lead to significant changes in properties allowing their use in a wide range of applications. Samples of composition (Al(2)O(3))(x)(Na(2)O)(0.11-x)(CaO)(0.445)(P(2)O(5))(0.445), where x = 0, 0.03, 0.05, and 0.08, were prepared by melt quenching. The atomic-scale structure has been studied using neutron diffraction and solid state (27)Al MAS NMR, and these data have been rationalised with the determined density of the final glass product. With increasing aluminium concentration the density increases initially, but beyond about 3 mol. % Al(2)O(3) the density starts to decrease. Neutron diffraction data show a concomitant change in the aluminium speciation, which is confirmed by (27)Al MAS NMR studies. The NMR data reveal that aluminium is present in 4, 5, and 6-fold coordination and that the relative concentrations of these environments change with increasing aluminium concentration. Materials containing aluminium in 6-fold coordination tend to have higher densities than analogous materials with the aluminium found in 4-fold coordination. Thus, the density changes may readily be explained in terms of an increase in the relative concentration of 4-coordinated aluminium at the expense of 6-fold aluminium as the Al(2)O(3) content is increased beyond 3 mol. %.

  4. Activation energy of negative fixed charges in thermal ALD Al{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kühnhold-Pospischil, S.; Institute of Physical Chemistry, Albert-Ludwigs-Universität Freiburg, Albertstr. 21, 79104 Freiburg; Freiburg Materials Research Center FMF, Albert-Ludwigs-Universität Freiburg, Stefan-Meier-Str. 21, 79104 Freiburg

    2016-08-08

    A study of the thermally activated negative fixed charges Q{sub tot} and the interface trap densities D{sub it} at the interface between Si and thermal atomic-layer-deposited amorphous Al{sub 2}O{sub 3} layers is presented. The thermal activation of Q{sub tot} and D{sub it} was conducted at annealing temperatures between 220 °C and 500 °C for durations between 3 s and 38 h. The temperature-induced differences in Q{sub tot} and D{sub it} were measured using the characterization method called corona oxide characterization of semiconductors. Their time dependency were fitted using stretched exponential functions, yielding activation energies of E{sub A} = (2.2 ± 0.2) eV and E{submore » A} = (2.3 ± 0.7) eV for Q{sub tot} and D{sub it}, respectively. For annealing temperatures from 350 °C to 500 °C, the changes in Q{sub tot} and D{sub it} were similar for both p- and n-type doped Si samples. In contrast, at 220 °C the charging process was enhanced for p-type samples. Based on the observations described in this contribution, a charging model leading to Q{sub tot} based on an electron hopping process between the silicon and Al{sub 2}O{sub 3} through defects is proposed.« less

  5. Preparation of highly ordered mesoporous Al2O3/TiO2 and its application in dye-sensitized solar cells.

    PubMed

    Kim, Jae-Yup; Kang, Soon Hyung; Kim, Hyun Sik; Sung, Yung-Eun

    2010-02-16

    Highly ordered mesoporous Al(2)O(3)/TiO(2) was prepared by sol-gel reaction and evaporation-induced self-assembly (EISA) for use in dye-sensitized solar cells. The prepared materials had two-dimensional, hexagonal pore structures with anatase crystalline phases. The average pore size of mesoporous Al(2)O(3)/TiO(2) remained uniform and in the range of 6.33-6.58 nm while the Brunauer-Emmett-Teller (BET) surface area varied from 181 to 212 m(2)/g with increasing the content of Al(2)O(3). The incorporation of Al content retarded crystallite growth, thereby decreasing crystallite size while simultaneously improving the uniformity of pore size and volume. The thin Al(2)O(3) layer was located mostly on the mesopore surface, as confirmed by X-ray photoelectron spectroscopy (XPS). The Al(2)O(3) coating on the mesoporous TiO(2) film contributes to the essential energy barrier which blocks the charge recombination process in dye-sensitized solar cells. Mesoporous Al(2)O(3)/TiO(2) (1 mol % Al(2)O(3)) exhibited enhanced power conversion efficiency (V(oc) = 0.74 V, J(sc) = 15.31 mA/cm(2), fill factor = 57%, efficiency = 6.50%) compared to pure mesoporous TiO(2) (V(oc) = 0.72 V, J(sc) = 16.03 mA/cm(2), fill factor = 51%, efficiency = 5.88%). Therefore, the power conversion efficiency was improved by approximately 10.5%. In particular, the increase in V(oc) and fill factor resulted from the inhibition of charge recombination and the improvement of pore structure.

  6. Influence of LaSiOx passivation interlayer on band alignment between PEALD-Al2O3 and 4H-SiC determined by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Wang, Qian; Cheng, Xinhong; Zheng, Li; Shen, Lingyan; Zhang, Dongliang; Gu, Ziyue; Qian, Ru; Cao, Duo; Yu, Yuehui

    2018-01-01

    The influence of lanthanum silicate (LaSiOx) passivation interlayer on the band alignment between plasma enhanced atomic layer deposition (PEALD)-Al2O3 films and 4H-SiC was investigated by high resolution X-ray photoelectron spectroscopy (XPS). An ultrathin in situ LaSiOx interfacial passivation layer (IPL) was introduced between the Al2O3 gate dielectric and the 4H-SiC substrate to enhance the interfacial characteristics. The valence band offset (VBO) and corresponding conduction band offset (CBO) for the Al2O3/4H-SiC interface without any passivation were extracted to be 2.16 eV and 1.49 eV, respectively. With a LaSiOx IPL, a VBO of 1.79 eV and a CBO of 1.86 eV could be obtained across the Al2O3/4H-SiC interface. The difference in the band alignments was dominated by the band bending or band shift in the 4H-SiC substrate as a result of different interfacial layers (ILs) formed at the interface. This understanding of the physical details of the band alignment could be a good foundation for Al2O3/LaSiOx/4H-SiC heterojunctions applied in the 4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs).

  7. Reaction of silanes in supercritical CO2 with TiO2 and Al2O3.

    PubMed

    Gu, Wei; Tripp, Carl P

    2006-06-20

    Infrared spectroscopy was used to investigate the reaction of silanes with TiO2 and Al2O3 using supercritical CO2 (Sc-CO2) as a solvent. It was found that contact of Sc-CO2 with TiO2 leads to partial removal of the water layer and to the formation of carbonate, bicarbonate, and carboxylate species on the surface. Although these carbonate species are weakly bound to the TiO2 surface and can be removed by a N2 purge, they poison the surface, resulting in a lower level of reaction of silanes with TiO2. Specifically, the amount of hexamethyldisilazane adsorbed on TiO2 is about 10% of the value obtained when the reaction is performed from the gas phase. This is not unique to TiO2, as the formation of carbonate species also occurs upon contact of Al2O3 with Sc-CO2 and this leads to a lower level of reaction with hexamethyldisilazane. This is in contrast to reactions of silanes on SiO2 where Sc-CO2 has several advantages over conventional gaseous or nonaqueous methods. As a result, caution needs to be applied when using Sc-CO2 as a solvent for silanization reactions on oxides other than SiO2.

  8. Li.sub.2 O-Al.sub.2 O.sub.3 -SiO.sub.2 glass ceramic-aluminum containing austenitic stainless steel composite body and a method of producing the same

    DOEpatents

    Cassidy, Roger T.

    1990-05-01

    The present invention relates to a hermetically sealed Li.sub.2 O-Al.sub.2 O.sub.3 -SiO.sub.2 glass ceramic-aluminum containing stainless steel composite body and a method of producing the body. The composite body includes an oxide interfacial region between the glass ceramic and metal, wherein the interfacial region consists essentially of an Al.sub.2 O.sub.3 layer. The interfacial Al.sub.2 O.sub.3 region includes constituents of both the metal and glass ceramic.

  9. Electrical conduction mechanism in La3Ta0.5Ga5.3Al0.2O14 single crystals

    PubMed Central

    Yaokawa, Ritsuko; Aota, Katsumi; Uda, Satoshi

    2013-01-01

    The electrical conduction mechanism in La3Ta0.5Ga5.3Al0.2O14 (LTGA) single crystals was studied by nonstoichiometric defect formation during crystal growth. Since stoichiometric LTGA is not congruent, the single crystal grown from the stoichiometric melt was Ta-poor and Al-rich, where Al atoms were substituted not only in Ga sites but also in Ta sites. The population of the substitutional Al in Ta sites increased with increasing oxygen partial pressure during growth (growth-pO2) in the range from 0.01 to 1 atm. Below 600 °C, substitutional Al atoms in Ta sites were ionized to yield holes, and thus the electrical conductivity of the LTGA crystal depended on temperature and the growth-pO2. The dependence of the electrical conductivity on the growth-pO2 decreased as temperature increased. The temperature rise increases ionic conductivity, for which the dominant carriers are oxygen defects formed by the anion Frenkel reaction. PMID:24396153

  10. Engineering the surface of LiCoO 2 electrodes using atomic layer deposition for stable high-voltage lithium ion batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xie, Jin; Zhao, Jie; Liu, Yayuan

    Here, developing advanced technologies to stabilize positive electrodes of lithium ion batteries under high-voltage operation is becoming increasingly important, owing to the potential to achieve substantially enhanced energy density for applications such as portable electronics and electrical vehicles. Here, we deposited chemically inert and ionically conductive LiAlO 2 interfacial layers on LiCoO 2 electrodes using the atomic layer deposition technique. During prolonged cycling at high-voltage, the LiAlO 2 coating not only prevented interfacial reactions between the LiCoO 2 electrode and electrolyte, as confirmed by electrochemical impedance spectroscopy and Raman characterizations, but also allowed lithium ions to freely diffuse into LiCoOmore » 2 without sacrificing the power density. As a result, a capacity value close to 200 mA·h·g –1 was achieved for the LiCoO 2 electrodes with commercial level loading densities, cycled at the cut-off potential of 4.6 V vs. Li +/Li for 50 stable cycles; this represents a 40% capacity gain, compared with the values obtained for commercial samples cycled at the cut-off potential of 4.2 V vs. Li +/Li.« less

  11. Engineering the surface of LiCoO 2 electrodes using atomic layer deposition for stable high-voltage lithium ion batteries

    DOE PAGES

    Xie, Jin; Zhao, Jie; Liu, Yayuan; ...

    2017-07-25

    Here, developing advanced technologies to stabilize positive electrodes of lithium ion batteries under high-voltage operation is becoming increasingly important, owing to the potential to achieve substantially enhanced energy density for applications such as portable electronics and electrical vehicles. Here, we deposited chemically inert and ionically conductive LiAlO 2 interfacial layers on LiCoO 2 electrodes using the atomic layer deposition technique. During prolonged cycling at high-voltage, the LiAlO 2 coating not only prevented interfacial reactions between the LiCoO 2 electrode and electrolyte, as confirmed by electrochemical impedance spectroscopy and Raman characterizations, but also allowed lithium ions to freely diffuse into LiCoOmore » 2 without sacrificing the power density. As a result, a capacity value close to 200 mA·h·g –1 was achieved for the LiCoO 2 electrodes with commercial level loading densities, cycled at the cut-off potential of 4.6 V vs. Li +/Li for 50 stable cycles; this represents a 40% capacity gain, compared with the values obtained for commercial samples cycled at the cut-off potential of 4.2 V vs. Li +/Li.« less

  12. Elastic and fracture properties of free-standing amorphous ALD Al2O3 thin films measured with bulge test

    NASA Astrophysics Data System (ADS)

    Rontu, Ville; Nolvi, Anton; Hokkanen, Ari; Haeggström, Edward; Kassamakov, Ivan; Franssila, Sami

    2018-04-01

    We have investigated elastic and fracture properties of amorphous Al2O3 thin films deposited by atomic layer deposition (ALD) with bulge test technique using a free-standing thin film membrane and extended applicability of bulge test technique. Elastic modulus was determined to be 115 GPa for a 50 nm thick film and 170 GPa for a 15 nm thick film. Residual stress was 142 MPa in the 50 nm Al2O3 film while it was 116 MPa in the 15 nm Al2O3 film. Density was 3.11 g cm‑3 for the 50 nm film and 3.28 g cm‑3 for the 15 nm film. Fracture strength at 100 hPa s‑1 pressure ramp rate was 1.72 GPa for the 50 nm film while for the 15 nm film it was 4.21 GPa, almost 2.5-fold. Fracture strength was observed to be positively strain-rate dependent. Weibull moduli of these films were very high being around 50. The effective volume of a circular film in bulge test was determined from a FEM model enabling future comparison of fracture strength data between different techniques.

  13. Application of the method of images on electrostatic phenomena in aqueous Al2O3 and ZrO2 suspensions.

    PubMed

    Cordelair, Jens; Greil, Peter

    2003-09-15

    A new solution for the Poisson equation for the diffuse part of the double layer around spherical particles will be presented. The numerical results are compared with the solution of the well-known DLVO theory. The range of the diffuse layer differs considerably in the two theories. Also, the inconsistent representation of the surface and diffuse layer charge in the DLVO theory do not occur in the new theory. Experimental zeta potential measurements were used to determine the charge of colloidal Al2O3 and ZrO2 particles. It is shown that the calculated charge can be interpreted as a superposition of independent H+ and OH- adsorption isotherms. The corresponding Langmuir adsorption isotherms are taken to model the zeta potential dependence on pH. In the vicinity of the isoelectric point the model fits well with the experimental data, but at higher ion concentrations considerable deviations occur. The deviations are discussed. Furthermore, the numerical results for the run of the potential in the diffuse part of the double layer were used to determine the electrostatic interaction potential between the particles in correlation with the zeta potential measurements. The corresponding total interaction potentials, including the van der Waals attraction, were taken to calculate the coagulation half-life for a suspension with a particle loading of 2 vol%. It is shown that stability against coagulation is maintained for Al2O3 particles in the pH region between 3.3 and 7 and for ZrO2 only around pH 5. Stability against flocculation can be achieved in the pH regime between 4.5 and 7 for Al2O3, while the examined ZrO2 particles are not stable against flocculation in aqueous suspensions.

  14. Fabrication and characterization of Al{sub 2}O{sub 3} /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ruiying, E-mail: ryzhang2008@sinano.ac.cn; State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 865 Changning Road, Shanghai 200050 China; Zhu, Jian

    2015-12-15

    We report on our fabrication and characterization of Al{sub 2}O{sub 3}/Si composite nanodome (CND) structures, which is composed of Si nanodome structures with a conformal cladding Al{sub 2}O{sub 3} layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al{sub 2}O{sub 3}thin film coating using atomic layer deposition (ALD) to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0°more » to 45° is achieved when the Al{sub 2}O{sub 3} film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al{sub 2}O{sub 3} film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10{sup −9} A/cm{sup 2} over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiO{sub x} layer formed between the interface of Si and the Al{sub 2}O{sub 3} film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al{sub 2}O{sub 3} coated CND structures is a truly viable approach to achieving higher

  15. Oxide-based materials by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek; Pietruszka, Rafał; Kaszewski, Jarosław; Witkowski, Bartłomiej S.; Gierałtowska, Sylwia; Wachnicki, Łukasz; Godlewski, Michał M.; Slonska, Anna; Gajewski, Zdzisław

    2017-02-01

    Thin films of wide band-gap oxides grown by Atomic Layer Deposition (ALD) are suitable for a range of applications. Some of these applications will be presented. First of all, ALD-grown high-k HfO2 is used as a gate oxide in the electronic devices. Moreover, ALD-grown oxides can be used in memory devices, in transparent transistors, or as elements of solar cells. Regarding photovoltaics (PV), ALD-grown thin films of Al2O3 are already used as anti-reflection layers. In addition, thin films of ZnO are tested as replacement of ITO in PV devices. New applications in organic photovoltaics, electronics and optoelectronics are also demonstrated Considering new applications, the same layers, as used in electronics, can also find applications in biology, medicine and in a food industry. This is because layers of high-k oxides show antibacterial activity, as discussed in this work.

  16. Kinetics of Al + H2O reaction: theoretical study.

    PubMed

    Sharipov, Alexander; Titova, Nataliya; Starik, Alexander

    2011-05-05

    Quantum chemical calculations were carried out to study the reaction of Al atom in the ground electronic state with H(2)O molecule. Examination of the potential energy surface revealed that the Al + H(2)OAlO + H(2) reaction must be treated as a complex process involving two steps: Al + H(2)OAlOH + H and AlOH + H → AlO + H(2). Activation barriers for these elementary reaction channels were calculated at B3LYP/6-311+G(3df,2p), CBS-QB3, and G3 levels of theory, and appropriate rate constants were estimated by using a canonical variational theory. Theoretical analysis exhibited that the rate constant for the Al + H(2)O → products reaction measured by McClean et al. must be associated with the Al + H(2)OAlOH + H reaction path only. The process of direct HAlOH formation was found to be negligible at a pressure smaller than 100 atm.

  17. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Atom Probe Tomography Analysis of Ag Doping in 2D Layered Material (PbSe) 5(Bi 2Se 3) 3

    DOE PAGES

    Ren, Xiaochen; Singh, Arunima K.; Fang, Lei; ...

    2016-09-07

    Impurity doping in two-dimensional (2D) materials can provide a route to tuning electronic properties, so it is important to be able to determine the distribution of dopant atoms within and between layers. Here we report the totnographic mapping of dopants in layered 2D materials with atomic sensitivity and subnanometer spatial resolution using atom, probe tomography (APT). Also, APT analysis shows that Ag dopes both Bi 2Se 3 and PbSe layers in (PbSe) 5(Bi 2Se 3) 3, and correlations :in the position of Ag atoms suggest a pairing across neighboring Bi 2Se 3 and PbSe layers. Finally, density functional theory (DFT)more » calculations confirm the favorability of substitutional-doping for both Pb and Bi and provide insights into the,observed spatial correlations in dopant locations.« less

  19. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  20. Sulfidation of Co/Al[sub 2]O[sub 3] and CoMo/Al[sub 2]O[sub 3] catalysts studied by Moessbauer emission spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Craje, M.W.J.; Kraan, A.M. van der; Beer, V.H.J. de

    1993-10-01

    The structure of hydrodesulfurization catalysts is relevant to many industries. The sulfidation of uncalcined and calcined alumina-supported cobalt and cobalt-molybdenum catalysts was systematically studied by means of in situ Moessbauer emission spectroscopy (MES) at room temperature. The spectra obtained during the stepwise sulfidation of the uncalcined catalysts clearly resemble those observed for carbon-supported ones. Hence, the interpretation of the spectra of the alumina-supported catalysts is based on the conclusions drawn from the MES studies of the carbon-supported catalysts, which are less complex because Co ions do not diffuse into the support. It is demonstrated that not only in sulfided CoMo/Al[submore » 2]O[sub 3], but also in sulfided Co/Al[sub 2]O[sub 3], catalysts Co-sulfide species with a [open quotes]Co-Mo-S[close quotes]-type quadrupole splitting can be formed. It is concluded that the Co-sulfide species formed in sulfided Co/Al[sub 2]O[sub 3] and CoMo/Al[sub 2]O[sub 3] catalysts are essentially the same, only the particle size and ordering of the Co-sulfide species may differ, as in the case of Co/C and CoMo/C catalysts. The function of the Mo, which is present as MoS[sub 2], is merely to stabilize very small Co-sulfide particles, which in the limit contain only one single Co atom. Furthermore, it turns out that the value of the electric quadrupole splitting (Q.S. value) of the Co-sulfide phase in the sulfided catalysts depends on the sulfiding temperature and Co content. This observation leads to the conclusion that large Q.S. values point to the presence of very small Co-sulfide entities or particles (the lower limit being [open quotes]particles[close quotes] containing only one Co atom, such as proposed in the [open quotes]Co-Mo-S[close quotes] model), whereas small Q.S. values point to the presence of large Co-sulfide particles (the upper limit being crystalline Co[sub 9]S[sub 8]). 28 refs., 7 figs., 6 tabs.« less

  1. Stable and High-Performance Flexible ZnO Thin-Film Transistors by Atomic Layer Deposition.

    PubMed

    Lin, Yuan-Yu; Hsu, Che-Chen; Tseng, Ming-Hung; Shyue, Jing-Jong; Tsai, Feng-Yu

    2015-10-14

    Passivation is a challenging issue for the oxide thin-film transistor (TFT) technologies because it requires prolonged high-temperature annealing treatments to remedy defects produced in the process, which greatly limits its manufacturability as well as its compatibility with temperature-sensitive materials such as flexible plastic substrates. This study investigates the defect-formation mechanisms incurred by atomic layer deposition (ALD) passivation processes on ZnO TFTs, based on which we demonstrate for the first time degradation-free passivation of ZnO TFTs by a TiO2/Al2O3 nanolaminated (TAO) film deposited by a low-temperature (110 °C) ALD process. By combining the TAO passivation film with ALD dielectric and channel layers into an integrated low-temperature ALD process, we successfully fabricate flexible ZnO TFTs on plastics. Thanks to the exceptional gas-barrier property of the TAO film (water vapor transmission rate (WVTR)<10(-6) g m(-2) day(-1)) as well as the defect-free nature of the ALD dielectric and ZnO channel layers, the TFTs exhibit excellent device performance with high stability and flexibility: field-effect mobility>20 cm2 V(-1) s(-1), subthreshold swing<0.4 V decade(-1) after extended bias-stressing (>10,000 s), air-storage (>1200 h), and bending (1.3 cm radius for 1000 times).

  2. Effects of Al2O3 and CaO/SiO2 Ratio on Phase Equilbria in the ZnO-"FeO"-Al2O3-CaO-SiO2 System in Equilibrium with Metallic Iron

    NASA Astrophysics Data System (ADS)

    Zhao, Baojun; Hayes, Peter C.; Jak, Evgueni

    2011-02-01

    The phase equilibria and liquidus temperatures in the ZnO-"FeO"-Al2O3-CaO-SiO2 system in equilibrium with metallic iron have been determined experimentally in the temperature range 1383 K to 1573 K (1150 °C to 1300 °C). The experimental conditions were selected to characterize lead blast furnace and imperial smelting furnace slags. The results are presented in a form of pseudoternary sections ZnO-"FeO"-(Al2O3 + CaO + SiO2) with fixed CaO/SiO2 and (CaO + SiO2)/Al2O3 ratios. It was found that wustite and spinel are the major primary phases in the composition range investigated. Effects of Al2O3 concentration as well as the CaO/SiO2 ratio on the primary phase field, the liquidus temperature, and the partitioning of ZnO between liquid and solid phases have been discussed for zinc-containing slags.

  3. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules. PMID:28850774

  4. Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone.

    PubMed

    Jandhyala, Srikar; Mordi, Greg; Lee, Bongki; Lee, Geunsik; Floresca, Carlo; Cha, Pil-Ryung; Ahn, Jinho; Wallace, Robert M; Chabal, Yves J; Kim, Moon J; Colombo, Luigi; Cho, Kyeongjae; Kim, Jiyoung

    2012-03-27

    Integration of graphene field-effect transistors (GFETs) requires the ability to grow or deposit high-quality, ultrathin dielectric insulators on graphene to modulate the channel potential. Here, we study a novel and facile approach based on atomic layer deposition through ozone functionalization to deposit high-κ dielectrics (such as Al(2)O(3)) without breaking vacuum. The underlying mechanisms of functionalization have been studied theoretically using ab initio calculations and experimentally using in situ monitoring of transport properties. It is found that ozone molecules are physisorbed on the surface of graphene, which act as nucleation sites for dielectric deposition. The physisorbed ozone molecules eventually react with the metal precursor, trimethylaluminum to form Al(2)O(3). Additionally, we successfully demonstrate the performance of dual-gated GFETs with Al(2)O(3) of sub-5 nm physical thickness as a gate dielectric. Back-gated GFETs with mobilities of ~19,000 cm(2)/(V·s) are also achieved after Al(2)O(3) deposition. These results indicate that ozone functionalization is a promising pathway to achieve scaled gate dielectrics on graphene without leaving a residual nucleation layer. © 2012 American Chemical Society

  5. Ellipsometric study of Al2O3/Ag/Si and SiO2/Ag/quartz ashed in an oxygen plasma. [protective coatings to prevent degradation of materials in low earth orbits

    NASA Technical Reports Server (NTRS)

    De, Bhola N.; Woollam, John A.

    1989-01-01

    The growth of silver oxide (proposed as a potentially useful protective coating for space environment) on a silver mirror coated with an Al2O3 or a SiO2 protective layer was investigated using the monolayer-sensitive variable angle of incidence spectroscopic ellipsometry technique. The samples were exposed to a pure oxygen plasma in a plasma asher, and the silver oxide growth was monitored as a function of the exposure time. It was found that atomic oxygen in the asher penetrated through the SiO2 or Al2O3 coatings to convert the silver underneath to silver oxide, and that the quantity of the silver oxide formed was proportional to the ashing time. The band gap of silver oxide was determined to be 1.3 eV. A schematic diagram of the variable angle of incidence spectroscopic ellipsometer is included.

  6. Modulated two-dimensional charge-carrier density in LaTiO3-layer-doped LaAlO3/SrTiO3 heterostructure.

    PubMed

    Nazir, Safdar; Bernal, Camille; Yang, Kesong

    2015-03-11

    The highly mobile two-dimensional electron gas (2DEG) formed at the polar/nonpolar LaAlO3/SrTiO3 (LAO/STO) heterostructure (HS) is a matter of great interest because of its potential applications in nanoscale solid-state devices. To realize practical implementation of the 2DEG in device design, desired physical properties such as tuned charge carrier density and mobility are necessary. In this regard, polar perovskite-based transition metal oxides can act as doping layers at the interface and are expected to tune the electronic properties of 2DEG of STO-based HS systems dramatically. Herein, we investigated the doping effects of LaTiO3(LTO) layers on the electronic properties of 2DEG at n-type (LaO)(+1)/(TiO2)(0) interface in the LAO/STO HS using spin-polarized density functional theory calculations. Our results indicate an enhancement of orbital occupation near the Fermi energy, which increases with respect to the number of LTO unit cells, resulting in a higher charge carrier density of 2DEG than that of undoped system. The enhanced charge carrier density is attributed to an extra electron introduced by the Ti 3d(1) orbitals from the LTO dopant unit cells. This conclusion is consistent with the recent experimental findings (Appl. Phys. Lett. 2013, 102, 091601). Detailed charge density and partial density of states analysis suggests that the 2DEG in the LTO-doped HS systems primarily comes from partially occupied dyz and dxz orbitals.

  7. Facile Phase Control of Multivalent Vanadium Oxide Thin Films (V2O5 and VO2) by Atomic Layer Deposition and Postdeposition Annealing.

    PubMed

    Song, Gwang Yeom; Oh, Chadol; Sinha, Soumyadeep; Son, Junwoo; Heo, Jaeyeong

    2017-07-19

    Atomic layer deposition was adopted to deposit VO x thin films using vanadyl tri-isopropoxide {VO[O(C 3 H 7 )] 3 , VTIP} and water (H 2 O) at 135 °C. The self-limiting and purge-time-dependent growth behaviors were studied by ex situ ellipsometry to determine the saturated growth conditions for atomic-layer-deposited VO x . The as-deposited films were found to be amorphous. The structural, chemical, and optical properties of the crystalline thin films with controlled phase formation were investigated after postdeposition annealing at various atmospheres and temperatures. Reducing and oxidizing atmospheres enabled the formation of pure VO 2 and V 2 O 5 phases, respectively. The possible band structures of the crystalline VO 2 and V 2 O 5 thin films were established. Furthermore, an electrochemical response and a voltage-induced insulator-to-metal transition in the vertical metal-vanadium oxide-metal device structure were observed for V 2 O 5 and VO 2 films, respectively.

  8. Study of Cu2O\\ZnO nanowires heterojunction designed by combining electrodeposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Makhlouf, Houssin; Weber, Matthieu; Messaoudi, Olfa; Tingry, Sophie; Moret, Matthieu; Briot, Olivier; Chtoutou, Radhouane; Bechelany, Mikhael

    2017-12-01

    Cu2O/ZnO nanowires (NWs) heterojunctions were successfully prepared by combining Atomic layer Deposition (ALD) and Electrochemical Deposition (ECD) processes. The crystallinity, morphology and photoconductivity properties of the Cu2O/ZnO nanostructures have been investigated. The properties of the Cu2O absorber layer and the nanostructured heterojunction were studied in order to understand the mechanisms lying behind the low photoconductivity measured. It has been found that the interface state defects and the high resistivity of Cu2O film were limiting the photovoltaic properties of the prepared devices. The understanding presented in this work is expected to enable the optimization of solar cell devices based on Cu2O/ZnO nanomaterials and improve their overall performance.

  9. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    PubMed

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  10. The Effects of High Al2O3 on the Metallurgical Properties of Sinter

    NASA Astrophysics Data System (ADS)

    Yu, Wen-tao; Zuo, Hai-bin; Zhang, Jian-liang; Zhang, Tao

    Sintering-pot tests and metallurgical performances of sinter with 4 kind of different Al2O3 contents are experimented in this paper. Results show: when the Al2O3 contents increase from 2.0% to 3.5%, acicular calcium ferrites in mine phase will be gradually replaced by plate-like iron calcium. The increase of Al2O3 contents will lead to the addition of liquid viscosity and the reduction of permeability of sinter bed. Sintering time will be prolonged. The rate of yield is stable basically but production is low; besides, the increase of liquid viscosity will decrease of drum strength. The change of permeability of the material layer will make RDI+3.15 decrease first and then increase when Al2O3 contents changed from 2.0% to 3.5%. RI of sinter shows a contrary trend because many open voids are formed by deterioration of liquidity first and then pores closed.

  11. Postperovskite phase equilibria in the MgSiO3Al2O3 system

    PubMed Central

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-01-01

    We investigate high-P,T phase equilibria of the MgSiO3Al2O3 system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh2O3(II) phase, present calculations demonstrate that (i) dissolving Al2O3 tends to decrease the postperovskite transition pressure of MgSiO3 but the effect is not significant (≈-0.2 GPa/mol% Al2O3); (ii) Al2O3 produces the narrow perovskite+postperovskite coexisting P,T area (≈1 GPa) for the pyrolitic concentration (xAl2O3 ≈6 mol%), which is sufficiently responsible to the deep-mantle D″ seismic discontinuity; (iii) the transition would be smeared (≈4 GPa) for the basaltic Al-rich composition (xAl2O3 ≈20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh2O3(II) with increasing the Al concentration involving small displacements of the Mg-site cations. PMID:19036928

  12. Damage evaluation in graphene underlying atomic layer deposition dielectrics

    PubMed Central

    Tang, Xiaohui; Reckinger, Nicolas; Poncelet, Olivier; Louette, Pierre; Ureña, Ferran; Idrissi, Hosni; Turner, Stuart; Cabosart, Damien; Colomer, Jean-François; Raskin, Jean-Pierre; Hackens, Benoit; Francis, Laurent A.

    2015-01-01

    Based on micro-Raman spectroscopy (μRS) and X-ray photoelectron spectroscopy (XPS), we study the structural damage incurred in monolayer (1L) and few-layer (FL) graphene subjected to atomic-layer deposition of HfO2 and Al2O3 upon different oxygen plasma power levels. We evaluate the damage level and the influence of the HfO2 thickness on graphene. The results indicate that in the case of Al2O3/graphene, whether 1L or FL graphene is strongly damaged under our process conditions. For the case of HfO2/graphene, μRS analysis clearly shows that FL graphene is less disordered than 1L graphene. In addition, the damage levels in FL graphene decrease with the number of layers. Moreover, the FL graphene damage is inversely proportional to the thickness of HfO2 film. Particularly, the bottom layer of twisted bilayer (t-2L) has the salient features of 1L graphene. Therefore, FL graphene allows for controlling/limiting the degree of defect during the PE-ALD HfO2 of dielectrics and could be a good starting material for building field effect transistors, sensors, touch screens and solar cells. Besides, the formation of Hf-C bonds may favor growing high-quality and uniform-coverage dielectric. HfO2 could be a suitable high-K gate dielectric with a scaling capability down to sub-5-nm for graphene-based transistors. PMID:26311131

  13. Structural details of Al/Al 2O3 junctions and their role in the formation of electron tunnel barriers

    NASA Astrophysics Data System (ADS)

    Koberidze, M.; Puska, M. J.; Nieminen, R. M.

    2018-05-01

    We present a computational study of the adhesive and structural properties of the Al/Al 2O3 interfaces as building blocks of the metal-insulator-metal (MIM) tunnel devices, where electron transport is accomplished via tunneling mechanism through the sandwiched insulating barrier. The main goal of this paper is to understand, on the atomic scale, the role of the geometrical details in the formation of the tunnel barrier profiles. Initially, we concentrate on the adhesive properties of the interfaces. To provide reliable results, we carefully assess the accuracy of the traditional methods used to examine Al/Al 2O3 systems. These are the most widely employed exchange-correlation functionals—local-density approximation and two different generalized gradient approximations; the universal binding-energy relation for predicting equilibrium interfacial distances and adhesion energies; and the ideal work of separation as a measure of junction stability. In addition, we show that the established interpretation of the computed ideal work of separation might be misleading in predicting the optimal interface structures. Finally, we perform a detailed analysis of the atomic and interplanar relaxations in each junction, and identify their contributions to the tunnel barrier parameters. Our results imply that the structural irregularities on the surface of the Al film have a significant contribution to lowering the tunnel barrier height, while atomic relaxations at the interface and interplanar relaxations in Al2O3 may considerably change the width of the barrier and, thus, distort its uniformity. Both the effects may critically influence the performance of the MIM tunnel devices.

  14. Thermal O-H Bond Activation of Water as Mediated by Heteronuclear [Al2Mg2O5]•+: Evidence for Oxygen-Atom Scrambling.

    PubMed

    Geng, Caiyun; Li, Jilai; Weiske, Thomas; Schwarz, Helmut

    2018-06-25

    Mechanistic insight into the thermal O-H bond activation of water by the cubane-like, prototypical heteronuclear oxide cluster [Al 2 Mg 2 O 5 ] •+ has been derived from a combined experimental/computational study. Experiments in the highly diluted gas phase using Fourier transform ion-cyclotron resonance mass spectrometry show that hydrogen-atom abstraction from water by the cluster cation [Al 2 Mg 2 O 5 ] •+ occurs at ambient conditions accompanied by the liberation of an OH • radical. Due to a complete randomization of all oxygen atoms prior to fragmentation about 83% of the oxygen atoms of the hydroxyl radical released originate from the oxide cluster itself. The experimental findings are supported by detailed high-level quantum chemical calculations. The theoretical analysis reveals that the transfer of a formal hydrogen atom from water to the metal-oxide cation can proceed mechanistically via proton- or hydrogen-atom transfer exploiting different active sites of the cluster oxide. In addition to the unprecedented oxygen-atom scrambling, one of the more general and quite unexpected findings concerns the role of spin density at the hydrogen-acceptor oxide atom. While this feature is so crucial for [M-O] + /CH 4 couples, it is much less important in the O-H bond activation of water.

  15. Chemical reactions and morphological stability at the Cu/Al2O3 interface.

    PubMed

    Scheu, C; Klein, S; Tomsia, A P; Rühle, M

    2002-10-01

    The microstructures of diffusion-bonded Cu/(0001)Al2O3 bicrystals annealed at 1000 degrees C at oxygen partial pressures of 0.02 or 32 Pa have been studied with various microscopy techniques ranging from optical microscopy to high-resolution transmission electron microscopy. The studies revealed that for both oxygen partial pressures a 20-35 nm thick interfacial CuAlO2 layer formed, which crystallises in the rhombohedral structure. However, the CuAlO2 layer is not continuous, but interrupted by many pores. In the samples annealed in the higher oxygen partial pressure an additional reaction phase with a needle-like structure was observed. The needles are several millimetres long, approximately 10 microm wide and approximately 1 microm thick. They consist of CuAlO2 with alternating rhombohedral and hexagonal structures. Solid-state contact angle measurements were performed to derive values for the work of adhesion. The results show that the adhesion is twice as good for the annealed specimen compared to the as-bonded sample.

  16. Chemical quenching of positronium in Fe 2O 3/Al 2O 3 catalysts

    NASA Astrophysics Data System (ADS)

    Li, C.; Zhang, H. J.; Chen, Z. Q.

    2010-09-01

    Fe 2O 3/Al 2O 3 catalysts were prepared by solid state reaction method using α-Fe 2O 3 and γ-Al 2O 3 nano powders. The microstructure and surface properties of the catalyst were studied using positron lifetime and coincidence Doppler broadening annihilation radiation measurements. The positron lifetime spectrum shows four components. The two long lifetimes τ3 and τ4 are attributed to positronium annihilation in two types of pores distributed inside Al 2O 3 grain and between the grains, respectively. With increasing Fe 2O 3 content from 3 wt% to 40 wt%, the lifetime τ3 keeps nearly unchanged, while the longest lifetime τ4 shows decrease from 96 ns to 64 ns. Its intensity decreases drastically from 24% to less than 8%. The Doppler broadening S parameter shows also a continuous decrease. Further analysis of the Doppler broadening spectra reveals a decrease in the p-Ps intensity with increasing Fe 2O 3 content, which rules out the possibility of spin-conversion of positronium. Therefore the decrease of τ4 is most probably due to the chemical quenching reaction of positronium with Fe ions on the surface of the large pores.

  17. Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} nanocomposite: Structure, mechanical property and bioactivity studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalita, Samar Jyoti, E-mail: Samar.Kalita@und.nodak.edu; Somani, Vikas

    2010-12-15

    Novel biomaterials are of prime importance in tissue engineering. Here, we developed novel nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite as a biomaterial for bone repair. Initially, nanocrystalline Al{sub 2}O{sub 3}-TiO{sub 2} composite powder was synthesized by a sol-gel process. The powder was cold compacted and sintered at 1300-1500 {sup o}C to develop nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite. Nano features were retained in the sintered structures while the grains showed irregular morphology. The grain-growth and microcracking were prominent at higher sintering temperatures. X-ray diffraction peak intensity of {beta}-Al{sub 2}TiO{sub 5} increased with increasing temperature. {beta}-Al{sub 2}TiO{submore » 5} content increased from 91.67% at 1300 {sup o}C to 98.83% at 1500 {sup o}C, according to Rietveld refinement. The density of {beta}-Al{sub 2}TiO{sub 5} sintered at 1300 {sup o}C, 1400 {sup o}C and 1500 {sup o}C were computed to be 3.668 g cm{sup -3}, 3.685 g cm{sup -3} and 3.664 g cm{sup -3}, respectively. Nanocrystalline grains enhanced the flexural strength. The highest flexural strength of 43.2 MPa was achieved. Bioactivity and biomechanical properties were assessed in simulated body fluid. Electron microscopy confirmed the formation of apatite crystals on the surface of the nanocomposite. Spectroscopic analysis established the presence of Ca and P ions in the crystals. Results throw light on biocompatibility and bioactivity of {beta}-Al{sub 2}TiO{sub 5} phase, which has not been reported previously.« less

  18. Improving p-type doping efficiency in Al0.83Ga0.17N alloy substituted by nanoscale (AlN)5/(GaN)1 superlattice with MgGa-ON δ-codoping: Role of O-atom in GaN monolayer

    NASA Astrophysics Data System (ADS)

    Zhong, Hong-xia; Shi, Jun-jie; Zhang, Min; Jiang, Xin-he; Huang, Pu; Ding, Yi-min

    2015-01-01

    We calculate Mg-acceptor activation energy EA and investigate the influence of O-atom, occupied the Mg nearest-neighbor, on EA in nanoscale (AlN)5/(GaN)1 superlattice (SL), a substitution for Al0.83Ga0.17N disorder alloy, using first-principles calculations. We find that the N-atom bonded with Ga-atom is more easily substituted by O-atom and nMgGa-ON (n = 1-3) complexes are favorable and stable in the SL. The O-atom plays a dominant role in reducing EA. The shorter the Mg-O bond is, the smaller the EA is. The Mg-acceptor activation energy can be reduced significantly by nMgGa-ON δ-codoping. Our calculated EA for 2MgGa-ON is 0.21 eV, and can be further reduced to 0.13 eV for 3MgGa-ON, which results in a high hole concentration in the order of 1020 cm-3 at room temperature in (AlN)5/(GaN)1 SL. Our results prove that nMgGa-ON (n = 2,3) δ-codoping in AlN/GaN SL with ultrathin GaN-layer is an effective way to improve p-type doping efficiency in Al-rich AlGaN.

  19. Ultrathin Coating of Confined Pt Nanocatalysts by Atomic Layer Deposition for Enhanced Catalytic Performance in Hydrogenation Reactions.

    PubMed

    Wang, Meihua; Gao, Zhe; Zhang, Bin; Yang, Huimin; Qiao, Yan; Chen, Shuai; Ge, Huibin; Zhang, Jiankang; Qin, Yong

    2016-06-13

    Metal-support interfaces play a prominent role in heterogeneous catalysis. However, tailoring the metal-support interfaces to realize full utilization remains a major challenge. In this work, we propose a graceful strategy to maximize the metal-oxide interfaces by coating confined nanoparticles with an ultrathin oxide layer. This is achieved by sequential deposition of ultrathin Al2 O3 coats, Pt, and a thick Al2 O3 layer on carbon nanocoils templates by atomic layer deposition (ALD), followed by removal of the templates. Compared with the Pt catalysts confined in Al2 O3 nanotubes without the ultrathin coats, the ultrathin coated samples have larger Pt-Al2 O3 interfaces. The maximized interfaces significantly improve the activity and the protecting Al2 O3 nanotubes retain the stability for hydrogenation reactions of 4-nitrophenol. We believe that applying ALD ultrathin coats on confined catalysts is a promising way to achieve enhanced performance for other catalysts. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  1. Mechanical properties of atomic layer deposition-reinforced nanoparticle thin films.

    PubMed

    Zhang, Lei; Prosser, Jacob H; Feng, Gang; Lee, Daeyeon

    2012-10-21

    Nanoparticle thin films (NTFs) exhibit multifunctionality, making them useful for numerous advanced applications including energy storage and conversion, biosensing and photonics. Poor mechanical reliability and durability of NTFs, however, limit their industrial and commercial applications. Atomic layer deposition (ALD) represents a unique opportunity to enhance the mechanical properties of NTFs at a relatively low temperature without drastically changing their original structure and functionality. In this work, we study how ALD of different materials, Al(2)O(3), TiO(2), and SiO(2), affects the mechanical properties of TiO(2) and SiO(2) NTFs. Our results demonstrate that the mechanical properties of ALD-reinforced NTFs are dominantly influenced by the mechanical properties of the ALD materials rather than by the compositional matching between ALD and nanoparticle materials. Among the three ALD materials, Al(2)O(3) ALD provides the best enhancement in the modulus and hardness of the NTFs. Interestingly, Al(2)O(3) ALD is able to enhance not only the modulus and hardness but also the toughness of NTFs. Our study presents an additional benefit of depositing nanometer scale ALD layers in NTFs; that is, we find that the hardness and modulus of ultrathin ALD layers (<5 nm) can be estimated from the mechanical properties of ALD-reinforced NTFs using a simple mixing rule. This investigation also provides insight into the use of nanoindentation for testing the mechanical properties of ultrathin ALD-reinforced NTFs.

  2. Improving p-type doping efficiency in Al{sub 0.83}Ga{sub 0.17}N alloy substituted by nanoscale (AlN){sub 5}/(GaN){sub 1} superlattice with Mg{sub Ga}-O{sub N} δ-codoping: Role of O-atom in GaN monolayer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhong, Hong-xia; Shi, Jun-jie, E-mail: jjshi@pku.edu.cn; Jiang, Xin-he

    2015-01-15

    We calculate Mg-acceptor activation energy E{sub A} and investigate the influence of O-atom, occupied the Mg nearest-neighbor, on E{sub A} in nanoscale (AlN){sub 5}/(GaN){sub 1} superlattice (SL), a substitution for Al{sub 0.83}Ga{sub 0.17}N disorder alloy, using first-principles calculations. We find that the N-atom bonded with Ga-atom is more easily substituted by O-atom and nMg{sub Ga}-O{sub N} (n = 1-3) complexes are favorable and stable in the SL. The O-atom plays a dominant role in reducing E{sub A}. The shorter the Mg-O bond is, the smaller the E{sub A} is. The Mg-acceptor activation energy can be reduced significantly by nMg{sub Ga}-O{submore » N} δ-codoping. Our calculated E{sub A} for 2Mg{sub Ga}-O{sub N} is 0.21 eV, and can be further reduced to 0.13 eV for 3Mg{sub Ga}-O{sub N}, which results in a high hole concentration in the order of 10{sup 20} cm{sup −3} at room temperature in (AlN){sub 5}/(GaN){sub 1} SL. Our results prove that nMg{sub Ga}-O{sub N} (n = 2,3) δ-codoping in AlN/GaN SL with ultrathin GaN-layer is an effective way to improve p-type doping efficiency in Al-rich AlGaN.« less

  3. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE PAGES

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.; ...

    2017-04-05

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  4. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  5. Heating rate effects in simulated liquid Al2O_3

    NASA Astrophysics Data System (ADS)

    van Hoang, Vo

    2006-01-01

    The heating rate effects in simulated liquid Al{2}O{3} have been investigated by Molecular Dynamics (MD) method. Simulations were done in the basic cube under periodic boundary conditions containing 3000 ions with Born-Mayer type pair potentials. The temperature of the system was increasing linearly in time from the zero temperature as T(t)=T0 +γ t, where γ is the heating rate. The heating rate dependence of density and enthalpy of the system was found. Calculations show that static properties of the system such as the coordination number distributions and bond-angle distributions slightly depend on γ . Structure of simulated amorphous Al{2}O{3} model with the real density at the ambient pressure is in good agreement with Lamparter's experimental data. The heating rate dependence of dynamics of the system has been studied through the diffusion constant, mean-squared atomic displacement and comparison of partial radial distribution functions (PRDFs) for 10% most mobile and immobile particles with the corresponding mean ones. Finally, the evolution of diffusion constant of Al and O particles and structure of the system upon heating for the smallest heating rate was studied and presented. And we find that the temperature dependence of self-diffusion constant in the high temperature region shows a crossover to one which can be described well by a power law, D∝ (T-Tc )^γ . The critical temperature Tc is about 3500 K and the exponent γ is close to 0.941 for Al and to 0.925 for O particles. The glass phase transition temperature Tg for the Al{2}O{3} system is at anywhere around 2000 K.

  6. TiO2/ZnO and ZnO/TiO2 core/shell nanofibers prepared by electrospinning and atomic layer deposition for photocatalysis and gas sensing

    NASA Astrophysics Data System (ADS)

    Boyadjiev, Stefan I.; Kéri, Orsolya; Bárdos, Péter; Firkala, Tamás; Gáber, Fanni; Nagy, Zsombor K.; Baji, Zsófia; Takács, Máté; Szilágyi, Imre M.

    2017-12-01

    In the present work, core TiO2 and ZnO oxide nanofibers were prepared by electrospinning, then shell oxide (ZnO, TiO2) layers were deposited on them by atomic layer deposition (ALD). The aim of preparing ZnO and TiO2 nanofibers, as well as ZnO/TiO2 and TiO2/ZnO nanocomposites is to study the interaction between the oxide materials when a pure oxide fiber is covered with thin film of the other oxide, and explore the influence of exchanging the core and shell materials on their photocatalytic and gas sensing properties. The composition, structure and morphology of the pure and composite nanofibers were studied by SEM-EDX, TEM, XRD, FTIR, UV-vis and Raman. The photocatalytic activity of the as-prepared materials was analyzed by UV-vis spectroscopy through decomposing aqueous methyl orange under UV irradiation. The gas sensing of the nanofibers was investigated by detecting 100 ppm NH3 at 150 and 220 °C using interdigital electrode based sensors.

  7. Coexistence of bipolar and unipolar resistive switching behaviors in the double-layer Ag/ZnS-Ag/CuAlO2/Pt memory device

    NASA Astrophysics Data System (ADS)

    Zhang, Lei; Xu, Haiyang; Wang, Zhongqiang; Yu, Hao; Ma, Jiangang; Liu, Yichun

    2016-01-01

    The coexistence of uniform bipolar and unipolar resistive-switching (RS) characteristics was demonstrated in a double-layer Ag/ZnS-Ag/CuAlO2/Pt memory device. By changing the compliance current (CC) from 1 mA to 10 mA, the RS behavior can be converted from the bipolar mode (BRS) to the unipolar mode (URS). The temperature dependence of low resistance states further indicates that the CFs are composed of the Ag atoms and Cu vacancies for the BRS mode and URS mode, respectively. For this double-layer structure device, the thicker conducting filaments (CFs) will be formed in the ZnS-Ag layer, and it can act as tip electrodes. Thus, the formation and rupture of these two different CFs are located in the CuAlO2 layer, realizing the uniform and stable BRS and URS.

  8. Al2O3/ZrO2/Y3Al5O12 Composites: A High-Temperature Mechanical Characterization

    PubMed Central

    Palmero, Paola; Pulci, Giovanni; Marra, Francesco; Valente, Teodoro; Montanaro, Laura

    2015-01-01

    An Al2O3/5 vol%·ZrO2/5 vol%·Y3Al5O12 (YAG) tri-phase composite was manufactured by surface modification of an alumina powder with inorganic precursors of the second phases. The bulk materials were produced by die-pressing and pressureless sintering at 1500 °C, obtaining fully dense, homogenous samples, with ultra-fine ZrO2 and YAG grains dispersed in a sub-micronic alumina matrix. The high temperature mechanical properties were investigated by four-point bending tests up to 1500 °C, and the grain size stability was assessed by observing the microstructural evolution of the samples heat treated up to 1700 °C. Dynamic indentation measures were performed on as-sintered and heat-treated Al2O3/ZrO2/YAG samples in order to evaluate the micro-hardness and elastic modulus as a function of re-heating temperature. The high temperature bending tests highlighted a transition from brittle to plastic behavior comprised between 1350 and 1400 °C and a considerable flexural strength reduction at temperatures higher than 1400 °C; moreover, the microstructural investigations carried out on the re-heated samples showed a very limited grain growth up to 1650 °C. PMID:28787961

  9. Uniform Fe3O4 coating on flower-like ZnO nanostructures by atomic layer deposition for electromagnetic wave absorption.

    PubMed

    Wan, Gengping; Wang, Guizhen; Huang, Xianqin; Zhao, Haonan; Li, Xinyue; Wang, Kan; Yu, Lei; Peng, Xiange; Qin, Yong

    2015-11-21

    An elegant atomic layer deposition (ALD) method has been employed for controllable preparation of a uniform Fe3O4-coated ZnO (ZnO@Fe3O4) core-shell flower-like nanostructure. The Fe3O4 coating thickness of the ZnO@Fe3O4 nanostructure can be tuned by varying the cycle number of ALD Fe2O3. When serving as additives for microwave absorption, the ZnO@Fe3O4-paraffin composites exhibit a higher absorption capacity than the ZnO-paraffin composites. For ZnO@500-Fe3O4, the effective absorption bandwidth below -10 dB can reach 5.2 GHz and the RL values below -20 dB also cover a wide frequency range of 11.6-14.2 GHz when the coating thickness is 2.3 mm, suggesting its potential application in the treatment of the electromagnetic pollution problem. On the basis of experimental observations, a mechanism has been proposed to understand the enhanced microwave absorption properties of the ZnO@Fe3O4 composites.

  10. Effects of growth temperature on the properties of atomic layer deposition grown ZrO2 films

    NASA Astrophysics Data System (ADS)

    Scarel, G.; Ferrari, S.; Spiga, S.; Wiemer, C.; Tallarida, G.; Fanciulli, M.

    2003-07-01

    Zirconium dioxide films are grown in 200 atomic layer deposition cycles. Zirconium tetrachloride (ZrCl4) and water (H2O) are used as precursors. A relatively high dielectric constant (κ=22), wide band gap, and conduction band offset (5.8 and 1.4 eV, respectively) indicate that zirconium dioxide is a most promising substitute for silicon dioxide as a dielectric gate in complementary metal-oxide-semiconductor devices. However, crystallization and chlorine ions in the films might affect their electrical properties. These ions are produced during atomic layer deposition in which the ZrCl4 precursor reacts with the growth surface. It is desirable to tune the composition, morphology, and structural properties in order to improve their benefit on the electrical ones. To address this issue it is necessary to properly choose the growth parameters. This work focuses on the effects of the growth temperature Tg. ZrO2 films are grown at different substrate temperatures: 160, 200, 250, and 350 °C. Relevant modification of the film structure with a change in substrate temperature during growth is expected because the density of reactive sites [mainly Si+1-(OH)-1 bonds] decreases with an increase in temperature [Y. B. Kim et al., Electrochem. Solid-State Lett. 3, 346 (2000)]. The amorphous film component, for example, that develops at Si+1-(OH)-1 sites on the starting growth surface, is expected to decrease with an increase in growth temperature. The size and consequences of film property modifications with the growth temperature are investigated in this work using x-ray diffraction and reflectivity, and atomic force microscopy. Time of flight-secondary ion mass spectrometry is used to study contaminant species in the films. From capacitance-voltage (CV) and current-voltage (IV) measurements, respectively, the dielectric constant κZrO2 and the leakage current are studied as a function of the film growth temperature.

  11. Improved oxidation resistance of organic/inorganic composite atomic layer deposition coated cellulose nanocrystal aerogels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, Sean W.; Matthews, David J.; Conley, John F., E-mail: jconley@eecs.oregonstate.edu

    2014-07-01

    Cellulose nanocrystal (CNC) aerogels are coated with thin conformal layers of Al{sub 2}O{sub 3} using atomic layer deposition to form hybrid organic/inorganic nanocomposites. Electron probe microanalysis and scanning electron microscopy analysis indicated the Al{sub 2}O{sub 3} penetrated more than 1500 μm into the aerogel for extended precursor pulse and exposure/purge times. The measured profile of coated fiber radius versus depth from the aerogel surface agrees well with simulations of precursor penetration depth in modeled aerogel structures. Thermogravimetric analysis shows that Al{sub 2}O{sub 3} coated CNC aerogel nanocomposites do not show significant thermal degradation below 295 °C as compared with 175 °C for uncoatedmore » CNC aerogels, an improvement of over 100 °C.« less

  12. Porcelain monolayers and porcelain/alumina bilayers reinforced by Al2O3/GdAlO3 fibers.

    PubMed

    Sgura, Ricardo; Medeiros, Igor Studart; Cesar, Paulo Francisco; Campos, Adeliani Almeida; Hernandes, Antonio Carlos

    2012-01-01

    This work tested the effect of the addition of Al(2)O(3)/GdAlO(3) longitudinal fibers in different contents to veneering porcelain of two dental all ceramic systems. Fibers (0.5 mm diameter) obtained by the Laser Heated Pedestal Growth (LHPG) method were added to bar-shaped specimens made by veneer porcelain (monolayers) or both the veneer and the core ceramic (bilayers) of two all-ceramic systems: In-Ceram Alumina-glass infiltrated alumina composite (GIA) and In-Ceram 2000 AL Cubes-alumina polycrystal (AP) (VITA Zahnfabrik). The longitudinal fibers were added to veneering porcelain (VM7) in two different proportions: 10 or 17 vol%. The bars were divided into nine experimental conditions (n=10) according to material used: VM7 porcelain monolayers, VM7/GIA, VM7/AP; and according to the amount of fibers within the porcelain layer: no fibers, 10 vol% or 17 vol%. After grinding and polishing the specimens were submitted to a three point bending test (crosshead speed = 0.5 mm/min) with porcelain positioned at tensile side. Data were analyzed by means of one-way ANOVA and a Tukey's test (α=5%). Scanning electronic microscopy (SEM) was conducted for fractographic analysis. Regarding the groups without fiber addition, VM7/AP showed the highest flexural strength (MPa), followed by VM7/GIA and VM7 monolayers. The addition of fibers led to a numerical increase in flexural strength for all groups. For VM7/GIA bilayers the addition of 17 vol% of fibers resulted in a significant 48% increase in the flexural strength compared to the control group. Fractographic analysis revealed that the crack initiation site was in porcelain at the tensile surface. Cracks also propagated between fibers before heading for the alumina core. The addition of 17 vol% of Al(2)O(3)/GdAlO(3) longitudinal fibers to porcelain/glass infiltrated alumina bilayers significantly improved its flexural strength. 10 vol% or 17 vol% of fibers inclusion increased the flexural strength for all groups. Copyright

  13. Atomic-Level Co3O4 Layer Stabilized by Metallic Cobalt Nanoparticles: A Highly Active and Stable Electrocatalyst for Oxygen Reduction.

    PubMed

    Liu, Min; Liu, Jingjun; Li, Zhilin; Wang, Feng

    2018-02-28

    Developing atomic-level transition oxides may be one of the most promising ways for providing ultrahigh electrocatalytic performance for oxygen reduction reaction (ORR), compared with their bulk counterparts. In this article, we developed a set of atomically thick Co 3 O 4 layers covered on Co nanoparticles through partial reduction of Co 3 O 4 nanoparticles using melamine as a reductive additive at an elevated temperature. Compared with the original Co 3 O 4 nanoparticles, the synthesized Co 3 O 4 with a thickness of 1.1 nm exhibits remarkably enhanced ORR activity and durability, which are even higher than those obtained by a commercial Pt/C in an alkaline environment. The superior activity can be attributed to the unique physical and chemical structures of the atomic-level oxide featuring the narrowed band gap and decreased work function, caused by the escaped lattice oxygen and the enriched coordination-unsaturated Co 2+ in this atomic layer. Besides, the outstanding durability of the catalyst can result from the chemically epitaxial deposition of the Co 3 O 4 on the cobalt surface. Therefore, the proposed synthetic strategy may offer a smart way to develop other atomic-level transition metals with high electrocatalytic activity and stability for energy conversion and storage devices.

  14. Effect of the addition of Al2O3 nanoparticles on the magnetic properties of Fe soft magnetic composites

    NASA Astrophysics Data System (ADS)

    Peng, Yuandong; Nie, Junwu; Zhang, Wenjun; Ma, Jian; Bao, Chongxi; Cao, Yang

    2016-02-01

    We investigated the effect of the addition of Al2O3 nanoparticles on the permeability and core loss of Fe soft magnetic composites coated with silicone. Fourier transform infra-red spectroscopy, scanning electron microscopy and energy-dispersive X-ray spectroscopy analysis revealed that the surface layer of the powder particles consisted of a thin insulating Al2O3 layer with uniform surface coverage. The permeability and core loss of the composite with the Al2O3 addition annealed at 650 °C were excellent. The results indicated that the Al2O3 nanoparticle addition increases the permeability stablility with changing frequency and decreases the core loss over a wide range of frequencies.

  15. Nucleation of graphene layers on magnetic oxides: Co 3O 4(111) and Cr 2O 3(0001) from theory and experiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beatty, John; Cheng, Tao; Cao, Yuan

    We report directly grown strongly adherent graphene on Co 3O 4(111) by carbon molecular beam epitaxy (C MBE) at 850 K and density functional theory (DFT) findings that the first graphene layer is reconstructed to fit the Co 3O 4 surface, while subsequent layers retain normal graphene structure. This adherence to the Co 3O 4 structure results from partial bonding of half the carbons to top oxygens of the substrate. This structure is validated by X-ray photoelectron spectroscopy and low-energy electron diffraction studies, showing layer-by-layer graphene growth with ~0.08 electrons/carbon atom transferred to the oxide from the first graphene layer,more » in agreement with DFT. In contrast, for Cr 2O 3 DFT finds no strong bonding to the surface and C MBE on Cr 2O 3(0001) yields only graphite formation at 700 K, with C desorption above 800 K. As a result, strong graphene-to-oxide charge transfer aids nucleation of graphene on incommensurate oxide substrates and may have implications for spintronics.« less

  16. Nucleation of graphene layers on magnetic oxides: Co 3O 4(111) and Cr 2O 3(0001) from theory and experiment

    DOE PAGES

    Beatty, John; Cheng, Tao; Cao, Yuan; ...

    2016-12-14

    We report directly grown strongly adherent graphene on Co 3O 4(111) by carbon molecular beam epitaxy (C MBE) at 850 K and density functional theory (DFT) findings that the first graphene layer is reconstructed to fit the Co 3O 4 surface, while subsequent layers retain normal graphene structure. This adherence to the Co 3O 4 structure results from partial bonding of half the carbons to top oxygens of the substrate. This structure is validated by X-ray photoelectron spectroscopy and low-energy electron diffraction studies, showing layer-by-layer graphene growth with ~0.08 electrons/carbon atom transferred to the oxide from the first graphene layer,more » in agreement with DFT. In contrast, for Cr 2O 3 DFT finds no strong bonding to the surface and C MBE on Cr 2O 3(0001) yields only graphite formation at 700 K, with C desorption above 800 K. As a result, strong graphene-to-oxide charge transfer aids nucleation of graphene on incommensurate oxide substrates and may have implications for spintronics.« less

  17. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  18. Hydrodeoxygenation of p -Cresol over Pt/Al 2 O 3 Catalyst Promoted by ZrO 2 , CeO 2 , and CeO 2 –ZrO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weiyan; Wu, Kui; Liu, Pengli

    2016-07-20

    ZrO 2-Al 2O 3 and CeO 2-Al 2O 3 were prepared by a co-precipitation method and selected as supports for Pt catalysts. The effects of CeO 2 and ZrO 2 on the surface area and Brønsted acidity of Pt/Al 2O 3 were studied. In the hydrodeoxygenation (HDO) of p-cresol, the addition of ZrO 2 promoted the direct deoxygenation activity on Pt/ZrOO 2-Al 2O 3 via Caromatic-O bond scission without benzene ring saturation. Pt/CeOO 2-Al 2O 3 exhibited higher deoxygenation extent than Pt/Al 2O 3 due to the fact that Brønsted acid sites on the catalyst surface favored the adsorption ofmore » p-cresol. With the advantages of CeO 2 and ZrO 2 taken into consideration, CeO 2-ZrOO 2-Al 2O 3 was prepared, leading to the highest HDO activity of Pt/CeO 2-ZrOO 2-Al 2O 3. The deoxygenation extent for Pt/CeO 2-ZrOO 2-Al 2O 3 was 48.4% and 14.5% higher than that for Pt/ZrO2O 2-Al 2O 3 and Pt/CeOO 2-Al 2O 3, respectively.« less

  19. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  20. Analysis of SAW properties in ZnO/AlxGa1-xN/c-Al2O3 structures.

    PubMed

    Chen, Ying; Emanetoglu, Nuri William; Saraf, Gaurav; Wu, Pan; Lu, Yicheng; Parekh, Aniruddh; Merai, Vinod; Udovich, Eric; Lu, Dong; Lee, Dong S; Armour, Eric A; Pophristic, Milan

    2005-07-01

    Piezoelectric thin films on high acoustic velocity nonpiezoelectric substrates, such as ZnO, AlN, or GaN deposited on diamond or sapphire substrates, are attractive for high frequency and low-loss surface acoustic wave devices. In this work, ZnO films are deposited on AlxGa1-xN/c-Al2O3 (0 < or = chi < or = 1) substrates using the radio frequency (RF) sputtering technique. In comparison with a single AlxGa1-xN layer deposited on c-Al2O3 with the same total film thickness, a ZnO/AlxGa1-xN/c-Al2O3 multilayer structure provides several advantages, including higher order wave modes with higher velocity and larger electromechanical coupling coefficient (K2). The surface acoustic wave (SAW) velocities and coupling coefficients of the ZnO/AlxGa1-xN/c-Al2O3 structure are tailored as a function of the Al mole percentage in AlxGa1-xN films, and as a function of the ZnO (h1) to AlxGa1-xN (h2) thickness ratio. It is found that a wide thickness-frequency product (hf) region in which coupling is close to its maximum value, K(2)max, can be obtained. The K(2)max of the second order wave mode (h1 = h2) is estimated to be 4.3% for ZnO/GaN/c-Al2O3, and 3.8% for ZnO/AlN/c-Al2O3. The bandwidth of second and third order wave modes, in which the coupling coefficient is within +/- 0.3% of K(2)max, is calculated to be 820 hf for ZnO/GaN/c-Al2O3, and 3620 hf for ZnO/AlN/c-Al2O3. Thus, the hf region in which the coupling coefficient is close to the maximum value broadens with increasing Al content, while K(2)max decreases slightly. When the thickness ratio of AlN to ZnO increases, the K(2)max and hf bandwidth of the second and third higher wave modes increases. The SAW test devices are fabricated and tested. The theoretical and experimental results of velocity dispersion in the ZnO/AlxGa1-xN/c-Al2O3 structures are found to be well matched.

  1. Luminescence and energy transfer of Tb3+-doped BaO-Gd2O3-Al2O3-B2O3-SiO2 glasses.

    PubMed

    Zuo, Chenggang; Huang, Jinze; Liu, Shaoyou; Xiao, Anguo; Shen, Youming; Zhang, Xiangyang; Zhou, Zhihua; Zhu, Ligang

    2017-12-05

    Transparent Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses with the greater than 4g/cm 3 were prepared by high temperature melting method and its luminescent properties have been investigated by measured UV-vis transmission, excitation, emission and luminescence decay spectra. The transmission spectrum shows there are three weak absorption bands locate at about 312, 378 and 484nm in the glasses and it has good transmittance in the visible spectrum region. Intense green emission can be observed under UV excitation. The effective energy transfer from Gd 3+ ion to Tb 3+ ion could occur and sensitize the luminescence of Tb 3+ ion. The green emission intensity of Tb 3+ ion could change with the increasing SiO 2 /B 2 O 3 ratio in the borosilicate glass matrix. With the increasing concentration of Tb 3+ ion, 5 D 4 → 7 F J transitions could be enhanced through the cross relaxation between the two nearby Tb 3+ ions. Luminescence decay time of 2.12ms from 546nm emission is obtained. The results indicate that Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses would be potential scintillating material for applications in X-ray imaging. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Temperature-dependent OSL properties of nano-phosphors LiAlO2:C and α-Al2O3:C

    NASA Astrophysics Data System (ADS)

    Agarwal, Mini; Garg, Sandeep K.; Asokan, K.; Kumar, Pratik

    2018-06-01

    The present study focuses on the synthesis and characterization of carbon doped nano-phosphors, LiAlO2 and α-Al2O3 and their temperature-dependent optically stimulated luminescence (TA-OSL) characteristics in the temperature ranges of 25-350 °C. These nano-phosphors with the carbon concentration of 0.01 mol% exhibits high luminescent intensity for LiAlO2:C in the low dose range of 1 mGy-7 Gy and for α-Al2O3:C in the range of 100 mGy-1 kGy. Both these nano-phosphors are of polycrystalline in nature, having grain size 15-50 nm as confirmed by the X-ray diffraction (XRD) and Transmission Electron Microscopy (TEM), respectively. The maximum TA-OSL intensities are observed at 125 °C for LiAlO2:C and 200 °C for Al2O3:C, and reveal the presence of deep defect centres. The Arrhenius analysis shows the activation energies Ea = 0.06 ± 0.02 eV for LiAlO2:C and Ea = 0.04 ± 0.01 eV, & Eb = 0.48 ± 0.07 eV for Al2O3:C. The TA-OSL and OSL characteristics are discussed with special reference to the medical and high radiation dosimetry. These compounds, LiAlO2:C and α-Al2O3:C, are non-toxic, robust and are potential candidates for reusable dosimetry.

  3. Evolution of the interfacial phases in Al2O3-Kovar® joints brazed using a Ag-Cu-Ti-based alloy

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2017-04-01

    A systematic investigation of the brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using the active braze alloy (ABA) Ag-35.25Cu-1.75Ti wt.% has been undertaken to study the chemical reactions at the interfaces of the joints. The extent to which silica-based secondary phases in the Al2O3 participate in the reactions at the ABA/Al2O3 interface has been clarified. Another aspect of this work has been to determine the influence of various brazing parameters, such as the peak temperature, Tp, and time at Tp, τ, on the resultant microstructure. As a consequence, the microstructural evolution of the joints as a function of Tp and τ is discussed in some detail. The formation of a Fe2Ti layer on the Kovar® and its growth, along with adjacent Ni3Ti particles in the ABA, dominate the microstructural developments at the ABA/Kovar® interface. The presence of Kovar® next to the ABA does not change the intrinsic chemical reactions occurring at the ABA/Al2O3 interface. However, the extent of these reactions is limited if the purity of the Al2O3 is high, and so it is necessary to have some silica-rich secondary phase in the Al2O3 to facilitate the formation of a Ti3Cu3O layer on the Al2O3. Breakdown of the Ti3Cu3O layer, together with fracture of the Fe2Ti layer and separation of this layer from the Kovar®, has been avoided by brazing at temperatures close to the liquidus temperature of the ABA for short periods of time, e.g., for Tp between 820 and 830 °C and τ between 2 and 8 min.

  4. Statistical evaluation of potential damage to the Al(OH)3 layer on nTiO2 particles in the presence of swimming pool and seawater

    NASA Astrophysics Data System (ADS)

    Virkutyte, Jurate; Al-Abed, Souhail R.

    2012-03-01

    Nanosized TiO2 particles (nTiO2) are usually coated with an Al(OH)3 layer when used in sunscreen to shield against the harmful effects of free radicals that are generated when these particles are exposed to UV radiation. Therefore, it is vital to insure the structural stability of these particles in the environment where the protective layer may be damaged and adverse health and environmental effects can occur. This study utilized X-ray analysis (SEM-EDS) to provide a qualitative and semi-quantitative assessment of the chemical and physical characteristics of Al(OH)3-coated original and damaged nTiO2 particles (used in sunscreen lotion formulations) in the presence of both swimming pool and seawater. Also, by utilizing statistical tools, a distribution of Al/Ti (%) on the particle surface was determined and evaluated. It was found that 45 min of treatment with swimming pool and seawater significantly induced the redistribution of Al/Ti (%), which changed the surface characteristics of particles and, therefore, may have induced undesired photo-activity and the consequent formation of free radicals.

  5. Electric-field control of magnetic properties for α-Fe2O3/Al2O3 films

    NASA Astrophysics Data System (ADS)

    Cheng, Bin; Qin, Hongwei; Liu, Liang; Xie, Jihao; Zhou, Guangjun; Chen, Lubin; Hu, Jifan

    2018-06-01

    α-Fe2O3/Al2O3 films can exhibit weak ferromagnetism at room temperature. The saturation magnetization of the thinner film is larger than that of the thick one deposited at the same temperature of 500 °C, which implies that the weak ferromagnetism at room temperature comes not only from the intrinsic canted magnetic structure, but also from the effects of interface between α-Fe2O3/Al2O3, such as the effect of Al diffusion into α-Fe2O3 film. Perpendicular electric field upon α-Fe2O3/Al2O3 film at room temperature could adjust the magnetic properties (saturation magnetization, magnetic remanence, coercivity and saturation magnetizing field). The positive electric field can enhance the magnetism of α-Fe2O3/Al2O3 thin film, while negative electric field can reduce it. The change induced by electric field may be connected with the migration effects of Al3+ ions. The steps of curve for saturation magnetization versus the electric field may reflect these complicated processes. The magnetization of the film deposited at a higher temperature can be changed by electric field more easily. This study may inspire more in-depth research and lead to an alternative approach to future magneto-electronic devices.

  6. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    NASA Technical Reports Server (NTRS)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  7. Growth of Ni-Al alloys on Ni(1 1 1), from Al deposits of various thicknesses: (II) Formation of NiAl over a Ni 3Al interfacial layer

    NASA Astrophysics Data System (ADS)

    Le Pévédic, S.; Schmaus, D.; Cohen, C.

    2007-01-01

    This paper describes the second part of a study devoted to the growth of thin Ni-Al alloys after deposition of Al on Ni(1 1 1). In the previous paper [S. Le Pévédic, D. Schmaus, C. Cohen, Surf. Sci. 600 (2006) 565] we have described the results obtained for ultra-thin Al deposits, leading, after annealing at 750 K, to an epitaxial layer of Ni 3Al(1 1 1). In the present paper we show that this regime is only observed for Al deposits smaller than 8 × 10 15 Al/cm 2 and we describe the results obtained for Al deposits exceeding this critical thickness, up to 200 × 10 15 Al/cm 2. Al deposition was performed at low temperature (around 130 K) and the alloying process was followed in situ during subsequent annealing, by Auger electron spectroscopy, low energy electron diffraction and ion beam analysis-channeling measurements, in an ultra-high vacuum chamber connected to a Van de Graaff accelerator. We evidence the formation, after annealing at 750 K, of a crystallographically and chemically well-ordered NiAl(1 1 0) layer (whose thickness depends on the deposited Al amount), over a Ni 3Al "interfacial" layer (whose thickness—about 18 (1 1 1) planes—is independent of the deposited Al amount). The NiAl overlayer is composed of three variants, at 120° from each other in the surface plane, in relation with the respective symmetries of NiAl(1 1 0) and Ni 3Al(1 1 1). The NiAl layer is relaxed (the lattice parameters of cc-B2 NiAl and fcc-L1 2 Ni 3Al differ markedly), and we have determined its epitaxial relationship. In the case of the thickest alloyed layer formed the results concerning the structure of the NiAl layer have been confirmed and refined by ex situ X-ray diffraction and information on its grain size has been obtained by ex situ Atomic Force Microscopy. The kinetics of the alloying process is complex. It corresponds to an heterogeneous growth leading, above the thin Ni 3Al interfacial layer, to a mixture of Al and NiAl over the whole Al film, up to the

  8. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE PAGES

    Petrik, Nikolay G.; Kimmel, Greg A.

    2018-01-01

    100 eV electrons are stopped in the H 2 O portion of the isotopically-layered nanoscale film on α-Al 2 O 3 (0001) but D 2 is produced at the D 2 O/alumina interface by mobile electronic excitations and/or hydronium ions.

  9. Behavior of Al2O3 and SiO2 with heating in a Cl2 + CO stream

    NASA Technical Reports Server (NTRS)

    Shchetinin, L. K.

    1984-01-01

    Differential thermal analysis (DTA) and Thermogravimetric analysis (TGA) were used to study the chlorination of alpha-Al2O3, gamma-Al2O3 and amorphous SiO2 in a Cl + CO stream, for the preparation of AlCl3 and SiCl4. The chlorination starting temperatures were 235 deg for Al2O3 and 680 deg for SiO2. The chlorination of alpha- and gamma-Al2O3 takes place via the formation of AlOCl as an intermediate product, and its subsequent dissociation at 480 to 560 deg, according to 3AlOCl yields AlCl3 + Al2O3. The chlorination activation energies are given for the three oxides.

  10. Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer

    2016-07-15

    Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less

  11. Copper pillar and memory characteristics using Al2O3 switching material for 3D architecture.

    PubMed

    Maikap, Siddheswar; Panja, Rajeswar; Jana, Debanjan

    2014-01-01

    A novel idea by using copper (Cu) pillar is proposed in this study, which can replace the through-silicon-vias (TSV) technique in future three-dimensional (3D) architecture. The Cu pillar formation under external bias in an Al/Cu/Al2O3/TiN structure is simple and low cost. The Cu pillar is formed in the Al2O3 film under a small operation voltage of <5 V and a high-current-carrying conductor of >70 mA is obtained. More than 100 devices have shown tight distribution of the Cu pillars in Al2O3 film for high current compliance (CC) of 70 mA. Robust read pulse endurances of >10(6) cycles are observed with read voltages of -1, 1, and 4 V. However, read endurance is failed with read voltages of -1.5, -2, and -4 V. By decreasing negative read voltage, the read endurance is getting worst, which is owing to ruptured Cu pillar. Surface roughness and TiO x N y on TiN bottom electrode are observed by atomic force microscope and transmission electron microscope, respectively. The Al/Cu/Al2O3/TiN memory device shows good bipolar resistive switching behavior at a CC of 500 μA under small operating voltage of ±1 V and good data retention characteristics of >10(3) s with acceptable resistance ratio of >10 is also obtained. This suggests that high-current operation will help to form Cu pillar and lower-current operation will have bipolar resistive switching memory. Therefore, this new Cu/Al2O3/TiN structure will be benefited for 3D architecture in the future.

  12. Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties

    NASA Astrophysics Data System (ADS)

    Nozaki, Mikito; Watanabe, Kenta; Yamada, Takahiro; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Alumina incorporating nitrogen (aluminum oxynitride; AlON) for immunity against charge injection was grown on a AlGaN/GaN substrate through the repeated atomic layer deposition (ALD) of AlN layers and in situ oxidation in ozone (O3) ambient under optimized conditions. The nitrogen distribution was uniform in the depth direction, the composition was controllable over a wide range (0.5–32%), and the thickness could be precisely controlled. Physical analysis based on synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) revealed that harmful intermixing at the insulator/AlGaN interface causing Ga out-diffusion in the gate stack was effectively suppressed by this method. AlON/AlGaN/GaN MOS capacitors were fabricated, and they had excellent electrical properties and immunity against electrical stressing as a result of the improved interface stability.

  13. Processing of n+/p-/p+ strip detectors with atomic layer deposition (ALD) grown Al2O3 field insulator on magnetic Czochralski silicon (MCz-si) substrates

    NASA Astrophysics Data System (ADS)

    Härkönen, J.; Tuovinen, E.; Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T.; Junkes, A.; Wu, X.; Li, Z.

    2016-08-01

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n+ segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO2 interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al2O3) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current-voltage and capacitance-voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×1015 neq/cm2 proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  14. Growth and characterization of Al2O3 films on fluorine functionalized epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Robinson, Zachary R.; Jernigan, Glenn G.; Wheeler, Virginia D.; Hernández, Sandra C.; Eddy, Charles R.; Mowll, Tyler R.; Ong, Eng Wen; Ventrice, Carl A.; Geisler, Heike; Pletikosic, Ivo; Yang, Hongbo; Valla, Tonica

    2016-08-01

    Intelligent engineering of graphene-based electronic devices on SiC(0001) requires a better understanding of processes used to deposit gate-dielectric materials on graphene. Recently, Al2O3 dielectrics have been shown to form conformal, pinhole-free thin films by functionalizing the top surface of the graphene with fluorine prior to atomic layer deposition (ALD) of the Al2O3 using a trimethylaluminum (TMA) precursor. In this work, the functionalization and ALD-precursor adsorption processes have been studied with angle-resolved photoelectron spectroscopy, low energy electron diffraction, and X-ray photoelectron spectroscopy. It has been found that the functionalization process has a negligible effect on the electronic structure of the graphene, and that it results in a twofold increase in the adsorption of the ALD-precursor. In situ TMA-dosing and XPS studies were also performed on three different Si(100) substrates that were terminated with H, OH, or dangling Si-bonds. This dosing experiment revealed that OH is required for TMA adsorption. Based on those data along with supportive in situ measurements that showed F-functionalization increases the amount of oxygen (in the form of adsorbed H2O) on the surface of the graphene, a model for TMA-adsorption on graphene is proposed that is based on a reaction of a TMA molecule with OH.

  15. Feature Modeling of HfO2 Atomic Layer Deposition Using HfCl4/H2O

    NASA Astrophysics Data System (ADS)

    Stout, Phillip J.; Adams, Vance; Ventzek, Peter L. G.

    2003-03-01

    A Monte Carlo based feature scale model (Papaya) has been applied to atomic layer deposition (ALD) of HfO2 using HfCl_4/H_20. The model includes physical effects of transport to surface, specular and diffusive reflection within feature, adsorption, surface diffusion, deposition and etching. Discussed will be the 3D feature modeling of HfO2 deposition in assorted features (vias and trenches). The effect of feature aspect ratios, pulse times, cycle number, and temperature on film thickness, feature coverage, and film Cl fraction (surface/bulk) will be discussed. Differences between HfO2 ALD on blanket wafers and in features will be highlighted. For instance, the minimum pulse times sufficient for surface reaction saturation on blanket wafers needs to be increased when depositing on features. Also, HCl products created during the HfCl4 and H_20 pulses are more likely to react within a feature than at the field, reducing OH coverage within the feature (vs blanket wafer) thus limiting the maximum coverage attainable for a pulse over a feature.

  16. Nature of weak magnetism in SrTiO3/LaAlO3 multilayers.

    PubMed

    Salman, Z; Ofer, O; Radovic, M; Hao, H; Ben Shalom, M; Chow, K H; Dagan, Y; Hossain, M D; Levy, C D P; Macfarlane, W A; Morris, G M; Patthey, L; Pearson, M R; Saadaoui, H; Schmitt, T; Wang, D; Kiefl, R F

    2012-12-21

    We report the observation of weak magnetism in superlattices of LaAlO(3)/SrTiO(3) using β-detected nuclear magnetic resonance. The spin lattice relaxation rate of ^{8}Li in superlattices with a spacer layers of 8 and 6 unit cells of LaAlO(3) exhibits a strong peak near ~35 K, whereas no such peak is observed in a superlattice with spacer layer thickness of 3 unit cells. We attribute the observed temperature dependence to slowing down of weakly coupled electronic moments at the LaAlO(3)/SrTiO(3) interface. These results show that the magnetism at the interface depends strongly on the thickness of the spacer layer, and that a minimal thickness of ~4-6 unit cells is required for the appearance of magnetism. A simple model is used to determine that the observed relaxation is due to small fluctuating moments (~0.002μ(B)) in the two samples with a larger LaAlO(3) spacer thickness.

  17. Spatial atomic layer deposition of ZnO/TiO{sub 2} nanolaminates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Rong, E-mail: rongchen@mail.hust.edu.cn; Lin, Ji-Long; He, Wen-Jie

    2016-09-15

    Spatial atomic layer deposition (S-ALD) is a potential high-throughput manufacturing technique offering fast and large scale ultrathin films deposition. Here, an S-ALD system with modular injectors is introduced for fabricating binary oxides and their nanolaminates. By optimizing the deposition conditions, both ZnO and TiO{sub 2} films demonstrate linear growth and desired surface morphology. The as-deposited ZnO film has high carrier mobility, and the TiO{sub 2} film shows suitable optical transmittance and band gap. The ZnO/TiO{sub 2} nanolaminates are fabricated by alternating substrate movement between each S-ALD modular units of ZnO and TiO{sub 2}. The grazing incidence x-ray diffraction spectra ofmore » nanolaminates demonstrating the signature peaks are weaker for the same thickness nanolaminates with more bilayers, suggesting tuning nanolaminates from crystalline to amorphous. Optical transmittances of ZnO/TiO{sub 2} laminates are enhanced with the increase of the bilayers' number in the visible range. Refractive indices of nanolaminates increase with the thickness of each bilayer decreasing, which demonstrates the feasibility of obtaining desired refractive indices by controlling the bilayer number. The electronic properties, including mobility, carrier concentration, and conductivity, are also tunable with different bilayers.« less

  18. CoFe2O4-TiO2 and CoFe2O4-ZnO thin film nanostructures elaborated from colloidal chemistry and atomic layer deposition.

    PubMed

    Clavel, Guylhaine; Marichy, Catherine; Willinger, Marc-Georg; Ravaine, Serge; Zitoun, David; Pinna, Nicola

    2010-12-07

    CoFe(2)O(4)-TiO(2) and CoFe(2)O(4)-ZnO nanoparticles/film composites were prepared from directed assembly of colloidal CoFe(2)O(4) in a Langmuir-Blodgett monolayer and atomic layer deposition (ALD) of an oxide (TiO(2) or ZnO). The combination of these two methods permits the use of well-defined nanoparticles from colloidal chemistry, their assembly on a large scale, and the control over the interface between a ferrimagnetic material (CoFe(2)O(4)) and a semiconductor (TiO(2) or ZnO). Using this approach, architectures can be assembled with a precise control from the Angstrom scale (ALD) to the micrometer scale (Langmuir-Blodgett film). The resulting heterostructures present well-calibrated thicknesses. Electron microscopy and magnetic measurement studies give evidence that the size of the nanoparticles and their intrinsic magnetic properties are not altered by the various steps involved in the synthesis process. Therefore, the approach is suitable to obtain a layered composite with a quasi-monodisperse layer of ferrimagnetic nanoparticles embedded in an ultrathin film of semiconducting material.

  19. Improved electrical properties of atomic layer deposited tin disulfide at low temperatures using ZrO2 layer

    NASA Astrophysics Data System (ADS)

    Lee, Juhyun; Lee, Jeongsu; Ham, Giyul; Shin, Seokyoon; Park, Joohyun; Choi, Hyeongsu; Lee, Seungjin; Kim, Juyoung; Sul, Onejae; Lee, Seungbeck; Jeon, Hyeongtag

    2017-02-01

    We report the effect of zirconium oxide (ZrO2) layers on the electrical characteristics of multilayered tin disulfide (SnS2) formed by atomic layer deposition (ALD) at low temperatures. SnS2 is a two-dimensional (2D) layered material which exhibits a promising electrical characteristics as a channel material for field-effect transistors (FETs) because of its high mobility, good on/off ratio and low temperature processability. In order to apply these 2D materials to large-scale and flexible electronics, it is essential to develop processes that are compatible with current electronic device manufacturing technology which should be conducted at low temperatures. Here, we deposited a crystalline SnS2 at 150 °C using ALD, and we then annealed at 300 °C. X-ray diffraction (XRD) and Raman spectroscopy measurements before and after the annealing showed that SnS2 had a hexagonal (001) peak at 14.9° and A1g mode at 313 cm-1. The annealed SnS2 exhibited clearly a layered structure confirmed by the high resolution transmission electron microscope (HRTEM) images. Back-gate FETs with SnS2 channel sandwiched by top and bottom ZrO2 on p++Si/SiO2 substrate were suggested to improve electrical characteristics. We used a bottom ZrO2 layer to increase adhesion between the channel and the substrate and a top ZrO2 layer to improve contact property, passivate surface, and protect from process-induced damages to the channel. ZTZ (ZrO2/SnS2/ZrO2) FETs showed improved electrical characteristics with an on/off ratio of from 0.39×103 to 6.39×103 and a mobility of from 0.0076 cm2/Vs to 0.06 cm2/Vs.

  20. Effect of Layer Thickness in Selective Laser Melting on Microstructure of Al/5 wt.%Fe2O3 Powder Consolidated Parts

    PubMed Central

    Hao, Liang

    2014-01-01

    In situ reaction was activated in the powder mixture of Al/5 wt.%Fe2O3 by using selective laser melting (SLM) to directly fabricate aluminium metal matrix composite parts. The microstructural characteristics of these in situ consolidated parts through SLM were investigated under the influence of thick powder bed, 75 μm layer thickness, and 50 μm layer thickness in various laser powers and scanning speeds. It was found that the layer thickness has a strong influence on microstructural outcome, mainly attributed to its impact on oxygen content of the matrix. Various microstructural features (such as granular, coralline-like, and particulate appearance) were observed depending on the layer thickness, laser power, and scanning speed. This was associated with various material combinations such as pure Al, Al-Fe intermetallics, and Al(-Fe) oxide phases formed after in situ reaction and laser rapid solidification. Uniformly distributed very fine particles could be consolidated in net-shape Al composite parts by using lower layer thickness, higher laser power, and lower scanning speed. The findings contribute to the new development of advanced net-shape manufacture of Al composites by combining SLM and in situ reaction process. PMID:24526879

  1. MgO-Al2O3-ZrO2 Amorphous Ternary Composite: A Dense and Stable Optical Coating

    NASA Technical Reports Server (NTRS)

    Shaoo, Naba K.; Shapiro, Alan P.

    1998-01-01

    The process-parameter-dependent optical and structural properties of MgO-Al2O3-ZrO2 ternary mixed-composite material were investigated. Optical properties were derived from spectrophotometric measurements. The surface morphology, grain size distributions, crystallographic phases, and process- dependent material composition of films were investigated through the use of atomic force microscopy, x-ray diffraction analysis, and energy-dispersive x-ray analysis. Energy-dispersive x-ray analysis made evident the correlation between the optical constants and the process-dependent compositions in the films. It is possible to achieve environmentally stable amorphous films with high packing density under certain optimized process conditions.

  2. Evolution of resistive switching mechanism through H2O2 sensing by using TaOx-based material in W/Al2O3/TaOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Somsubhra; Panja, Rajeswar; Roy, Sourav; Roy, Anisha; Samanta, Subhranu; Dutta, Mrinmoy; Ginnaram, Sreekanth; Maikap, Siddheswar; Cheng, Hsin-Ming; Tsai, Ling-Na; Chang, Ya-Ling; Mahapatra, Rajat; Jana, Debanjan; Qiu, Jian-Tai; Yang, Jer-Ren

    2018-03-01

    Understanding of resistive switching mechanism through H2O2 sensing and improvement of switching characteristics by using TaOx-based material in W/Al2O3/TaOx/TiN structure have been reported for the first time. Existence of amorphous Al2O3/TaOx layer in the RRAM devices has been confirmed by transmission electron microscopy. By analyzing the oxidation states of Ta2+/Ta5+ for TaOx switching material and W0/W6+ for WOx layer at the W/TaOx interface through X-ray photoelectron spectroscopy and H2O2 sensing, the reduction-oxidation mechanism under Set/Reset occurs only in the TaOx layer for the W/Al2O3/TaOx/TiN structures. This leads to higher Schottky barrier height at the W/Al2O3 interface (0.54 eV vs. 0.46 eV), higher resistance ratio, and long program/erase endurance of >108 cycles with 100 ns pulse width at a low operation current of 30 μA. Stable retention of more than 104 s at 85 °C is also obtained. Using conduction mechanism and reduction-oxidation reaction, current-voltage characteristic has been simulated. Both TaOx and WOx membranes have high pH sensitivity values of 47.65 mV/pH and 49.25 mV/pH, respectively. Those membranes can also sense H2O2 with a low concentration of 1 nM in an electrolyte-insulator-semiconductor structure because of catalytic activity, while the Al2O3 membrane does not show sensing. The TaOx material in W/Al2O3/TaOx/TiN structure does not show only a path towards high dense, small size memory application with understanding of switching mechanism but also can be used for H2O2 sensors.

  3. Localized phase change of VO2 films grown by atomic-layer deposition on InAlN/AlN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Downey, Brian P.; Wheeler, Virginia D.; Meyer, David J.

    2017-06-01

    We demonstrate the thermally actuated phase change of VO2 films formed by atomic layer deposition and subsequent thermal annealing on InAlN/AlN/GaN heterostructures. To locally raise the device temperature above the VO2 semiconductor-metal transition temperature, a two-dimensional electron gas formed within the InAlN/AlN/GaN heterostructure was used as an integrated resistive heater. An ON/OFF resistance ratio of nearly 103 was achieved for 50 nm VO2 films over a temperature range of 25 to 105 °C. The time required to switch the VO2 film from high- to low-resistance states was shown to depend on the applied heater power, with sub-microsecond transition times achieved.

  4. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  5. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  6. Broadband infrared luminescence from Li2O-Al2O3-ZnO-SiO2 glasses doped with Bi2O3.

    PubMed

    Peng, Mingying; Qiu, Jianrong; Chen, Danping; Meng, Xiangeng; Zhu, Congshan

    2005-09-05

    The broadband emission in the 1.2~1.6mum region from Li2O-Al2O3-ZnO-SiO2 ( LAZS ) glass codoped with 0.01mol.%Cr2O3 and 1.0mol.%Bi2O3 when pumped by the 808nm laser at room temperature is not initiated from Cr4+ ions, but from bismuth, which is remarkably different from the results reported by Batchelor et al. The broad ~1300nm emission from Bi2O3-containing LAZS glasses possesses a FWHM ( Full Width at Half Maximum ) more than 250nm and a fluorescent lifetime longer than 500mus when excited by the 808nm laser. These glasses might have the potential applications in the broadly tunable lasers and the broadband fiber amplifiers.

  7. Enhancing the stability of copper chromite catalysts for the selective hydrogenation of furfural with ALD overcoating (II) – Comparison between TiO2 and Al2O3 overcoatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Hongbo; Canlas, Christian; Kropf, A. Jeremy

    2015-01-01

    TiO2 atomic layer deposition (ALD) overcoatings were applied to copper chromite catalysts to increase the stability for 2-furfuraldehyde (“furfural”) hydrogenation. After overcoating, about 75% activity was preserved compared to neat copper chromite: much higher activity than an alumina ALD overcoated catalyst with a similar number of ALD cycles. The effects of ALD TiO2 on the active Cu nanoparticles were studied extensively using both in-situ TPR/isothermal-oxidation and in-situ furfural hydrogenation via Cu XAFS. The redox properties of Cu were modified only slightly by the TiO2 ALD overcoat. However, a subtle electronic interaction was observed between the TiO2 ALD layers and themore » Cu nanoparticles. With calcination at 500 °C the interaction between the TiO2 overcoat and the underlying catalyst is strong enough to inhibit migration and site blocking by chromite, but is sufficiently weaker than the interaction between the Al2O3 overcoat and copper chromite that it does not strongly inhibit the catalytic activity of the copper nanoparticles.« less

  8. Modeling and in Situ Probing of Surface Reactions in Atomic Layer Deposition.

    PubMed

    Zheng, Yuanxia; Hong, Sungwook; Psofogiannakis, George; Rayner, G Bruce; Datta, Suman; van Duin, Adri C T; Engel-Herbert, Roman

    2017-05-10

    Atomic layer deposition (ALD) has matured into a preeminent thin film deposition technique by offering a highly scalable and economic route to integrate chemically dissimilar materials with excellent thickness control down to the subnanometer regime. Contrary to its extensive applications, a quantitative and comprehensive understanding of the reaction processes seems intangible. Complex and manifold reaction pathways are possible, which are strongly affected by the surface chemical state. Here, we report a combined modeling and experimental approach utilizing ReaxFF reactive force field simulation and in situ real-time spectroscopic ellipsometry to gain insights into the ALD process of Al 2 O 3 from trimethylaluminum and water on hydrogenated and oxidized Ge(100) surfaces. We deciphered the origin for the different peculiarities during initial ALD cycles for the deposition on both surfaces. While the simulations predicted a nucleation delay for hydrogenated Ge(100), a self-cleaning effect was discovered on oxidized Ge(100) surfaces and resulted in an intermixed Al 2 O 3 /GeO x layer that effectively suppressed oxygen diffusion into Ge. In situ spectroscopic ellipsometry in combination with ex situ atomic force microscopy and X-ray photoelectron spectroscopy confirmed these simulation results. Electrical impedance characterizations evidenced the critical role of the intermixed Al 2 O 3 /GeO x layer to achieve electrically well-behaved dielectric/Ge interfaces with low interface trap density. The combined approach can be generalized to comprehend the deposition and reaction kinetics of other ALD precursors and surface chemistry, which offers a path toward a theory-aided rational design of ALD processes at a molecular level.

  9. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    PubMed

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  10. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidlymore » decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.« less

  11. Oxidation of Al2O3 continuous fiber-reinforced/NiAl composites

    NASA Technical Reports Server (NTRS)

    Doychak, J.; Nesbitt, J. A.; Noebe, R. D.; Bowman, R. R.

    1992-01-01

    The 1200 C and 1300 C isothermal and cyclic oxidation behavior of Al2O3 continuous fiber-reinforced/NiAl composites were studied. Oxidation resulted in formation of Al2O3 external scales in a similar manner as scales formed on monolithic NiAl. The isothermal oxidation of an Al2O3/NiAl composite resulted in oxidation of the matrix along the fiber/matrix interface near the fiber ends. This oxide acted as a wedge between the fiber and the matrix, and, under cyclic oxidation conditions, led to further oxidation along the fiber lengths and eventual cracking of the composite. The oxidation behavior of composites in which the Al2O3 fibers were sputter coated with nickel prior to processing was much more severe. This was attributed to open channels around the fibers which formed during processing, most likely as a result of the diffusion of the nickel coating into the matrix.

  12. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  13. Effect of AL2O3 and TiO2 nanoparticles on aquatic organisms

    NASA Astrophysics Data System (ADS)

    Gosteva, I.; Morgalev, Yu; Morgaleva, T.; Morgalev, S.

    2015-11-01

    Environmental toxicity of aqueous disperse systems of nanoparticles of binary compounds of titanium dioxides (with particle size Δ50=5 nm, Δ50=50 nm, Δ50=90 nm), aluminum oxide alpha-forms (Δ50=7 nm and Δ50=70 nm) and macro forms (TiO2 Δ50=350 nm, Al2O3 A50=4000 nm) were studied using biological testing methods. The bioassay was performed using a set of test organisms representing the major trophic levels. We found the dependence of the toxic effect concentration degree of nTiO2 and nAl2O3 on the fluorescence of the bacterial biosensor "Ekolyum", the chemotactic response of ciliates Paramecium caudatum, the growth of unicellular algae Chlorella vulgaris Beijer and mortality of entomostracans Daphnia magna Straus. We revealed the selective dependence of nTiO2 and nAl2O3 toxicity on the size, concentration and chemical nature of nanoparticles. The minimal concentration causing an organism's response on nTiO2 and nAl2O3 effect depends on the type of the test- organism and the test reaction under study. We specified L(E)C50 and acute toxicity categories for all the studied nanoparticles. We determined that nTiO2 (Δ50=5 nm) belong to the category «Acute toxicity 1», nTiO2 (A50=90 nm) and nAl2O3 (Δ50=70 nm) - to the category «Acute toxicity 2», nAl2O3 (Δ50=7 nm) - to the category «Acute toxicity 3». No acute toxicity was registered for nTiO2 (Δ50=50 nm) and macro form TiO2.

  14. Electrical and photo-electrical properties of MoS2 nanosheets with and without an Al2O3 capping layer under various environmental conditions.

    PubMed

    Khan, Muhammad Farooq; Nazir, Ghazanfar; Lermolenko, Volodymyr M; Eom, Jonghwa

    2016-01-01

    The electrical and photo-electrical properties of exfoliated MoS 2 were investigated in the dark and in the presence of deep ultraviolet (DUV) light under various environmental conditions (vacuum, N 2 gas, air, and O 2 gas). We examined the effects of environmental gases on MoS 2 flakes in the dark and after DUV illumination through Raman spectroscopy and found that DUV light induced red and blue shifts of peaks (E 1 2 g and A 1 g ) position in the presence of N 2 and O 2 gases, respectively. In the dark, the threshold voltage in the transfer characteristics of few-layer (FL) MoS 2 field-effect transistors (FETs) remained almost the same in vacuum and N 2 gas but shifted toward positive gate voltages in air or O 2 gas because of the adsorption of oxygen atoms/molecules on the MoS 2 surface. We analyzed light detection parameters such as responsivity, detectivity, external quantum efficiency, linear dynamic range, and relaxation time to characterize the photoresponse behavior of FL-MoS 2 FETs under various environmental conditions. All parameters were improved in their performances in N 2 gas, but deteriorated in O 2 gas environment. The photocurrent decayed with a large time constant in N 2 gas, but decayed with a small time constant in O 2 gas. We also investigated the characteristics of the devices after passivating by Al 2 O 3 film on the MoS 2 surface. The devices became almost hysteresis-free in the transfer characteristics and stable with improved mobility. Given its outstanding performance under DUV light, the passivated device may be potentially used for applications in MoS 2 -based integrated optoelectronic circuits, light sensing devices, and solar cells.

  15. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  16. Plasma plume effects on the conductivity of amorphous-LaAlO{sub 3}/SrTiO{sub 3} interfaces grown by pulsed laser deposition in O{sub 2} and Ar

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sambri, A.; Amoruso, S.; Bruzzese, R.

    2012-06-04

    Amorphous-LaAlO{sub 3}/SrTiO{sub 3} interfaces exhibit metallic conductivity similar to those found for the extensively studied crystalline-LaAlO{sub 3}/SrTiO{sub 3} interfaces. Here, we investigate the conductivity of the amorphous-LaAlO{sub 3}/SrTiO{sub 3} interfaces grown in different pressures of O{sub 2} and Ar background gases. During the deposition, the LaAlO{sub 3} ablation plume is also studied, in situ, by fast photography and space-resolved optical emission spectroscopy. An interesting correlation between interfacial conductivity and kinetic energy of the Al atoms in the plume is observed: to assure conducting interfaces of amorphous-LaAlO{sub 3}/SrTiO{sub 3}, the kinetic energy of Al should be higher than 1 eV. Ourmore » findings add further insights on mechanisms leading to interfacial conductivity in SrTiO{sub 3}-based oxide heterostructures.« less

  17. Polarization and Fowler-Nordheim tunneling in anodized Al-Al2O3-Au diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2000-06-01

    Polarization in anodic Al2O3 films is measured by using quasi-dc current-voltage (I-V) curves of Al-Al2O3-Au diodes. A reproducible polarization state is established by applying a negative voltage to the Au electrode of a rectifying Al-Al2O3-Au diode. The difference between subsequent I-V curves with Au positive is a measure of polarization in the sample. The magnitude of polarization charge in Al2O3 depends on the anodizing electrolyte. Al2O3 films formed in H2O-based electrolytes have approximately ten times the polarization charge of Al2O3 films formed in ethylene glycol-based electrolyte. Anodizing conditions that produce greater polarizing charge in anodic Al2O3 result in voltage-time curves during anodization under galvanostatic conditions that are nonlinear. Anodic films with greater polarizing charge also have a greater apparent interface capacitance which is independent of Al2O3 thickness. I-V curves of Al-Al2O3-Au diodes for increasing voltage are dominated by polarization. I-V curves for decreasing voltage are reproducible and parallel but depend on the maximum current and voltage reached during the measurement. There is no single current corresponding to a given voltage. I-V curves for decreasing voltage are analyzed assuming that the conduction mechanism is Fowler-Nordheim (FN) tunneling. There is a qualitative difference between the FN tunneling parameters for Al2O3 films formed in H2O-based electrolytes and those formed in ethylene glycol-based electrolyte. For the former the value of the exponential term in the FN analysis increases as the value of maximum voltage and current in an I-V characteristic increases, while the value of the pre-exponential term is nearly constant. For the latter, the exponential term is nearly constant as maximum voltage and current increase, but the pre-exponential term decreases by about 5 decades. Thus polarization charge incorporated during formation of anodized Al2O3 strongly affects the formation of the insulating

  18. Interactions of Organic Solvents at Graphene/α-Al 2 O 3 and Graphene Oxide/α-Al 2 O 3 Interfaces Studied by Sum Frequency Generation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Achtyl, Jennifer L.; Vlassiouk, Ivan V.; Dai, Sheng

    2014-07-17

    The adsorption of 1-hexanol from cyclohexane-d12 at single-layer graphene/α-Al2O3 interfaces was probed at mole percent values as low as 0.05 in the C–H stretching region using vibrational sum frequency generation (SFG). The SFG spectra are indiscernible from those obtained in the absence of graphene, and from those obtained in the presence of graphene oxide films prepared via oxygen plasma treatment of pristine single-layer graphene. A Langmuir adsorption model yields observed free adsorption energies of -19.9(5) to -20.9(3) kJ/mol for the three interfaces. The results indicate that the molecular structure of the hexanol alkyl chain is subject to the same orientationmore » distribution when graphene, oxidized or not, is present or absent at the α-Al2O3/cyclohexane-d12 interface. Moreover, it appears that the adsorption of 1-hexanol in this binary mixture is driven by hexanol interactions with the underlying oxide support, and that a single layer of graphene does not influence the extent of this interaction, even when defects are introduced to it. Finally, our structural and quantitative thermodynamic data provide important benchmarks for theoretical calculations and atomistic simulations of liquid/graphene interfaces. We hypothesize that defects emerging in graphene during operation of any device application that relies on layered solvent/graphene/oxide interfaces have little impact on the interfacial structure or thermodynamics, at least for the binary mixture and over the range of defect densities probed in our studies.« less

  19. Emission analysis of RE3+ (RE = Sm, Dy):B2O3-TeO2-Li2O-AlF3 glasses.

    PubMed

    Raju, C Nageswara; Sailaja, S; Kumari, S Pavan; Dhoble, S J; Kumar, V Ramesh; Ramanaiah, M V; Reddy, B Sudhakar

    2013-01-01

    This article reports on the optical properties of 0.5% mol of Sm(3+), Dy(3+) ion-doped B2O3-TeO2-Li2O-AlF3 (LiAlFBT) glasses. The glass samples were characterized by optical absorption and emission spectra. Judd-Ofelt theory was applied to analyze the optical absorption spectra and calculate the intensity parameters and radiative properties of the emission transitions. The emission spectra of Sm(3+) and Dy(3+):LiAlFBT glasses showed a bright reddish-orange emission at 598 nm ((4)G5/2 → (6)H7/2) and an intense yellow emission at 574 nm ((4)F9/2 → (6)H13/2), respectively. Full width at half maximum (FWHM), stimulated emission cross section, gain bandwidth and optical gain values were also calculated to extend the applications of the Sm(3+) and Dy(3+):LiAlFBT glasses. Copyright © 2012 John Wiley & Sons, Ltd.

  20. Atomic and molecular layer deposition for surface modification

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi; Sievänen, Jenni; Salo, Erkki

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjetmore » printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.« less