Sample records for al2o3 buffer layer

  1. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  2. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  3. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  4. Strain Effects in Epitaxial VO2 Thin Films on Columnar Buffer-Layer TiO2/Al2O3 Virtual Substrates.

    PubMed

    Breckenfeld, Eric; Kim, Heungsoo; Burgess, Katherine; Charipar, Nicholas; Cheng, Shu-Fan; Stroud, Rhonda; Piqué, Alberto

    2017-01-18

    Epitaxial VO 2 /TiO 2 thin film heterostructures were grown on (100) (m-cut) Al 2 O 3 substrates via pulsed laser deposition. We have demonstrated the ability to reduce the semiconductor-metal transition (SMT) temperature of VO 2 to ∼44 °C while retaining a 4 order of magnitude SMT using the TiO 2 buffer layer. A combination of electrical transport and X-ray diffraction reciprocal space mapping studies help examine the specific strain states of VO 2 /TiO 2 /Al 2 O 3 heterostructures as a function of TiO 2 film growth temperatures. Atomic force microscopy and transmission electron microscopy analyses show that the columnar microstructure present in TiO 2 buffer films is responsible for the partially strained VO 2 film behavior and subsequently favorable transport characteristics with a lower SMT temperature. Such findings are of crucial importance for both the technological implementation of the VO 2 system, where reduction of its SMT temperature is widely sought, as well as the broader complex oxide community, where greater understanding of the evolution of microstructure, strain, and functional properties is a high priority.

  5. Doped Y.sub.2O.sub.3 buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2007-08-21

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the metallic substrate, the biaxially textured buffer layer comprising Y.sub.2O.sub.3 and a dopant for blocking cation diffusion through the Y.sub.2O.sub.3, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  6. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  7. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    NASA Astrophysics Data System (ADS)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  8. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  9. Effect of Homo-buffer Layers on the Properties of Sputtering Deposited Ga2O3 Films

    NASA Astrophysics Data System (ADS)

    Huang, Jian; Li, Bing; Ma, Yuncheng; Tang, Ke; Huang, Haofei; Hu, Yan; Zou, Tianyu; Wang, Linjun

    2018-05-01

    β- Ga2O3 films were grown by radio-frequency magnetron sputtering method. The influence of Ga2O3 buffer layers and annealing treatment on the structural, optical, morphological and electrical properties of Ga2O3 films was studied. The results revealed an improvement of crystalline quality and transmittance of annealed β- Ga2O3 films prepared with homo-buffer layers. Ga2O3 film UV photodetectors were fabricated with a new B and Ga co-doped ZnO films (BGZO)/Au interdigitated electrode. A good ohmic contact was formed between the film and the electrode. For the detector based on Ga2O3 films with buffer layers, a higher value of photo response and faster response times was obtained.

  10. Matching characteristics of different buffer layers with VO2 thin films

    NASA Astrophysics Data System (ADS)

    Yang, Kai; Zhang, Dongping; Liu, Yi; Guan, Tianrui; Qin, Xiaonan; Zhong, Aihua; Cai, Xingmin; Fan, Ping; Lv, Weizhong

    2016-10-01

    VO2 thin films were fabricated by reactive DC magnetron sputtering on different buffer layers of MgF2, Al2O3 and TiO2, respectively. The crystallinity and orientation relationship, thickness of VO2 thin films, atoms vibrational modes, optical and electrical property, surface morphology of films were characterized by X-ray diffraction, Raman scattering microscopy, step profiler, spectrophotometer, four-probe technique, and scanning electron microscopy, respectively. XRD results investigated that the films have preferential crystalline planes VO2 (011). The crystallinity of VO2 films grown on TiO2 buffer layers are superior to VO2 directly deposited on soda-lime glass. The Raman bands of the VO2 films correspond to an Ag symmetry mode of VO2 (M). The sample prepared on 100nm TiO2 buffer layer appears nanorods structure, and exhibits remarkable solar energy modulation ability as high as 5.82% in full spectrum and 23% in near infrared spectrum. Cross-sectional SEM image of the thin films samples indicate that MgF2 buffer layer has clear interface with VO2 layer. But there are serious interdiffusion phenomenons between Al2O3, TiO2 buffer layer with VO2 layer.

  11. Y1Ba2Cu3O(6+delta) growth on thin Y-enhanced SiO2 buffer layers on silicon

    NASA Technical Reports Server (NTRS)

    Robin, T.; Mesarwi, A.; Wu, N. J.; Fan, W. C.; Espoir, L.; Ignatiev, A.; Sega, R.

    1991-01-01

    SiO2 buffer layers as thin as 2 nm have been developed for use in the growth of Y1Ba2Cu3O(6+delta) thin films on silicon substrates. The SiO2 layers are formed through Y enhancement of silicon oxidation, and are highly stoichiometric. Y1Ba2Cu3O(6+delta) film growth on silicon with thin buffer layers has shown c orientation and Tc0 = 78 K.

  12. Role of interfacial transition layers in VO2/Al2O3 heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Honghui; Chisholm, Matthew F; Yang, Tsung-Han

    2011-01-01

    Epitaxial VO2 films grown by pulsed laser deposition (PLD) on c-cut sapphire substrates ((0001) Al2O3) were studied by aberration-corrected scanning transmission electron microscopy (STEM). A number of film/substrate orientation relationships were found and are discussed in the context of the semiconductor-metal transition (SMT) characteristics. A structurally and electronically modified buffer layer was revealed on the interface and was attributed to the interface free-energy minimization process of accommodating the symmetry mismatch between the substrate and the film. This interfacial transition layer is expected to affect the SMT behavior when the interfacial region is a significant fraction of the VO2 film thickness.

  13. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  14. Direct charge carrier injection into Ga2O3 thin films using an In2O3 cathode buffer layer: their optical, electrical and surface state properties

    NASA Astrophysics Data System (ADS)

    Cui, W.; Zhao, X. L.; An, Y. H.; Guo, D. Y.; Qing, X. Y.; Wu, Z. P.; Li, P. G.; Li, L. H.; Cui, C.; Tang, W. H.

    2017-04-01

    Conductive Ga2O3 thin films with an In2O3 buffer layer have been prepared on c-plane sapphire substrates using a laser molecular beam epitaxy technique. The effects of the In2O3 buffer layer on the structure and optical, electrical and surface state properties of the Ga2O3 films have been studied. The change in conductivity of the thin films is attributed to different thicknesses of the In2O3 buffer layer, which determine the concentration of charge carriers injected into the upper Ga2O3 layer from the interface of the bilayer thin films. In addition, the increase in flat band voltage shift and capacitance values as the In2O3 buffer layer thickens are attributed to the increase in surface state density, which also contributes to the rapid shrinkage of the optical band gap of the Ga2O3. With transparency to visible light, high n-type conduction and the ability to tune the optical band gap and surface state density, we propose that Ga2O3/In2O3 bilayer thin film is an ideal n-type semiconductor for fabrication of transparent power devices, solar cell electrodes and gas sensors.

  15. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  16. Electron Trap Energy Distribution in ALD Al2O3, LaAl4Ox, and GdyAl2-yO3 Layers on Silicon

    NASA Astrophysics Data System (ADS)

    Wang, W. C.; Badylevich, M.; Adelmann, C.; Swerts, J.; Kittl, J. A.; Afanas'ev, V. V.

    2012-12-01

    The energy distribution of electron trap density in atomic layer deposited Al2O3, LaAl4Ox and GdyAl2-yO3 insulating layers was studied by using the exhaustive photodepopulation spectroscopy. Upon filling the traps by electron tunneling from Si substrate, a broad energy distribution of trap levels in the energy range 2-4 eV is found in all studied insulators with trap densities in the range of 1012 cm-2eV-1. The incorporation of La and Gd cations reduces the trap density in aluminate layers as compared to Al2O3. Crystallization of the insulator by the post-deposition annealing is found to increase the trap density while the energy distribution remains unchanged. The similar trap spectra in the Al2O3 and La or Gd aluminate layers suggest the common nature of the traps, probably originating from imperfections in the AlOx sub-network.

  17. Effect of buffer layer on photoresponse of MoS2 phototransistor

    NASA Astrophysics Data System (ADS)

    Miyamoto, Yuga; Yoshikawa, Daiki; Takei, Kuniharu; Arie, Takayuki; Akita, Seiji

    2018-06-01

    An atomically thin MoS2 field-effect transistor (FET) is expected as an ultrathin photosensor with high sensitivity. However, a persistent photoconductivity phenomenon prevents high-speed photoresponse. Here, we investigate the photoresponse of a MoS2 FET with a thin Al2O3 buffer layer on a SiO2 gate insulator. The application of a 2-nm-thick Al2O3 buffer layer greatly improves not only the steady state properties but also the response speed from 1700 to 0.2 s. These experimental results are well explained by the random localized potential fluctuation model combined with the model based on the recombination of the bounded electrons around the trapped hole.

  18. Improving fatigue resistance of Pb(Zr,Ti)O3 thin films by using PbZrO3 buffer layers

    NASA Astrophysics Data System (ADS)

    Mensur Alkoy, Ebru; Uchiyama, Kiyoshi; Shiosaki, Tadashi; Alkoy, Sedat

    2006-05-01

    Ferroelectric Pb(Zr0.52Ti0.48)O3 (PZT) thin films with PbZrO3 (PZ) buffer layers were prepared on Pt(111)/Ti/SiO2/Si(100) substrates using a hybrid rf magnetron sputtering and sol-gel process. Texture of PZT films was found to depend on Pb content of PZ buffer layers. Buffered PZT films displayed comparable ferroelectric properties (2Pr=38-53 μC/cm2,2Ec=136-170 kV/cm) with unbuffered PZT. Asymmetric leakage current and fatigue behavior with superior fatigue resistance was observed in PZ buffered PZT compared to unbuffered films. PZ buffer layers were found to affect crystallization and texture of PZT, and act as a capacitive interface layer possibly blocking charge injection from electrodes.

  19. Al2O3 and TiO2 atomic layer deposition on copper for water corrosion resistance.

    PubMed

    Abdulagatov, A I; Yan, Y; Cooper, J R; Zhang, Y; Gibbs, Z M; Cavanagh, A S; Yang, R G; Lee, Y C; George, S M

    2011-12-01

    Al(2)O(3) and TiO(2) atomic layer deposition (ALD) were employed to develop an ultrathin barrier film on copper to prevent water corrosion. The strategy was to utilize Al(2)O(3) ALD as a pinhole-free barrier and to protect the Al(2)O(3) ALD using TiO(2) ALD. An initial set of experiments was performed at 177 °C to establish that Al(2)O(3) ALD could nucleate on copper and produce a high-quality Al(2)O(3) film. In situ quartz crystal microbalance (QCM) measurements verified that Al(2)O(3) ALD nucleated and grew efficiently on copper-plated quartz crystals at 177 °C using trimethylaluminum (TMA) and water as the reactants. An electroplating technique also established that the Al(2)O(3) ALD films had a low defect density. A second set of experiments was performed for ALD at 120 °C to study the ability of ALD films to prevent copper corrosion. These experiments revealed that an Al(2)O(3) ALD film alone was insufficient to prevent copper corrosion because of the dissolution of the Al(2)O(3) film in water. Subsequently, TiO(2) ALD was explored on copper at 120 °C using TiCl(4) and water as the reactants. The resulting TiO(2) films also did not prevent the water corrosion of copper. Fortunately, Al(2)O(3) films with a TiO(2) capping layer were much more resilient to dissolution in water and prevented the water corrosion of copper. Optical microscopy images revealed that TiO(2) capping layers as thin as 200 Å on Al(2)O(3) adhesion layers could prevent copper corrosion in water at 90 °C for ~80 days. In contrast, the copper corroded almost immediately in water at 90 °C for Al(2)O(3) and ZnO films by themselves on copper. Ellipsometer measurements revealed that Al(2)O(3) films with a thickness of ~200 Å and ZnO films with a thickness of ~250 Å dissolved in water at 90 °C in ~10 days. In contrast, the ellipsometer measurements confirmed that the TiO(2) capping layers with thicknesses of ~200 Å on the Al(2)O(3) adhesion layers protected the copper for ~80 days in

  20. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  1. Spectroscopy analysis of graphene like deposition using DC unbalanced magnetron sputtering on γ‐Al{sub 2}O{sub 3} buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aji, A. S., E-mail: yudi@fi.itb.ac.id; Darma, Y., E-mail: yudi@fi.itb.ac.id

    In this work, graphene-like deposition using DC unbalanced magnetron-sputtering technique on γ‐Al{sub 2}O{sub 3} layer at low temperature has been systematically studied. The γ‐Al{sub 2}O{sub 3} was growth on silicon substrate using thermal evaporation of Al wire and continuing with dry oxidation of Al at 550 °C. Sputtering process were carried out using Fe-doped carbon pellet as a target by maintain the chamber pressure of 4.6×10{sup −2} Torr at substrate temperature of 300 °C for time deposition range of 1 to 4 hours. The quality of Al{sub 2}O{sub 3} on Si(100) and the characteristic of carbon thin film on γ‐Al{submore » 2}O{sub 3} were analized by mean XRD, opctical microscopy, EDAX, FTIR, and Raman spectra. XRD and optical microscopy analysis shows that Al{sub 2}O{sub 3} film is growth uniformly on Si substrate and forming the γ phase of Al{sub 2}O{sub 3}. Raman and FTIR spectra confirm the formation of graphene like carbon layer on Al{sub 2}O{sub 3}. Additionally, thermal annealing for some sample series have been performed to study their structural stability. The change of atomic structure due to thermal annealing were analized by XRD spectra. The quality and the number of graphene layers are investigated by using Raman spectra peaks analysis.« less

  2. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  3. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  4. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  5. Nanometer-Scale Epitaxial Strain Release in Perovskite Heterostructures Using 'SrAlOx' Sliding Buffer Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Christopher

    2011-08-11

    We demonstrate the strain release of LaAlO{sub 3} epitaxial film on SrTiO{sub 3} (001) by inserting ultra-thin 'SrAlO{sub x}' buffer layers. Although SrAlO{sub x} is not a perovskite, nor stable as a single phase in bulk, epitaxy stabilizes the perovskite structure up to a thickness of 2 unit cells (uc). At a critical thickness of 3 uc of SrAlO{sub x}, the interlayer acts as a sliding buffer layer, and abruptly relieves the lattice mismatch between the LaAlO{sub 3} filmand the SrTiO{sub 3} substrate, while maintaining crystallinity. This technique may provide a general approach for strain relaxation of perovskite film farmore » below the thermodynamic critical thickness. A central issue in heteroepitaxial filmgrowth is the inevitable difference in lattice constants between the filmand substrate. Due to this lattice mismatch, thin film are subjected to microstructural strain, which can have a significan effect on the filmproperties. This challenge is especially prominent in the rapidly developing fiel of oxide electronics, where much interest is focused on incorporating the emergent physical properties of oxides in devices. Although strain can be used to great effect to engineer unusual ground states, it is often deleterious for bulk first-orde phase transitions, which are suppressed by the strain and symmetry constraints of the substrate. While there are some reports discussing the control of the lattice mismatch in oxides using thick buffer layers, the materials choice, lattice-tunable range, and control of misfit dislocations are still limited. In this Letter, we report the fabrication of strain-relaxed LaAlO{sub 3} (LAO) thin film on SrTiO{sub 3} (STO) (001) using very thin 'SrAlO{sub x}' (SAO) buffer layers. Whereas for 1 or 2 pseudo-perovskite unit cells (uc) of SAO, the subsequent LAO filmis strained to the substrate, at a critical thickness of 3 uc the SAO interlayer abruptly relieves the lattice mismatch between the LAO and the STO, although maintaining

  6. Atomic Layer Deposition Al2O3 Coatings Significantly Improve Thermal, Chemical, and Mechanical Stability of Anodic TiO2 Nanotube Layers

    PubMed Central

    2017-01-01

    We report on a very significant enhancement of the thermal, chemical, and mechanical stability of self-organized TiO2 nanotubes layers, provided by thin Al2O3 coatings of different thicknesses prepared by atomic layer deposition (ALD). TiO2 nanotube layers coated with Al2O3 coatings exhibit significantly improved thermal stability as illustrated by the preservation of the nanotubular structure upon annealing treatment at high temperatures (870 °C). In addition, a high anatase content is preserved in the nanotube layers against expectation of the total rutile conversion at such a high temperature. Hardness of the resulting nanotube layers is investigated by nanoindentation measurements and shows strongly improved values compared to uncoated counterparts. Finally, it is demonstrated that Al2O3 coatings guarantee unprecedented chemical stability of TiO2 nanotube layers in harsh environments of concentrated H3PO4 solutions. PMID:28291942

  7. Trapped charge densities in Al{sub 2}O{sub 3}-based silicon surface passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jordan, Paul M., E-mail: Paul.Jordan@namlab.com; Simon, Daniel K.; Dirnstorfer, Ingo

    2016-06-07

    In Al{sub 2}O{sub 3}-based passivation layers, the formation of fixed charges and trap sites can be strongly influenced by small modifications in the stack layout. Fixed and trapped charge densities are characterized with capacitance voltage profiling and trap spectroscopy by charge injection and sensing, respectively. Al{sub 2}O{sub 3} layers are grown by atomic layer deposition with very thin (∼1 nm) SiO{sub 2} or HfO{sub 2} interlayers or interface layers. In SiO{sub 2}/Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} stacks, both fixed charges and trap sites are reduced by at least a factor of 5 compared with the value measured inmore » pure Al{sub 2}O{sub 3}. In Al{sub 2}O{sub 3}/SiO{sub 2}/Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/HfO{sub 2}/Al{sub 2}O{sub 3} stacks, very high total charge densities of up to 9 × 10{sup 12} cm{sup −2} are achieved. These charge densities are described as functions of electrical stress voltage, time, and the Al{sub 2}O{sub 3} layer thickness between silicon and the HfO{sub 2} or the SiO{sub 2} interlayer. Despite the strong variation of trap sites, all stacks reach very good effective carrier lifetimes of up to 8 and 20 ms on p- and n-type silicon substrates, respectively. Controlling the trap sites in Al{sub 2}O{sub 3} layers opens the possibility to engineer the field-effect passivation in the solar cells.« less

  8. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  9. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  10. Preparation and characterization of nanorod-like TiO2 and ZnO films used for charge-transport buffer layers in P3HT based organic solar cells

    NASA Astrophysics Data System (ADS)

    Thao, Tran Thi; Long, Dang Dinh; Truong, Vo-Van; Dinh, Nguyen Nang

    2016-08-01

    With the aim of findingout the appropriate buffer layers for organic solar cells (OSC), TiO2 and ZnO on ITO/glass were prepared as nanorod-like thin films. The TiO2 films were crystallyzed in the anatase phase and the ZnO films, in the wurtzite structure. The nanorods in both the fims have a similar size of 15 to 20 nm in diameter and 30 to 50 nm in length. The nanorods have an orientation nearly perpendicular to the ITO-substrate surface. From UV-Vis data the bandgap of the TiO2 and ZnO films were determined tobe 3.26 eV and 3.42 eV, respectively. The laminar organic solar cells with added TiO2 and ZnO, namely ITO/TiO2/P3HT:PCBM/LiF/Al (TBD) and ITO/ZnO/P3HT:PCBM/LiF/Al (ZBD)were made for characterization of the energy conversion performance. As a result, comparing to TiO2,the nanorod-likeZnO filmwas found to be a much better buffer layer that made the fill factor improve from a value of 0.60 for TBD to 0.82 for ZBD, and consequently thePCE was enhanced from 0.84 for TBD to 1.17% for ZBD.

  11. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    PubMed

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  12. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  13. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  14. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  15. Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques

    NASA Astrophysics Data System (ADS)

    Li, Chao

    Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post

  16. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  17. Improvement in temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films using Ba(Mg1/3Ta2/3)O3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wu, Zhi; Zhou, Jing; Chen, Wen; Shen, Jie; Yang, Huimin; Zhang, Shisai; Liu, Yueli

    2016-12-01

    In this paper, Pb(Zr0.52Ti0.48)O3 (PZT) thin films were prepared via sol-gel method. The effects of Ba(Mg1/3Ta2/3)O3 (BMT) buffer layer on the temperature dependence and dielectric tunability properties of PZT thin films were studied. As the thickness of BMT buffer layer increases, the tan δ and tunability of PZT thin films decrease while tunability still maintains above 10%. This result shows that BMT buffer layer can improve the dielectric tunability properties of PZT thin films. Furthermore, the temperature coefficient of the dielectric constant decreases from 2333.4 to 906.9 ppm/°C with the thickness of BMT buffer layer increasing in the range from 25 to 205 °C, indicating that BMT buffer layer can improve the temperature stability of PZT thin films. Therefore, BMT buffer layer plays a critical role in improving temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films.

  18. Transparent-conductive-oxide (TCO) buffer layer effect on the resistive switching process in metal/TiO2/TCO/metal assemblies

    NASA Astrophysics Data System (ADS)

    Filatova, E. O.; Baraban, A. P.; Konashuk, A. S.; Konyushenko, M. A.; Selivanov, A. A.; Sokolov, A. A.; Schaefers, F.; Drozd, V. E.

    2014-11-01

    The effect of a transparent conductive oxide (TCO) buffer layer on the insulator matrix and on the resistive switching process in the metal/TiO2/TCO/metal assembly was studied depending on the material of the TCO (ITO-(In2O3)0.9(SnO2)0.1 or SnO2 or ZnO). For the first time electro-physical studies and near edge x-ray absorption fine structure (NEXAFS) studies were carried out jointly and at the same point of the sample, providing direct experimental evidence that the switching process strongly influences the lowest unoccupied bands and the local atomic structure of the TiO2 layers. It was established that a TCO layer in a metal/TiO2/TCO/metal assembly is an additional source of oxygen vacancies for the TiO2 film. The RL (RH) states are achieved presumably with the formation (rupture) of the electrically conductive path of oxygen vacancies. Inserting an Al2O3 thin layer between the TiO2 and TCO layers to some extent restricts the processes of migration of the oxygen ions and vacancies, and does not allow the anti-clockwise bipolar resistive switching in a Au/TiO2/Al2O3/ITO/Au assembly. The greatest value of the ratio RH/RL is observed for the assembly with a SnO2 buffer layer that will provide the maximum set of intermediate states (recording analog data) and increase the density of information recording in this case.

  19. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  20. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  1. Single orthorhombic b axis orientation and antiferromagnetic ordering type in multiferroic CaMnO3 thin film with La0.67Ca0.33MnO3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wang, F.; Dong, B. J.; Zhang, Y. Q.; Liu, W.; Zhang, H. R.; Bai, Y.; Li, S. K.; Yang, T.; Sun, J. R.; Wang, Z. J.; Zhang, Z. D.

    2017-09-01

    The detailed crystal structure and antiferromagnetic properties of a 42 nm thick CaMnO3 film grown on a LaAlO3 substrate with a 9 nm La0.67Ca0.33MnO3 buffer layer have been investigated. Compared with a CaMnO3 film directly grown on a LaAlO3 substrate, only one kind of orthorhombic b axis orientation along the [100] axis of the substrate is observed in the CaMnO3 film with a La0.67Ca0.33MnO3 buffer layer. To determine the antiferromagnetic ordering type of our CaMnO3 film with a buffer layer, the first-principles calculations were carried out with the results, indicating that the CaMnO3 film, even under a tensile strain of 1.9%, is still a compensated G-type antiferromagnetic order, the same as the bulk. Moreover, the exchange bias effect is observed at the interface of the CaMnO3/La0.67Ca0.33MnO3 film, further confirming the antiferromagnetic ordering of the CaMnO3 film with a buffer layer. In addition, it is concluded that the exchange bias effect originates from the spin glass state at the La0.67Ca0.33MnO3/CaMnO3 interface, which arises from a competition between the double-exchange ferromagnetic La0.67Ca0.33MnO3 and super-exchange antiferromagnetic CaMnO3 below the spin glass freezing temperature.

  2. La0.7Sr0.3MnO3: A single, conductive-oxide buffer layer for the development of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Aytug, T.; Paranthaman, M.; Kang, B. W.; Sathyamurthy, S.; Goyal, A.; Christen, D. K.

    2001-10-01

    Coated conductor applications in power technologies require stabilization of the high-temperature superconducting (HTS) layers against thermal runaway. Conductive La0.7Sr0.3MnO3 (LSMO) has been epitaxially grown on biaxially textured Ni substrates as a single buffer layer. The subsequent epitaxial growth of YBa2Cu3O7-δ (YBCO) coatings by pulsed laser deposition yielded self-field critical current densities (Jc) of 0.5×106A/cm2 at 77 K, and provided good electrical connectivity over the entire structure (HTS+conductive-buffer+metal substrate). Property characterizations of YBCO/LSMO/Ni architecture revealed excellent crystallographic and morphological properties. These results have demonstrated that LSMO, used as a single, conductive buffer layer, may offer potential for use in fully stabilized YBCO coated conductors.

  3. Investigation of noble metal substrates and buffer layers for BiSrCaCuO thin films

    NASA Astrophysics Data System (ADS)

    Matthiesen, M. M.; Rubin, L. M.; Williams, K. E.; Rudman, D. A.

    Noble metal buffer layers and substrates for Bi2Sr2CaCu2O8 (BSCCO) films were investigated using bulk ceramic processing and thin-film techniques. Highly oriented, superconducting BSCCO films were fabricated on polycrystalline Ag substrates and on Ag/MgO and Ag/YSZ structures. Such films could not be produced on Au or Pt substrates under any annealing conditions. In addition, superconducting BSCCO films could not be produced on Ag/Al2O3, Ag/SiO2/Si, or Ag/(Haynes 230 alloy) structures using high annealing temperatures (870 C). However, oriented although poorly connected, superconducting BSCCO films were fabricated on Ag/Al2O3 structures by using lower annealing temperatures (820 C). Once lower processing temperatures are optimized, Ag may be usable as a buffer layer for BSCCO films.

  4. Thickness effect of Gd2Zr2O7 buffer layer on performance of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Qiu, Wenbin; Fan, Feng; Lu, Yuming; Liu, Zhiyong; Bai, Chuanyi; Guo, Yanqun; Cai, Chuanbing

    2014-12-01

    Bilayer buffer architecture of Gd2Zr2O7 (GZO)/Y2O3 was prepared on the biaxially textured tape of Ni-5 at% W (NiW) by reactive sputtering deposition technique. The buffer layer of GZO films were deposited with different thicknesses on Y2O3 seeding layer with a given thickness of 20 nm. According to the results of φ-scan, the in-plane FWHMs of GZO films decreased and then reversed with increasing thickness of GZO, which corresponded with the in-plane FWHMs and superconducting properties of YBa2Cu3O7-δ (YBCO) films. Reflection High-Energy Electron Diffraction (RHEED) was carried out to examine the surface texture of GZO films and the deteriorated surface alignment was found for thicker films. The thickness effect of GZO on performance of YBCO is the coupling result of surface texture and blocking effect caused by thickness. With the balance of these two factors, the YBCO/GZO(120 nm)/Y2O3/NiW architecture exhibit relatively high performance with the transition temperature Tc of 92 K, a transition width ΔTc below 1 K, and a critical current density Jc of 0.65 MA/cm2.

  5. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  6. Electrical Double Layer Capacitance in a Graphene-embedded Al2O3 Gate Dielectric

    PubMed Central

    Ki Min, Bok; Kim, Seong K.; Jun Kim, Seong; Ho Kim, Sung; Kang, Min-A; Park, Chong-Yun; Song, Wooseok; Myung, Sung; Lim, Jongsun; An, Ki-Seok

    2015-01-01

    Graphene heterostructures are of considerable interest as a new class of electronic devices with exceptional performance in a broad range of applications has been realized. Here, we propose a graphene-embedded Al2O3 gate dielectric with a relatively high dielectric constant of 15.5, which is about 2 times that of Al2O3, having a low leakage current with insertion of tri-layer graphene. In this system, the enhanced capacitance of the hybrid structure can be understood by the formation of a space charge layer at the graphene/Al2O3 interface. The electrical properties of the interface can be further explained by the electrical double layer (EDL) model dominated by the diffuse layer. PMID:26530817

  7. Uncovering a new quasi-2D CuO2 plane between the YBa2Cu3O7 and CeO2 buffer layer of coated conductors

    NASA Astrophysics Data System (ADS)

    Li, Zhi-Xin; Cao, Jin-Jin; Gou, Xiao-Fan; Wang, Tian-Ge; Xue, Feng

    2018-01-01

    We report a discovery of the quasi-two-dimensional (quasi-2D) CuO2 plane between the superconductor YBa2Cu3O7 (YBCO) and CeO2 buffer layer (mostly used in the fabrication) of coated conductors through the atomistic computer simulations with the molecular dynamics (MD) and first-principle calculations. For an YBCO coated conductor with multilayer structures, the buffer layers deposited onto a substrate are mainly considered to transfer a strong biaxial texture from the substrate to the YBCO layer. To deeply understand the tuning mechanism of the texture transfer, exploring the complete atomic-level picture of the structure between the YBa2Cu3O7/CeO2 interfaces is firstly required. However, the related observation data have not been available due to some big challenges of experimental techniques. With the MD simulations, having tested the accuracy of the potential functions for the YBa2Cu3O7/CeO2 interface, we constructed a total of 54 possible atom stacking models of the interface and identified its most appropriate and stable structure according to the criterion of the interface adhesion energy and the coherent characterization. To further verify the stability of the identified structure, we performed the first-principle calculations to obtain the adhesion energy and developed the general knowledge of the interface structure. Finally, a coherent interface formed with a new built quasi-2D CuO2 plane that is structurally similar to the CuO2 plane inside bulk YBCO was determined.

  8. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  9. Effects of V2O3 buffer layers on sputtered VO2 smart windows: Improved thermochromic properties, tunable width of hysteresis loops and enhanced durability

    NASA Astrophysics Data System (ADS)

    Long, Shiwei; Cao, Xun; Sun, Guangyao; Li, Ning; Chang, Tianci; Shao, Zewei; Jin, Ping

    2018-05-01

    Vanadium dioxide (VO2) is one of the most well-known thermochromic materials, which exhibits a notable optical change from transparent to reflecting in the infrared region upon a metal-insulator phase transition. For practical applications, VO2 thin films should be in high crystalline quality to obtain a strong solar modulation ability (ΔTsol). Meanwhile, narrow hysteresis loops and robust ambient durability are also indispensable for sensitivity and long-lived utilization, respectively. In this work, a series of high-quality V2O3/VO2 bilayer structures were grown on quartz glass substrates by reactive magnetron sputtering. Basically, the bottom V2O3 acts as the buffer layer to improve the crystallinity of the top VO2, while the VO2 serves as the thermochromic layer to guarantee the solar modulation ability for energy-saving. We observed an obvious increase in ΔTsol of 76% (from 7.5% to 13.2%) for VO2 films after introducing V2O3 buffer layers. Simultaneously, a remarkable reduction by 79% (from 21.9 °C to 4.7 °C) in width of hysteresis loop was obtained when embedding 60 nm V2O3 buffer for 60 nm VO2. In addition, VO2 with non-stoichiometry of V2O3±x buffer demonstrates a broadening hysteresis loops width, which is derived from the lattice distortion caused by lattice imperfection. Finally, durability of VO2 has been significantly improved due to positive effects of V2O3 buffer layer. Our results lead to a comprehensive enhancement in crystallinity of VO2 and shed new light on the promotion of thermochromic property by homologous oxides for VO2.

  10. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    PubMed

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Au/n-InP Schottky diodes using an Al2O3 interfacial layer grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Min Soo; Yoon, Seung Yu; Choi, Byung Joon

    2017-02-01

    We investigated the effect of an Al2O3 interfacial layer grown by atomic layer deposition on the electrical properties of Au Schottky contacts to n-type InP. Considering barrier inhomogeneity, modified Richardson plots yielded a Richardson constant of 8.4 and 7.5 Acm-2K-2, respectively, for the sample with and without the Al2O3 interlayer (theoretical value of 9.4 Acm-2K-2 for n-type InP). The dominant reverse current flow for the sample with an Al2O3 interlayer was found to be Poole-Frenkel emission. From capacitance-voltage measurements, it was observed that the capacitance for the sample without the Al2O3 interlayer was frequency dependent. Sputter-induced defects as well as structural defects were passivated effectively with an Al2O3 interlayer.

  12. Dual role of TiO2 buffer layer in Pt catalyzed BiFeO3 photocathodes: Efficiency enhancement and surface protection

    NASA Astrophysics Data System (ADS)

    Shen, Huanyu; Zhou, Xiaoxue; Dong, Wen; Su, Xiaodong; Fang, Liang; Wu, Xi; Shen, Mingrong

    2017-09-01

    Polycrystalline ferroelectric BiFeO3 (BFO) films deposited on transparent indium tin oxide (ITO) electrodes have shown to be an interesting photocathode for photoelectrochemical (PEC) water splitting; however, its PEC performance and stability are far from perfection. Herein, we reported an amorphous TiO2 buffer layer, inserted between BFO and Pt catalyst, improves significantly both its PEC activity and stability. A photocathodic current density of -460 μA/cm2 at 0 V vs. reversible hydrogen electrode (RHE) and an onset potential of 1.25 V vs. RHE were obtained in ITO/BFO/TiO2/Pt photocathode under 100 mW/cm2 Xe-lamp illumination. TiO2 functions as a buffer layer to remove the upward barrier between BFO and Pt, and makes the photogenerated carriers separate efficiently. The photocathode also shows high stability in acid solution after a 10-h PEC continuous testing.

  13. Thermoelectric Properties and Microstructure of Ca3 Co 4 O 9 thin films on SrTiO3 and Al2 O 3 Substrates

    NASA Astrophysics Data System (ADS)

    Paulauskas, T.; Qiao, Q.; Gulec, A.; Klie, R. F.; Ozdemir, M.; Boyraz, C.; Mazumdar, D.; Gupta, A.

    2011-03-01

    Ca 3 Co 4 O9 (CCO), a misfit layered structure exhibiting large Seebeck coefficient at temperatures up to 1000K has attracted increasing attention as a novel high-temperature thermoelectric material. In this work, we investigate CCO thin films grown on SrTi O3 (001) and Al 2 O3 (0001) using pulsed laser deposition. Quality of the thin films was examined using high-resolution transmission electron microscopy and thermoelectric transport measurements. HRTEM images show incommensurate stacks of Cd I2 -type Co O2 layer alternating with rock-salt-type Ca 2 Co O3 layer along the c-axis. Perovskite buffer layer about 10nm thick was found present between CCO and SrTi O3 accompanied by higher density of stacking faults. The CCO grown on Al 2 O3 exhibited numerous misoriented grains and presence of Ca x Co O2 phase. Seebeck coefficient measurements yield an improvement for both samples compared to the bulk value. We suggest that thermoelectric properties of CCO increase due to additional phonon scattering at the stacking faults as well as at the film surfaces/interfaces. This research was supported by the US Army Research Office (W911NF-10-1-0147) and the Sivananthan Undergraduate Research Fellowship.

  14. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  15. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    PubMed

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  16. Piezo-tunnel effect in Al/Al2O3/Al junctions elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Rafael, R.; Puyoo, E.; Malhaire, C.

    2017-11-01

    In this work, the electrical transport in Al/Al2O3/Al junctions under mechanical stress is investigated in the perspective to use them as strain sensors. The metal/insulator/metal junctions are elaborated with a low temperature process (≤200 °C) fully compatible with CMOS back-end-of-line. The conduction mechanism in the structure is found to be Fowler-Nordheim tunneling, and efforts are made to extract the relevant physical parameters. Gauge factors up to -32.5 were found in the fabricated devices under tensile stress. Finally, theoretical mechanical considerations give strong evidence that strain sensitivity in Al/Al2O3/Al structures originates not only from geometrical deformations but also from the variation of interface barrier height and/or effective electronic mass in the tunneling oxide layer.

  17. Surface morphological properties of Ag-Al2O3 nanocermet layers using dip-coating technique

    NASA Astrophysics Data System (ADS)

    Muhammad, Nor Adhila; Suhaimi, Siti Fatimah; Zubir, Zuhana Ahmad; Daud, Sahhidan

    2017-12-01

    Ag-Al2O3 nanocermet layer was deposited on Cu coated glass substrate using dip-coating technique. The aim of this study was to observe the surface morphology properties of Ag-Al2O3 nanocermet layers after annealing process at 350°C in H2. The surface morphology of Ag-Al2O3 nanocermet will be characterized by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM) and X-Ray Diffractometer (XRD), respectively. The results show that nearly isolated Ag particles having a large and small size were present in the Al2O3 dielectric matrix after annealing process. The face centered cubic crystalline structure of Ag nanoparticles inclusion in the amorphous alumina dielectric matrix was confirmed using XRD pattern and supported by EDX spectra analysis.

  18. Band Offset Measurements in Atomic-Layer-Deposited Al2O3/Zn0.8Al0.2O Heterojunction Studied by X-ray Photoelectron Spectroscopy.

    PubMed

    Yan, Baojun; Liu, Shulin; Heng, Yuekun; Yang, Yuzhen; Yu, Yang; Wen, Kaile

    2017-12-01

    Pure aluminum oxide (Al 2 O 3 ) and zinc aluminum oxide (Zn x Al 1-x O) thin films were deposited by atomic layer deposition (ALD). The microstructure and optical band gaps (E g ) of the Zn x Al 1-x O (0.2 ≤ x ≤ 1) films were studied by X-ray diffractometer and Tauc method. The band offsets and alignment of atomic-layer-deposited Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction were investigated in detail using charge-corrected X-ray photoelectron spectroscopy. In this work, different methodologies were adopted to recover the actual position of the core levels in insulator materials which were easily affected by differential charging phenomena. Valence band offset (ΔE V ) and conduction band offset (ΔE C ) for the interface of the Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction have been constructed. An accurate value of ΔE V  = 0.82 ± 0.12 eV was obtained from various combinations of core levels of heterojunction with varied Al 2 O 3 thickness. Given the experimental E g of 6.8 eV for Al 2 O 3 and 5.29 eV for Zn 0.8 Al 0.2 O, a type-I heterojunction with a ΔE C of 0.69 ± 0.12 eV was found. The precise determination of the band alignment of Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction is of particular importance for gaining insight to the design of various electronic devices based on such heterointerface.

  19. Thermo-Optical Properties of Thin-Film TiO2Al2O3 Bilayers Fabricated by Atomic Layer Deposition

    PubMed Central

    Ali, Rizwan; Saleem, Muhammad Rizwan; Pääkkönen, Pertti; Honkanen, Seppo

    2015-01-01

    We investigate the optical and thermo-optical properties of amorphous TiO2Al2O3 thin-film bilayers fabricated by atomic layer deposition (ALD). Seven samples of TiO2Al2O3 bilayers are fabricated by growing Al2O3 films of different thicknesses on the surface of TiO2 films of constant thickness (100 nm). Temperature-induced changes in the optical refractive indices of these thin-film bilayers are measured by a variable angle spectroscopic ellipsometer VASE®. The optical data and the thermo-optic coefficients of the films are retrieved and calculated by applying the Cauchy model and the linear fitting regression algorithm, in order to evaluate the surface porosity model of TiO2 films. The effects of TiO2 surface defects on the films’ thermo-optic properties are reduced and modified by depositing ultra-thin ALD-Al2O3 diffusion barrier layers. Increasing the ALD-Al2O3 thickness from 20 nm to 30 nm results in a sign change of the thermo-optic coefficient of the ALD-TiO2. The thermo-optic coefficients of the 100 nm-thick ALD-TiO2 film and 30 nm-thick ALD-Al2O3 film in a bilayer are (0.048 ± 0.134) × 10−4 °C−1 and (0.680 ± 0.313) × 10−4 °C−1, respectively, at a temperature T = 62 °C.

  20. Low operation voltage and high thermal stability of a WSi2 nanocrystal memory device using an Al2O3/HfO2/Al2O3 tunnel layer

    NASA Astrophysics Data System (ADS)

    Uk Lee, Dong; Jun Lee, Hyo; Kyu Kim, Eun; You, Hee-Wook; Cho, Won-Ju

    2012-02-01

    A WSi2 nanocrystal nonvolatile memory device was fabricated with an Al2O3/HfO2/Al2O3 (AHA) tunnel layer and its electrical characteristics were evaluated at 25, 50, 70, 100, and 125 °C. The program/erase (P/E) speed at 125 °C was approximately 500 μs under threshold voltage shifts of 1 V during voltage sweeping of 8 V/-8 V. When the applied pulse voltage was ±9 V for 1 s for the P/E conditions, the memory window at 125 °C was approximately 1.25 V after 105 s. The activation energies for the charge losses of 5%, 10%, 15%, 20%, 25%, 30%, and 35% were approximately 0.05, 0.11, 0.17, 0.21, 0.23, 0.23, and 0.23 eV, respectively. The charge loss mechanisms were direct tunneling and Pool-Frenkel emission between the WSi2 nanocrystals and the AHA barrier engineered tunneling layer. The WSi2 nanocrystal memory device with multi-stacked high-K tunnel layers showed strong potential for applications in nonvolatile memory devices.

  1. A comparative study of CeO2-Al2O3 support prepared with different methods and its application on MoO3/CeO2-Al2O3 catalyst for sulfur-resistant methanation

    NASA Astrophysics Data System (ADS)

    Jiang, Minhong; Wang, Baowei; Yao, Yuqin; Li, Zhenhua; Ma, Xinbin; Qin, Shaodong; Sun, Qi

    2013-11-01

    The CeO2-Al2O3 supports prepared with impregnation (IM), deposition precipitation (DP), and solution combustion (SC) methods for MoO3/CeO2-Al2O3 catalyst were investigated in the sulfur-resistant methanation. The supports and catalysts were characterized by N2-physisorption, transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy (RS), and temperature-programmed reduction (TPR). The N2-physisorption results indicated that the DP method was favorable for obtaining better textural properties. The TEM and RS results suggested that there is a CeO2 layer on the surface of the support prepared with DP method. This CeO2 layer not only prevented the interaction between MoO3 and γ-Al2O3 to form Al2(MoO4)3 species, but also improved the dispersion of MoO3 in the catalyst. Accordingly, the catalysts whose supports were prepared with DP method exhibited the best catalytic activity. The catalysts whose supports were prepared with SC method had the worst catalytic activity. This was caused by the formation of Al2(MoO4)3 and crystalline MoO3. Additionally, the CeO2 layer resulted in the instability of catalysts in reaction process. The increasing of calcination temperature of supports reduced the catalytic activity of all catalysts. The decrease extent of the catalysts whose supports were prepared with DP method was the lowest as the CeO2 layer prevented the interaction between MoO3 and γ-Al2O3.

  2. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  3. Perpendicular magnetic anisotropy in Mo/Co2FeAl0.5Si0.5/MgO/Mo multilayers with optimal Mo buffer layer thickness

    NASA Astrophysics Data System (ADS)

    Saravanan, L.; Raja, M. Manivel; Prabhu, D.; Pandiyarasan, V.; Ikeda, H.; Therese, H. A.

    2018-05-01

    Perpendicular Magnetic Anisotropy (PMA) was realized in as-deposited Mo(10)/Co2FeAl0.5Si0.5(CFAS)(3)/MgO(0.5)/Mo multilayer stacks with large perpendicular magnetic anisotropy energy (Keff). PMA of this multilayer is found to be strongly dependent on the thickness of the individual CFAS (tCFAS), Mo (tMo) and MgO (tMgO) layers and annealing temperatures. The interactions at the Mo/CFAS/MgO interfaces are critical to induce PMA and are tuned by the interfacial oxidation. The major contribution to PMA is due to iron oxide at the CFAS/MgO interface. X-ray diffraction (XRD) and infrared spectroscopic (FT-IR) studies further ascertain this. However, an adequate oxidation of MgO and the formation of (0 2 4) and (0 1 8) planes of α-Fe2O3 at the optimal Mo buffer layer thickness is mainly inducing PMA in Mo/CFAS/MgO/Mo stack. Microstructural changes in the films are observed by atomic force microscopy (AFM). X-ray photoelectron spectroscopy (XPS) demonstrates the oxidation of CFAS/MgO interface and the formation of Fe-O bonds confirming that the real origin of PMA in Mo/CFAS/MgO is due to hybridization of Fe (3dz2) and O (2pz) orbitals and the resulted spin-orbit interaction at their interface. The half-metallic nature CFAS with Mo layer exhibiting PMA can be a potential candidate as p-MTJs electrodes for the new generation spintronic devices.

  4. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  5. Microstructure and properties of Ti-Al intermetallic/Al2O3 layers produced on Ti6Al2Mo2Cr titanium alloy by PACVD method

    NASA Astrophysics Data System (ADS)

    Sitek, R.; Bolek, T.; Mizera, J.

    2018-04-01

    The paper presents investigation of microstructure and corrosion resistance of the multi-component surface layers built of intermetallic phases of the Ti-Al system and an outer Al2O3 ceramic sub-layer. The layers were produced on a two phase (α + β) Ti6Al2Mo2Cr titanium alloy using the PACVD method with the participation of trimethylaluminum vapors. The layers are characterized by a high surface hardness and good corrosion, better than that of these materials in the starting state. In order to find the correlation between their structure and properties, the layers were subjected to examinations using optical microscopy, X-ray diffraction analysis (XRD), surface analysis by XPS, scanning electron microscopy (SEM), and analyses of the chemical composition (EDS). The properties examined included: the corrosion resistance and the hydrogen absorptiveness. Moreover growth of the Al2O3 ceramic layer and its influence on the residual stress distribution was simulated using finite element method [FEM]. The results showed that the produced layer has amorphous-nano-crystalline structure, improved corrosion resistance and reduces the permeability of hydrogen as compared with the base material of Ti6Al2Mo2Cr -titanium alloy.

  6. Miscibility of amorphous ZrO2-Al2O3 binary alloy

    NASA Astrophysics Data System (ADS)

    Zhao, C.; Richard, O.; Bender, H.; Caymax, M.; De Gendt, S.; Heyns, M.; Young, E.; Roebben, G.; Van Der Biest, O.; Haukka, S.

    2002-04-01

    Miscibility is a key factor for maintaining the homogeneity of the amorphous structure in a ZrO2-Al2O3 binary alloy high-k dielectric layer. In the present work, a ZrO2/Al2O3 laminate thin layer has been prepared by atomic layer chemical vapor deposition on a Si (100) wafer. This layer, with artificially induced inhomogeneity (lamination), enables one to study the change in homogeneity of the amorphous phase in the ZrO2/Al2O3 system during annealing. High temperature grazing incidence x-ray diffraction (HT-XRD) was used to investigate the change in intensity of the constructive interference peak of the x-ray beams which are reflected from the interfaces of ZrO2/Al2O3 laminae. The HT-XRD spectra show that the intensity of the peak decreases with an increase in the anneal temperature, and at 800 °C, the peak disappears. The same samples were annealed by a rapid thermal process (RTP) at temperatures between 700 and 1000 °C for 60 s. Room temperature XRD of the RTP annealed samples shows a similar decrease in peak intensity. Transmission electronic microscope images confirm that the laminate structure is destroyed by RTP anneals and, just below the crystallization onset temperature, a homogeneous amorphous ZrAlxOy phase forms. The results demonstrate that the two artificially separated phases, ZrO2 and Al2O3 laminae, tend to mix into a homogeneous amorphous phase before crystallization. This observation indicates that the thermal stability of ZrO2-Al2O3 amorphous phase is suitable for high-k applications.

  7. First-Principles Study on the Thermal Stability of LiNiO2 Materials Coated by Amorphous Al2O3 with Atomic Layer Thickness.

    PubMed

    Kang, Joonhee; Han, Byungchan

    2015-06-03

    Using first-principles calculations, we study how to enhance thermal stability of high Ni compositional cathodes in Li-ion battery application. Using the archetype material LiNiO2 (LNO), we identify that ultrathin coating of Al2O3 (0001) on LNO(012) surface, which is the Li de-/intercalation channel, substantially improves the instability problem. Density functional theory calculations indicate that the Al2O3 deposits show phase transition from the corundum-type crystalline (c-Al2O3) to amorphous (a-Al2O3) structures as the number of coating layers reaches three. Ab initio molecular dynamic simulations on the LNO(012) surface coated by a-Al2O3 (about 0.88 nm) with three atomic layers oxygen gas evolution is strongly suppressed at T=400 K. We find that the underlying mechanism is the strong contacting force at the interface between LNO(012) and Al2O3 deposits, which, in turn, originated from highly ionic chemical bonding of Al and O at the interface. Furthermore, we identify that thermodynamic stability of the a-Al2O3 is even more enhanced with Li in the layer, implying that the protection for the LNO(012) surface by the coating layer is meaningful over the charging process. Our approach contributes to the design of innovative cathode materials with not only high-energy capacity but also long-term thermal and electrochemical stability applicable for a variety of electrochemical energy devices including Li-ion batteries.

  8. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as themore » AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.« less

  9. Fabrication and characterization of {110}-oriented Pb(Zr,Ti)O3 thin films on Pt/SiO2/Si substrates using PdO//Pd buffer layer

    NASA Astrophysics Data System (ADS)

    Oshima, Naoya; Uchiyama, Kiyoshi; Ehara, Yoshitaka; Oikawa, Takahiro; Ichinose, Daichi; Tanaka, Hiroki; Sato, Tomoya; Uchida, Hiroshi; Funakubo, Hiroshi

    2017-10-01

    A strongly {110}-oriented perovskite-type thin film of tetragonal Pb(Zr0.4Ti0.6)O3 (PZT) was successfully obtained on a (100)Si substrate using a {101}PdO//{111}Pd thin film as a buffer layer. The {101}PdO//{111}Pd thin film buffer layer was obtained by oxidizing {111}Pd after depositing {111}Pd on a {111}Pt/TiO x /SiO2/{100}Si substrate. Using this buffer layer, a {110} c -oriented SrRuO3 (SRO) thin film was deposited by sputtering as a bottom electrode of PZT thin films. Subsequently, the {110}-oriented PZT thin film can be deposited on a (110) c SRO thin film by metal-organic chemical deposition (MOCVD) and its properties can be compared with those of PZT thin films with other orientations of {100} and {111}. Among the {100}, {110}, {111}-oriented PZT films, the {100}-oriented one showed the largest remnant polarization, which is in good agreement with those of the PZTs epitaxially grown in the 〈100〉, 〈110〉, and 〈111〉 directions. The other properties, i.e., piezoelectricity and dielectric constants, also showed similar anisotropic tendencies, which is in good agreement with the data reported in the epitaxially grown PZTs.

  10. High-pressure synthesis and electrochemical behavior of layered (1-a)LiNi{sub 1-y}Al{sub y}O{sub 2}.aLi[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shinova, E.; Zhecheva, E.; Stoyanova, R.

    Layered (1-a)LiNi{sub 1-y}Al{sub y}O{sub 2}.aLi[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} oxides, 0=O, Al{sub 2}O{sub 3} and Li{sub 2}O{sub 2} under high pressure. The structural characterization of the layered oxides was performed using powder XRD, IR spectroscopy and EPR spectroscopy at 9.23 and 115GHz. It has been found that the high-pressure favors Al substitution for Ni in the NiO{sub 2}-layers of layered LiNiO{sub 2}. A random Al/Ni distribution in the layer was found. The incorporation of extra Li in the Ni{sub 1-y}Al{sub y}O{sub 2}-layer starts at a precursor composition Li/(Ni+Al)>1.2. While pure NiO{sub 2}-layersmore » are able to incorporate under high-pressure up to 1/3Li, the appearance of Al in the NiO{sub 2}-layers hinders Li{sup +} dissolution (Li<(1-y)/3). In addition, with increasing Al content there is a strong cationic mixing between the layers. High-frequency EPR of Ni{sup 3+} indicates that the structural interaction of LiAl{sub y}Ni{sub 1-y}O{sub 2} with Li[Li{sub 1/3}Ni{sub 2/3}]O{sub 2} proceeds via the formation of domains comprising different amount of Ni{sup 3+} ions. The use of Li{sub 1.08}Al{sub 0.09}Ni{sub 0.83}O{sub 2} as a cathode material in a lithium ion cells displays a first irreversible Li extraction at 4.8V, after which a reversible lithium insertion/extraction between 3.0 and 4.5V is observed on further cycling.« less

  11. Ethanol Sensor of CdO/Al2O3/CeO2 Obtained from Ce-DOPED Layered Double Hydroxides with High Response and Selectivity

    NASA Astrophysics Data System (ADS)

    Xu, Dongmei; Guan, Meiyu; Xu, Qinghong; Guo, Ying; Wang, Yao

    2013-04-01

    In this paper, Ce-doped CdAl layered double hydroxide (LDH) was first synthesized and the derivative CdO/Al2O3/CeO2 composite oxide was prepared by calcining Ce-doped CdAl LDH. The structure, morphology and chemical state of the Ce doped CdAl LDH and CdO/Al2O3/CeO2 were also investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR), solid state nuclear magnetic resonance (SSNMR), scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The gas sensing properties of CdO/Al2O3/CeO2 to ethanol were further studied and compared with CdO/Al2O3 prepared from CdAl LDH, CeO2 powder as well as the calcined Ce salt. It turns out that CdO/Al2O3/CeO2 sensor shows best performance in ethanol response. Besides, CdO/Al2O3/CeO2 possesses short response/recovery time (12/72 s) as well as remarkable selectivity in ethanol sensing, which means composite oxides prepared from LDH are very promising in gas sensing application.

  12. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    PubMed

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  13. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  14. Simultaneous enhancement of photovoltage and charge transfer in Cu{sub 2}O-based photocathode using buffer and protective layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Changli; Delaunay, Jean-Jacques, E-mail: jean@mech.t.u-tokyo.ac.jp; Hisatomi, Takashi

    2016-07-18

    Coating n-type buffer and protective layers on Cu{sub 2}O may be an effective means to improve the photoelectrochemical (PEC) water-splitting performance of Cu{sub 2}O-based photocathodes. In this letter, the functions of the buffer layer and protective layer on Cu{sub 2}O are examined. It is found that a Ga{sub 2}O{sub 3} buffer layer can form a buried junction with Cu{sub 2}O, which inhibits Cu{sub 2}O self-reduction as well as increases the photovoltage through a small conduction band offset between the two semiconductors. The introduction of a TiO{sub 2} thin protective layer not only improves the stability of the photocathode but alsomore » enhances the electron transfer from the photocathode surface into the electrolyte, thus resulting in an increase in photocurrent at positive potentials. These results show that the selection of overlayers with appropriate conduction band positions provides an effective strategy for obtaining a high photovoltage and high photocurrent in PEC systems.« less

  15. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  16. Nano SnO 2-Al 2O 3 mixed oxide and SnO 2-Al 2O 3-carbon composite oxides as new and novel electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Jayalakshmi, M.; Venugopal, N.; Raja, K. Phani; Rao, M. Mohan

    New nano-materials like SnO 2-Al 2O 3 and SnO 2-Al 2O 3-carbon were synthesized by a single step hydrothermal method in searching for novel mixed oxides with high electrochemical double layer capacitance. A SnO 2-Al 2O 3-carbon sample was calcined at 600 °C and tested for its performance. The source of carbon was tetrapropyl ammonium hydroxide. The capacitive behavior of SnO 2 was compared to the performance of SnO 2-Al 2O 3, SnO 2-Al 2O 3-carbon and calcined SnO 2-Al 2O 3-carbon using the techniques of cyclic voltammetry, double potential step, chronopotentiometry and E-log I polarization. In 0.1 M NaCl solutions, SnO 2-Al 2O 3 gave the best performance with a value of 119 Fg -1 and cycled 1000 times. The nano-material mixed oxides were characterized by TEM, XRD, ICP-AES and SEM-EDAX.

  17. Comparing the Thermodynamic Behaviour of Al(1)+ZrO2(s) to Al(1)+Al2O3(s)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2004-01-01

    In an effort to better determine the thermodynamic properties of Al(g) and Al2O(g). the vapor in equilibrium with Al(l)+ZrO2(s) was compared to the vapor in equilibrium with Al(l)+Al2O3(s) over temperature range 1197-to-1509K. The comparison was made directly by Knudsen effusion-cell mass spectrometry with an instrument configured for a multiple effusion-cell vapor source (multi-cell KEMS). Second law enthalpies of vaporization of Al(g) and Al2O(g) together with activity measurements show that Al(l)+ZrO2(s) is thermodynamically equivalent to Al(l)+Al2O3(s), indicating Al(l) remained pure and Al2O3(s) was present in the ZrO2-cell. Subsequent observation of the Al(l)/ZrO2 and vapor/ZrO2 interfaces revealed a thin Al2O3-layer had formed, separating the ZrO2-cell from Al(l) and Al(g)+Al2O(g), effectively transforming it into an Al2O3 effusion-cell. This behavior agrees with recent observations made for Beta-NiAl(Pt) alloys measured in ZrO2 effusion-cell.

  18. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  19. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  20. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    NASA Astrophysics Data System (ADS)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  1. Nano-Al{sub 2}O{sub 3} multilayer film deposition on cotton fabrics by layer-by-layer deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan

    Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less

  2. Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.

    PubMed

    Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang

    2015-01-01

    Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.

  3. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  4. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  5. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  6. Method of depositing buffer layers on biaxially textured metal substrates

    DOEpatents

    Beach, David B.; Morrell, Jonathan S.; Paranthaman, Mariappan; Chirayil, Thomas; Specht, Eliot D.; Goyal, Amit

    2002-08-27

    A laminate article comprises a substrate and a biaxially textured (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer over the substrate, wherein 02 are each selected from the group consisting of Nd, Sm, Eu, Ho, Er, Lu, Gd, Tb, Dy, Tm, and Yb. The (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  7. Controlled carrier screening in p-n NiO/GaN piezoelectric generators by an Al2O3 insertion layer

    NASA Astrophysics Data System (ADS)

    Johar, Muhammad Ali; Jeong, Dae Kyung; Afifi Hassan, Mostafa; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2017-12-01

    The performance of a piezoelectric generator (PG) depends significantly on the internal screening process inside the device. As piezoelectric charges appear on both ends of the piezoelectric crystal, internal screening starts to decrease the piezoelectric bias. Therefore, the piezoelectric energy generated by external stress is not fully utilized by external circuit, which is the most challenging aspect of high-efficiency PGs. In this work, the internal screening effect of a NiO/GaN p-n PG was analyzed and controlled with an Al2O3 insertion layer. Internal screening in the p-n diode PG was categorized into free-carrier screening in neutral regions and junction screening due to charge drift across the junction. It was observed that junction screening could be significantly suppressed by inserting an Al2O3 layer and that effect was dominant in a leaky diode PG. With this implementation, the piezoelectric bias of the NiO/GaN PG was improved by a factor of ~100 for high-leakage diodes and a factor of ~1.6 for low-leakage diodes. Consequently, NiO/Al2O3/GaN PGs under a stress of 5 MPa provided a piezoelectric bias of 12.1 V and a current density of 2.25 µA cm-2. The incorporation of a highly resistive Al2O3 layer between p-NiO and n-GaN layers in NiO/GaN heterojunctions provides an efficient means of improving the piezoelectric performance by controlling the internal screening of the piezoelectric field.

  8. Atomic to Nanoscale Investigation of Functionalities of Al2O3 Coating Layer on Cathode for Enhanced Battery Performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng

    2016-01-06

    Surface coating of cathode has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin layer of coating, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration corrected scanning transmission electron microscopy and high efficient spectroscopy to probe the delicate functioning mechanism of Al2O3 coating layer on Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between cathode and the electrolyte upon the battery cycling. At the same time,more » the Al2O3 coating layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore avoiding the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will initiate from the particle surface and propagate towards the interior of the particle with the progression of the battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight for optimized design of coating layer on cathode to enhance the battery properties.« less

  9. Investigations of YBa2Cu3O y films sputtered onto a substrate of amorphous quartz with a platinum buffer layer

    NASA Astrophysics Data System (ADS)

    Blinova, Yu. V.; Snigirev, O. V.; Porokhov, N. V.; Evlashin, S. A.

    2017-10-01

    Results of investigations using X-ray diffraction and scanning electron microscopy of composite materials made from YBa2Cu3O y films sputtered (using various regimes) onto a substrate of amorphous quartz with a platinum buffer layer, have been given.

  10. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    NASA Astrophysics Data System (ADS)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  11. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  12. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  13. Development of Al2O3 fiber-reinforced Al2O3-based ceramics.

    PubMed

    Tanimoto, Yasuhiro; Nemoto, Kimiya

    2004-09-01

    The purpose of this study was to use a tape casting technique to develop an Al2O3 fiber-reinforced Al2O3-based ceramic material (Al2O3-fiber/Al2O3 composite) into a new type of dental ceramic. The Al2O3-based ceramic used a matrix consisting of 60 wt% Al2O3 powder and 40 wt% SiO2-B2O3 powder. The prepreg sheets of Al2O3-fiber/Al2O3 composite (in which uniaxially aligned Al2O3 fibers were infiltrated with the Al2O3-based matrix) were fabricated continuously using tape casting technique with a doctor blade system. Multilayer preforms of Al2O3-fiber/Al2O3 composite sheets were then sintered at a maximum temperature of 1000 degrees C under an atmospheric pressure in a furnace. The results showed that the shrinkage and bending properties of Al2O3-fiber/Al2O3 composite exceeded those of unreinforced Al2O3--hence demonstrating the positive effects of fiber reinforcement. In conclusion, the tape casting technique has been utilized to successfully develop a new type of dental ceramic material.

  14. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  15. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  16. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  17. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  18. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  19. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  20. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  1. BaFe2As2/Fe Bilayers with [001]-tilt Grain Boundary on MgO and SrTiO3 Bicrystal Substrates

    NASA Astrophysics Data System (ADS)

    Iida, K.; Haindl, S.; Kurth, F.; Hänisch, J.; Schulz, L.; Holzapfel, B.

    Co-doped BaFe2As2 (Ba-122) can be realized on both MgO and SrTiO3 bicrystal substrates with [001]-tilt grain boundary by employing Fe buffer layers. However, an additional spinel (i.e. MgAl2O4) buffer between Fe and SrTiO3 is necessary since an epitaxial, smooth surface of Fe layer can not be grown on bare SrTiO3. Both types of bicrystal films show good crystalline quality.

  2. Rambutan-like CNT-Al2O3 scaffolds for high-performance cathode catalyst layers of polymer electrolyte fuel cells

    NASA Astrophysics Data System (ADS)

    Chang, KwangHyun; Cho, Seonghun; Lim, Eun Ja; Park, Seok-Hee; Yim, Sung-Dae

    2018-03-01

    Rambutan-like CNT-Al2O3 scaffolds are introduced as a potential candidate for CNT-based catalyst supports to overcome the CNT issues, such as the easy bundling in catalyst ink and the poor pore structure of the CNT-based catalyst layers, and to achieve high MEA performance in PEFCs. Non-porous α-phase Al2O3 balls are introduced to enable the growth of multiwalled CNTs, and Pt nanoparticles are loaded onto the CNT surfaces. In a half-cell, the Pt/CNT-Al2O3 catalyst shows much higher durability than those of a commercial Pt/C catalyst even though it shows lower oxygen reduction reaction (ORR) activity than Pt/C. After using the decal process for MEA formation, the Pt/CNT-Al2O3 shows comparable initial performance characteristics to Pt/C, overcoming the lower ORR activity, mainly due to the facile oxygen transport in the cathode catalyst layers fabricated with the CNT-Al2O3 scaffolds. The Pt/CNT-Al2O3 also exhibits much higher durability against carbon corrosion than Pt/C owing to the durable characteristics of CNTs. Systematic analysis of single cell performance for both initial and after degradation is provided to understand the origin of the high initial performance and durable behavior of Pt/CNT-Al2O3-based catalyst layers. This will provide insights into the design of electrocatalysts for high-performance MEAs in PEFCs.

  3. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  4. Growth and characterization of single crystalline Zn0.8-xMg0.2AlxO films with UV band gap on GaN/Al2O3 template by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kim, Min-Sung; Lee, Byung-Teak

    2013-02-01

    Single crystalline Zn0.8-xMg0.2AlxO thin films were grown on a GaN/Al2O3 template. As the Al content is increased from 0 to 0.06, the optical band gap increased from 3.6 eV to 4.0 eV, growth rate decreased from 6 nm/min to 3 nm/min, and the surface roughness decreased from 17 nm to 0.8 nm. It was observed that interfacial layers were formed between the thin films and the substrates, identified as cubic MgAl2O4 in the case of ZnMgAlO/GaN and cubic MgO in the case of ZnMgO/GaN. It was proposed that the MgAl2O4 layer, with low lattice mismatch of ˜7% against the GaN substrate, acted as the buffer layer to correlate the film and the substrate, resulting in growth of the single crystalline thin films in the case of the ZnMgAlO/GaN system.

  5. Enhanced electrical stability of flexible indium tin oxide films prepared on stripe SiO 2 buffer layer-coated polymer substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Yu, Zhi-nong; Zhao, Jian-jian; Xia, Fan; Lin, Ze-jiang; Zhang, Dong-pu; Leng, Jian; Xue, Wei

    2011-03-01

    The electrical stability of flexible indium tin oxide (ITO) films fabricated on stripe SiO 2 buffer layer-coated polyethylene terephthalate (PET) substrates by magnetron sputtering was investigated by the bending test. The ITO thin films with stripe SiO 2 buffer layer under bending have better electrical stability than those with flat SiO 2 buffer layer and without buffer layer. Especially in inward bending text, the ITO thin films with stripe SiO 2 buffer layer only have a slight resistance change when the bending radius r is not less than 8 mm, while the resistances of the films with flat SiO 2 buffer layer and without buffer layer increase significantly at r = 16 mm with decreasing bending radius. This improvement of electrical stability in bending test is due to the small mismatch factor α in ITO-SiO 2, the enhanced interface adhesion and the balance of residual stress. These results indicate that the stripe SiO 2 buffer layer is suited to enhance the electrical stability of flexible ITO film under bending.

  6. Retardation mechanism of ultrathin Al2O3 interlayer on Y2O3 passivated gallium nitride surface.

    PubMed

    Quah, Hock Jin; Cheong, Kuan Yew

    2014-05-28

    A systematic investigation was carried out by incorporating an ultrathin aluminum oxide (Al2O3) as an interlayer between yttrium oxide (Y2O3) passivation layer and GaN substrate. The sandwiched samples were then subjected to postdeposition annealing in oxygen ambient from 400 to 800 °C. The Al2O3 interlayer was discovered to play a significant role in slowing down inward diffusion of oxygen through the Y2O3 passivation layer as well as in impeding outward diffusion of Ga(3+) and N(3-) from the decomposed GaN surface. These beneficial effects have suppressed subsequent formation of interfacial layer. A mechanism in association with the function of Al2O3 as an interlayer was suggested and discussed. The mechanism was explicitly described on the basis of the obtained results from X-ray diffraction, X-ray photoelectron spectroscopy, energy-filtered transmission electron microscopy (TEM), high resolution TEM, and electron energy loss spectroscopy line scan. A correlation between the proposed mechanism and metal-oxide-semiconductor characteristics of Y2O3/Al2O3/GaN structure has been proposed.

  7. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  8. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  9. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  10. Interface Properties of Atomic-Layer-Deposited Al2O3 Thin Films on Ultraviolet/Ozone-Treated Multilayer MoS2 Crystals.

    PubMed

    Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong

    2016-05-11

    We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.

  11. Synthesis and characterization of two layered aluminophosphates, ( T) 2HAl 2P 3O 12 ( T=2-BuNH 3+) and ( T)H 2Al 2P 3O 12 ( T=pyH +)

    NASA Astrophysics Data System (ADS)

    Chippindale, Ann M.; Powell, Anthony V.; Bull, Lucy M.; Jones, Richard H.; Cheetham, Anthony K.; Thomas, John M.; Xu, Ruren

    1992-01-01

    Two new aluminophosphates, ( T) 2HAl 2P 3O 12 ( T=2-BuNH 3+) ( I) and ( T)H 2Al 2P 3O 12 ( T=pyH +) ( II) with the same framework stoichiometry but different layer structures have been prepared under nonaqueous conditions and the structures determined by single-crystal X-ray diffraction. Compound ( I) crystallizes in the monoclinic space group P2 1/ c ( Z=4), with lattice parameters a=9.261(1) b=8.365(6), c=27.119(4) Å, β=91.50(1)δ, and V=2100.1 Å 3 ( R=0.072 and R w=0.090). The structure consists of Al-and P-centered tetrahedra linked to form layers. Protonated 2-butylamine molecules are located in the interlayer spaces and hydrogen bonded to the layers through NH 3+ groups. Weak hydrophobic van der Waals' interactions between alkyl groups of the 2-BuNH 3+ cations hold the layers together. Compound ( II) crystallizes in the triclinic space group P-1 ( Z=2), with a=8.574(2), b=8.631(3), c=10.371(2) Å, α=81.84(3), β=87.53(2), γ=69.07(2)δ, and V=709.49Å 3 ( R=0.039 and R w=0.052). The structure contains tetrahedrally coordinated P atoms and both tetrahedral and trigonal pyramidal Al atoms linked to form layers which are held together through hydrogen bonding, creating cavities in which pyH + cations reside.

  12. ALD Produced B{sub 2}O{sub 3}, Al{sub 2}O{sub 3} and TiO{sub 2} Coatings on Gd{sub 2}O{sub 3} Burnable Poison Nanoparticles and Carbonaceous TRISO Coating Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weimer, Alan

    2012-11-26

    This project will demonstrate the feasibility of using atomic layer deposition (ALD) to apply ultrathin neutron-absorbing, corrosion-resistant layers consisting of ceramics, metals, or combinations thereof, on particles for enhanced nuclear fuel pellets. Current pellet coating technology utilizes chemical vapor deposition (CVD) in a fluidized bed reactor to deposit thick, porous layers of C (or PyC) and SiC. These graphitic/carbide materials degrade over time owing to fission product bombardment, active oxidation, thermal management issues, and long-term irradiation effects. ALD can be used to deposit potential ceramic barrier materials of interest, including ZrO{sub 2}, Y{sub 2}O{sub 3}:ZrO{sub 2} (YSZ), Al{sub 2}O{sub 3},more » and TiO{sub 2}, or neutron-absorbing materials, namely B (in BN or B{sub 2}O{sub 3}) and Gd (in Gd{sub 2}O{sub 3}). This project consists of a two-pronged approach to integrate ALD into the next-generation nuclear plant (NGNP) fuel pellet manufacturing process:« less

  13. The Phase Relations in the In 2O 3-Al 2ZnO 4-ZnO System at 1350°C

    NASA Astrophysics Data System (ADS)

    Nakamura, Masaki; Kimizuka, Noboru; Mohri, Takahiko; Isobe, Mitsumasa

    1993-08-01

    Phase relations in the In 2O 3-Al 2ZnO 4-ZnO system at 1350°C are determined by a classical quenching method. This system consists of In 2O 3, Al 2ZnO 4, ZnO, and homologous phases InAlO 3(ZnO) m ( m = 2, 3, …) having solid solutions with LuFeO 3(ZnO) m-type crystal structures. These solid solution ranges are as follows: In 1+ x1Al 1- x1O 3(ZnO) 2 ( x1 = 0.70)-In 1+ x2Al 1- x2O 3(ZnO) 2 ( x2 = 0.316-0.320), In 2O 3(ZnO) 3-In 1+ xAl 1- xO 3(ZnO) 3 ( x = 0.230), In 2O 3(ZnO) 4-In 1+ xAl 1- xO 3(ZnO) 4 ( x = 0.15-0.16), In 2O 3(ZnO) 5-In 1+ xAl 1- xO 3(ZnO) 5 ( x = 0.116-0.130), In 2O 3(ZnO) 6-In 1+ xAl 1- xO 3(ZnO) 6 ( x = 0.000-0.111), In 2O 3(ZnO) 7-In 1+ xAl 1- xO 3(ZnO) 7 ( x = 0.08), In 2O 3(ZnO) 8-In 1+ xAl 1- xO 3(ZnO) 8 ( x: undetermined), and In 2O 3(ZnO) m-InAlO 3(ZnO) m ( m = 9, 10, 11, 13, 15, 17, and 19). The space groups of these homologous phases belong to R3¯ m for m = odd or P6 3/ mmc for m = even. Their crystal structures, In 1+ xAl 1- xO 3(ZnO) m (0 < x < 1), consist of three kinds of layers: an InO 1.5 layer, an (In xAl 1- xZn)O 2.5 layer, and ZnO layers. A comparison of the phase relations in the In 2O 3- M2ZnO 4-ZnO systems ( M = Fe, Ga, or Al) is made and their characteristic features are discussed in terms of the ionic radii and site preferences of the M cations.

  14. Effects of strain and buffer layer on interfacial magnetization in Sr 2 CrReO 6 films determined by polarized neutron reflectometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yaohua; Lucy, J. M.; Glavic, A.

    2014-09-01

    We have determined the depth-resolved magnetization structures of a series of highly orderedSr2CrReO6 (SCRO) ferrimagnetic epitaxial films via combined studies of x-ray reflectometry, polarized neutron reflectometry and SQUID magnetometry. The SCRO films deposited directly on (LaAlO3)0:3(Sr2AlTaO6)0:7 or SrTiO3 substrates show reduced magnetization of similar width near the interfaces with the substrates, despite having different degrees of strain. When the SCRO film is deposited on a Sr2CrNbO6 (SCNO) double perovskite buffer layer, the width the interfacial region with reduced magnetization is reduced, agreeing with an improved Cr/Re ordering. However, the relative reduction of the magnetization averaged over the interfacial regions aremore » comparable among the three samples. Interestingly, we found that the magnetization suppression region is wider than the Cr/Re antisite disorder region at the interface between SCRO and SCNO.« less

  15. Effect of an Interfacial Layer on Electron Tunneling through Atomically Thin Al2O3 Tunnel Barriers.

    PubMed

    Wilt, Jamie; Sakidja, Ridwan; Goul, Ryan; Wu, Judy Z

    2017-10-25

    Electron tunneling through high-quality, atomically thin dielectric films can provide a critical enabling technology for future microelectronics, bringing enhanced quantum coherent transport, fast speed, small size, and high energy efficiency. A fundamental challenge is in controlling the interface between the dielectric and device electrodes. An interfacial layer (IL) will contain defects and introduce defects in the dielectric film grown atop, preventing electron tunneling through the formation of shorts. In this work, we present the first systematic investigation of the IL in Al 2 O 3 dielectric films of 1-6 Å's in thickness on an Al electrode. We integrated several advanced approaches: molecular dynamics to simulate IL formation, in situ high vacuum sputtering atomic layer deposition (ALD) to synthesize Al 2 O 3 on Al films, and in situ ultrahigh vacuum scanning tunneling spectroscopy to probe the electron tunneling through the Al 2 O 3 . The IL had a profound effect on electron tunneling. We observed a reduced tunnel barrier height and soft-type dielectric breakdown which indicate that defects are present in both the IL and in the Al 2 O 3 . The IL forms primarily due to exposure of the Al to trace O 2 and/or H 2 O during the pre-ALD heating step of fabrication. As the IL was systematically reduced, by controlling the pre-ALD sample heating, we observed an increase of the ALD Al 2 O 3 barrier height from 0.9 to 1.5 eV along with a transition from soft to hard dielectric breakdown. This work represents a key step toward the realization of high-quality, atomically thin dielectrics with electron tunneling for the next generation of microelectronics.

  16. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  17. Atomic to Nanoscale Investigation of Functionalities of an Al2O3 Coating Layer on a Cathode for Enhanced Battery Performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Pengfei; Zheng, Jianming; Zhang, Xiaofeng

    2016-02-09

    Surface coating has been identified as an effective approach for enhancing the capacity retention of layered structure cathode. However, the underlying operating mechanism of such a thin coating layer, in terms of surface chemical functionality and capacity retention, remains unclear. In this work, we use aberration-corrected scanning transmission electron microscopy and high-efficiency spectroscopy to probe the delicate functioning mechanism of an Al2O3 coating layer on a Li1.2Ni0.2Mn0.6O2 cathode. We discovered that in terms of surface chemical function, the Al2O3 coating suppresses the side reaction between the cathode and the electrolyte during battery cycling. At the same time, the Al2O3 coatingmore » layer also eliminates the chemical reduction of Mn from the cathode particle surface, therefore preventing the dissolution of the reduced Mn into the electrolyte. In terms of structural stability, we found that the Al2O3 coating layer can mitigate the layer to spinel phase transformation, which otherwise will be initiated from the particle surface and propagate toward the interior of the particle with the progression of battery cycling. The atomic to nanoscale effects of the coating layer observed here provide insight into the optimized design of a coating layer on a cathode to enhance the battery properties.« less

  18. Atomically Thin Al2O3 Films for Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  19. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  20. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  1. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  2. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  3. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  4. Some TEM observations of Al2O3 scales formed on NiCrAl alloys

    NASA Technical Reports Server (NTRS)

    Smialek, J.; Gibala, R.

    1979-01-01

    The microstructural development of Al2O3 scales on NiCrAl alloys has been examined by transmission electron microscopy. Voids were observed within grains in scales formed on a pure NiCrAl alloy. Both voids and oxide grains grew measurably with oxidation time at 1100 C. The size and amount of porosity decreased towards the oxide-metal growth interface. The voids resulted from an excess number of oxygen vacancies near the oxidemetal interface. Short-circuit diffusion paths were discussed in reference to current growth stress models for oxide scales. Transient oxidation of pure, Y-doped, and Zr-doped NiCrAl was also examined. Oriented alpha-(Al, Cr)2O3 and Ni(Al, Cr)2O4 scales often coexisted in layered structures on all three alloys. Close-packed oxygen planes and directions in the corundum and spinel layers were parallel. The close relationship between oxide layers provided a gradual transition from initial transient scales to steady state Al2O3 growth.

  5. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    NASA Astrophysics Data System (ADS)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  6. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  7. Surface Crystallization of a MgO/Y2O3/SiO2/Al2O3/ZrO2 Glass: Growth of an Oriented β-Y2Si2O7 Layer and Epitaxial ZrO2

    PubMed Central

    Wisniewski, Wolfgang; Seidel, Sabrina; Patzig, Christian; Rüssel, Christian

    2017-01-01

    The crystallization behavior of a glass with the composition 54.7 SiO2·10.9 Al2O3·15.0 MgO·3.4 ZrO2·16.0 Y2O3 is studied using X-ray diffraction (XRD), scanning electron microscopy (SEM) including electron backscatter diffraction (EBSD) and (scanning) transmission electron microscopy [(S)TEM] including energy-dispersive X-ray spectrometry (EDXS). This glass shows the sole surface crystallization of four different yttrium silicates of the composition Y2Si2O7 (YS). The almost simultaneous but independent nucleation of α-, β-, δ-, and ε-YS at the surface is followed by growth into the bulk, where ε-YS quickly dominates a first crystallized layer. An accumulation of Mg at the growth front probably triggers a secondary nucleation of β-YS, which forms a thin compact layer before fragmenting into a highly oriented layer of fine grained crystals occupying the remaining bulk. The residual glass between the YS growth structures allows the crystallization of indialite, yttrium stabilized ZrO2 (Y-ZrO2) and very probably μ-cordierite during cooling. Hence, this glass basically shows the inverted order of crystallization observed in other magnesium yttrium alumosilicate glasses containing less Y2O3. An epitaxial relationship between Y-ZrO2 and ε-YS is proven and multiple twinning relationships occur in the YS phases. PMID:28281661

  8. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    NASA Astrophysics Data System (ADS)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  9. Growth mechanisms of GaSb heteroepitaxial films on Si with an AlSb buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vajargah, S. Hosseini; Botton, G. A.; Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario L8S 4M1

    2013-09-21

    The initial growth stages of GaSb epilayers on Si substrates and the role of the AlSb buffer layer were studied by high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM). Heteroepitaxy of GaSb and AlSb on Si both occur by Volmer-Weber (i.e., island mode) growth. However, the AlSb and GaSb islands have distinctly different characteristics as revealed through an atomic-resolution structural study using Z-contrast of HAADF-STEM imaging. While GaSb islands are sparse and three dimensional, AlSb islands are numerous and flattened. The introduction of 3D island-forming AlSb buffer layer facilitates the nucleation of GaSb islands. The AlSb islands-assisted nucleation of GaSbmore » islands results in the formation of drastically higher quality planar film at a significantly smaller thickness of films. The interface of the AlSb and GaSb epilayers with the Si substrate was further investigated with energy dispersive X-ray spectrometry to elucidate the key role of the AlSb buffer layer in the growth of GaSb epilayers on Si substrates.« less

  10. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  11. Photovoltaic Properties in Interpenetrating Heterojunction Organic Solar Cells Utilizing MoO3 and ZnO Charge Transport Buffer Layers

    PubMed Central

    Hori, Tetsuro; Moritou, Hiroki; Fukuoka, Naoki; Sakamoto, Junki; Fujii, Akihiko; Ozaki, Masanori

    2010-01-01

    Organic thin-film solar cells with a conducting polymer (CP)/fullerene (C60) interpenetrating heterojunction structure, fabricated by spin-coating a CP onto a C60 deposit thin film, have been investigated and demonstrated to have high efficiency. The photovoltaic properties of solar cells with a structure of indium-tin-oxide/C60/poly(3-hexylthiophene) (PAT6)/Au have been improved by the insertion of molybdenum trioxide (VI) (MoO3) and zinc oxide charge transport buffer layers. The enhanced photovoltaic properties have been discussed, taking into consideration the ground-state charge transfer between PAT6 and MoO3 by measurement of the differential absorption spectra and the suppressed contact resistance at the interface between the organic and buffer layers. PMID:28883360

  12. Multishelled CaO Microspheres Stabilized by Atomic Layer Deposition of Al2 O3 for Enhanced CO2 Capture Performance.

    PubMed

    Armutlulu, Andac; Naeem, Muhammad Awais; Liu, Hsueh-Ju; Kim, Sung Min; Kierzkowska, Agnieszka; Fedorov, Alexey; Müller, Christoph R

    2017-11-01

    CO 2 capture and storage is a promising concept to reduce anthropogenic CO 2 emissions. The most established technology for capturing CO 2 relies on amine scrubbing that is, however, associated with high costs. Technoeconomic studies show that using CaO as a high-temperature CO 2 sorbent can significantly reduce the costs of CO 2 capture. A serious disadvantage of CaO derived from earth-abundant precursors, e.g., limestone, is the rapid, sintering-induced decay of its cyclic CO 2 uptake. Here, a template-assisted hydrothermal approach to develop CaO-based sorbents exhibiting a very high and cyclically stable CO 2 uptake is exploited. The morphological characteristics of these sorbents, i.e., a porous shell comprised of CaO nanoparticles coated by a thin layer of Al 2 O 3 (<3 nm) containing a central void, ensure (i) minimal diffusion limitations, (ii) space to accompany the substantial volumetric changes during CO 2 capture and release, and (iii) a minimal quantity of Al 2 O 3 for structural stabilization, thus maximizing the fraction of CO 2 -capture-active CaO. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Cd-free buffer layer materials on Cu2ZnSn(SxSe1-x)4: Band alignments with ZnO, ZnS, and In2S3

    NASA Astrophysics Data System (ADS)

    Barkhouse, D. Aaron R.; Haight, Richard; Sakai, Noriyuki; Hiroi, Homare; Sugimoto, Hiroki; Mitzi, David B.

    2012-05-01

    The heterojunctions formed between Cu2ZnSn(SxSe1-x)4 (CZTSSe) and three Cd-free n-type buffers, ZnS, ZnO, and In2S3, were studied using femtosecond ultraviolet photoemission and photovoltage spectroscopy. The electronic properties including the Fermi level location at the interface, band bending in the CZTSSe substrate, and valence and conduction band offsets were determined and correlated with device properties. We also describe a method for determining the band bending in the buffer layer and demonstrate this for the In2S3/CZTSSe system. The chemical bath deposited In2S3 buffer is found to have near optimal conduction band offset (0.15 eV), enabling the demonstration of Cd-free In2S3/CZTSSe solar cells with 7.6% power conversion efficiency.

  14. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-10-05

    An article including a substrate, at least one intermediate layer upon the surface of the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the at least one intermediate layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected I.sub.c 's of over 200 Amperes across a sample 1 cm wide.

  15. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  16. Alternative buffer layer development in Cu(In,Ga)Se2 thin film solar cells

    NASA Astrophysics Data System (ADS)

    Xin, Peipei

    interface still limited the device performance. Second, an investigation of Zn(S,O) buffer layers was completed. Zn(S,O) films were sputtered in Ar using a ZnO0.7S0.3 compound target. Zn(S,O) films had the composition close to the target with S / (S+O) ratio around 0.3. Zn(S,O) films showed the wurtzite structure with the bandgap about 3.2eV. The champion Cu(In,Ga)Se2 / Zn(S,O) cell had 12.5% efficiency and an (Ag,Cu)(In,Ga)Se2 / Zn(S,O) cell achieved 13.2% efficiency. Detailed device analysis was used to study the Cu(In,Ga)Se2 and (Ag,Cu)(In,Ga)Se2 absorbers, the influence of absorber surface treatments, the effects of device treatments, the sputtering damage and the Na concentration in the absorber. Finally alternative buffer layer development was applied to an innovative superstrate CIGS configuration. The superstrate structure has potential benefits of improved window layer properties, cost reduction, and the possibility to implement back reflector engineering techniques. The application of three buffer layer options - CdS, ZnO and ZnSe was studied and limitations of each were characterized. The best device achieved 8.6% efficiency with a ZnO buffer. GaxOy formation at the junction interface was the main limiting factor of this device performance. For CdS / CIGS and ZnSe / CIGS superstrate devices extensive inter-diffusion between the absorber and buffer layer under CIGS growth conditions was the critical problem. Inter-diffusion severely deteriorated the junction quality and led to poorly behaved devices, despite different efforts to optimize the fabrication process.

  17. The effect of the MgO buffer layer thickness on magnetic anisotropy in MgO/Fe/Cr/MgO buffer/MgO(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozioł-Rachwał, Anna, E-mail: a.koziolrachwal@aist.go.jp; AGH University of Science and Technology, Faculty of Physics and Applied Computer Science, al. Mickiewicza 30, 30-059 Kraków; Nozaki, Takayuki

    2016-08-28

    The relationship between the magnetic properties and MgO buffer layer thickness d was studied in epitaxial MgO/Fe(t)/Cr/MgO(d) layers grown on MgO(001) substrate in which the Fe thickness t ranged from 0.4 nm to 1.1 nm. For 0.4 nm ≤ t ≤ 0.7 nm, a non-monotonic coercivity dependence on the MgO buffer thickness was shown by perpendicular magneto-optic Kerr effect magnetometry. For thicker Fe films, an increase in the buffer layer thickness resulted in a spin reorientation transition from perpendicular to the in-plane magnetization direction. Possible origins of these unusual behaviors were discussed in terms of the suppression of carbon contamination at the Fe surface and changes inmore » the magnetoelastic anisotropy in the system. These results illustrate a method to control magnetic anisotropy in MgO/Fe/Cr/MgO(d) via an appropriate choice of MgO buffer layer thickness d.« less

  18. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    NASA Astrophysics Data System (ADS)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  19. Enhanced photoelectrocatalytic performance of α-Fe2O3 thin films by surface plasmon resonance of Au nanoparticles coupled with surface passivation by atom layer deposition of Al2O3.

    PubMed

    Liu, Yuting; Xu, Zhen; Yin, Min; Fan, Haowen; Cheng, Weijie; Lu, Linfeng; Song, Ye; Ma, Jing; Zhu, Xufei

    2015-12-01

    The short lifetime of photogenerated charge carriers of hematite (α-Fe2O3) thin films strongly hindered the PEC performances. Herein, α-Fe2O3 thin films with surface nanowire were synthesized by electrodeposition and post annealing method for photoelectrocatalytic (PEC) water splitting. The thickness of the α-Fe2O3 films can be precisely controlled by adjusting the duration of the electrodeposition. The Au nanoparticles (NPs) and Al2O3 shell by atom layer deposition were further introduced to modify the photoelectrodes. Different constructions were made with different deposition orders of Au and Al2O3 on Fe2O3 films. The Fe2O3-Au-Al2O3 construction shows the best PEC performance with 1.78 times enhancement by localized surface plasmon resonance (LSPR) of NPs in conjunction with surface passivation of Al2O3 shells. Numerical simulation was carried out to investigate the promotion mechanisms. The high PEC performance for Fe2O3-Au-Al2O3 construction electrode could be attributed to the Al2O3 intensified LSPR, effective surface passivation by Al2O3 coating, and the efficient charge transfer due to the Fe2O3-Au Schottky junctions.

  20. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  1. Atomic Layer Deposition of Al2O3-Ga2O3 Alloy Coatings for Li[Ni0.5Mn0.3Co0.2]O2 Cathode to Improve Rate Performance in Li-Ion Battery.

    PubMed

    Laskar, Masihhur R; Jackson, David H K; Guan, Yingxin; Xu, Shenzhen; Fang, Shuyu; Dreibelbis, Mark; Mahanthappa, Mahesh K; Morgan, Dane; Hamers, Robert J; Kuech, Thomas F

    2016-04-27

    Metal oxide coatings can improve the electrochemical stability of cathodes and hence, their cycle-life in rechargeable batteries. However, such coatings often impose an additional electrical and ionic transport resistance to cathode surfaces leading to poor charge-discharge capacity at high C-rates. Here, a mixed oxide (Al2O3)1-x(Ga2O3)x alloy coating, prepared via atomic layer deposition (ALD), on Li[Ni0.5Mn0.3Co0.2]O2 (NMC) cathodes is developed that has increased electron conductivity and demonstrated an improved rate performance in comparison to uncoated NMC. A "co-pulsing" ALD technique was used which allows intimate and controlled ternary mixing of deposited film to obtain nanometer-thick mixed oxide coatings. Co-pulsing allows for independent control over film composition and thickness in contrast to separate sequential pulsing of the metal sources. (Al2O3)1-x(Ga2O3)x alloy coatings were demonstrated to improve the cycle life of the battery. Cycle tests show that increasing Al-content in alloy coatings increases capacity retention; whereas a mixture of compositions near (Al2O3)0.5(Ga2O3)0.5 was found to produce the optimal rate performance.

  2. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  3. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  4. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-06-29

    An article including a substrate, a layer of an inert oxide material upon the surface of the substrate, a layer of an amorphous oxide or oxynitride material upon the inert oxide material layer, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the amorphous oxide material layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected IC's of over 200 Amperes across a sample 1 cm wide.

  5. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  6. Fabrication and mechanical properties of Al2O3/SiC/ZrO2 functionally graded material by electrophoretic deposition.

    PubMed

    Askari, E; Mehrali, M; Metselaar, I H S C; Kadri, N A; Rahman, Md M

    2012-08-01

    This study describes the synthesis of Al(2)O(3)/SiC/ZrO(2) functionally graded material (FGM) in bio-implants (artificial joints) by electrophoretic deposition (EPD). A suitable suspension that was based on 2-butanone was applied for the EPD of Al(2)O(3)/SiC/ZrO(2), and a pressureless sintering process was applied as a presintering. Hot isostatic pressing (HIP) was used to densify the deposit, with beneficial mechanical properties after 2 h at 1800 °C in Ar atmosphere. The maximum hardness in the outer layer (90 vol.% Al(2)O(3)+10 vol.% SiC) and maximum fracture toughness in the core layer (75 vol.% Al(2)O(3)+10 vol.% SiC + 15 vol.% ZrO(2)) composite were 20.8±0.3 GPa and 8±0.1 MPa m(1/2), respectively. The results, when compared with results from Al(2)O(3)/ZrO(2) FGM, showed that SiC increased the compressive stresses in the outer layers, while the inner layers were under a residual tensile stress. Copyright © 2012 Elsevier Ltd. All rights reserved.

  7. Substitutional and Interstitial Diffusion in alpha2-Ti3Al(O)

    NASA Technical Reports Server (NTRS)

    Copland, Evan; Young, David J.; Gleeson, Brian; Jacobson, Nathan

    2007-01-01

    The reaction between Al2O3 and alpha2-Ti3Al was studied with a series of Al2O3/alpha2-Ti3Al multiphase diffusion couples annealed at 900, 1000 and 1100 C. The diffusion-paths were found to strongly depend on alpha2- Ti3Al(O) composition. For alloys with low oxygen concentrations the reaction involved the reduction of Al2O3, the formation of a gamma-TiAl reaction-layer and diffusion of Al and O into the alpha2-Ti3Al substrate. Measured concentration profiles across the interaction-zone showed "up-hill" diffusion of O in alpha2-Ti3Al(O) indicating a significant thermodynamic interaction between O and Al, Ti or both. Diffusion coefficients for the interstitial O in alpha2-Ti3Al(O) were determined independently from the interdiffusion of Ti and Al on the substitutional lattice. Diffusion coefficients are reported for alpha2-Ti3Al(O) as well as gamma-TiAl. Interpretation of the results were aided with the subsequent measurement of the activities of Al, Ti and O in alpha 2-Ti3Al(O) by Knudsen effusion-cell mass spectrometry.

  8. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  9. W:Al 2O 3 nanocomposite thin films with tunable optical properties prepared by atomic layer deposition

    DOE PAGES

    Babar, Shaista; Mane, Anil U.; Yanguas-Gil, Angel; ...

    2016-06-17

    Here, a systematic alteration in the optical properties of W:Al 2O 3 nanocomposite films is demonstrated by precisely varying the W cycle percentage (W%) from 0 to 100% in Al 2O 3 during atomic layer deposition. The direct and indirect band energies of the nanocomposite materials decrease from 5.2 to 4.2 eV and from 3.3 to 1.8 eV, respectively, by increasing the W% from 10 to 40. X-ray absorption spectroscopy reveals that, for W% < 50, W is present in both metallic and suboxide states, whereas, for W% ≥ 50, only metallic W is seen. This transition from dielectric tomore » metallic character at W% ~ 50 is accompanied by an increase in the electrical and thermal conductivity and the disappearance of a clear band gap in the absorption spectrum. The density of the films increases monotonically from 3.1 g/cm 3 for pure Al 2O 3 to 17.1 g/cm 3 for pure W, whereas the surface roughness is greatest for the W% = 50 films. The W:Al 2O 3 nanocomposite films are thermally stable and show little change in optical properties upon annealing in air at 500 °C. These W:Al 2O 3 nanocomposite films show promise as selective solar absorption coatings for concentrated solar power applications.« less

  10. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  11. Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3

    NASA Astrophysics Data System (ADS)

    Dogan, Ö.; Buschhausen, A.; Walk, C.; Mokwa, W.; Vogt, H.

    2018-05-01

    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes.

  12. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  13. ZnS/Al2S3 Layer as a Blocking Layer in Quantum Dot Sensitized Solar Cells

    NASA Astrophysics Data System (ADS)

    Vafapoor, Borzoo; Fathi, Davood; Eskandari, Mehdi

    2017-12-01

    In this research, the effect of treatment of the CdS/CdSe sensitized ZnO photoanode by ZnS, Al2S3, and ZnS/Al2S3 nanoparticles as a barrier layer on the performance of quantum dot sensitized solar cell is investigated. Current density-voltage (J-V) characteristics show that cell efficiency is enhanced from 3.62% to 4.82% with treatment of a CdS/CdSe/ZnS sensitized ZnO photoanode by Al2S3 nanoparticles. In addition, short- circuit current density (J sc) is increased from 11.5 mA/cm2 to 14.8 mA/cm2. The results extracted from electrochemical impedance spectroscopy indicate that charge transfer resistance (R ct) in photoanode/electrolyte interfaces decreases with deposition of Al2S3 nanoparticles on CdS/CdSe/ZnS sensitized ZnO photoanodes, while the chemical capacitance of photoanode (C μ ) and electron lifetime (t n) increase. Also, results revealed that cell performance is considerably decreased with the treatment of the AL2S3 blocking layer incorporated between ZnO nanorods and CdS/CdSe QDs.

  14. ZnS/Al2S3 Layer as a Blocking Layer in Quantum Dot Sensitized Solar Cells

    NASA Astrophysics Data System (ADS)

    Vafapoor, Borzoo; Fathi, Davood; Eskandari, Mehdi

    2018-03-01

    In this research, the effect of treatment of the CdS/CdSe sensitized ZnO photoanode by ZnS, Al2S3, and ZnS/Al2S3 nanoparticles as a barrier layer on the performance of quantum dot sensitized solar cell is investigated. Current density-voltage ( J- V) characteristics show that cell efficiency is enhanced from 3.62% to 4.82% with treatment of a CdS/CdSe/ZnS sensitized ZnO photoanode by Al2S3 nanoparticles. In addition, short- circuit current density ( J sc) is increased from 11.5 mA/cm2 to 14.8 mA/cm2. The results extracted from electrochemical impedance spectroscopy indicate that charge transfer resistance ( R ct) in photoanode/electrolyte interfaces decreases with deposition of Al2S3 nanoparticles on CdS/CdSe/ZnS sensitized ZnO photoanodes, while the chemical capacitance of photoanode ( C μ ) and electron lifetime ( t n) increase. Also, results revealed that cell performance is considerably decreased with the treatment of the AL2S3 blocking layer incorporated between ZnO nanorods and CdS/CdSe QDs.

  15. Improved Optical Transmittance and Crystal Characteristics of ZnS:TbOF Thin Film on Bi4Ti3O12/Indium Tin Oxide/Glass Substrate by Using a SiO2 Buffer Layer

    NASA Astrophysics Data System (ADS)

    Chia, Wei‑Kuo; Yokoyama, Meiso; Yang, Cheng‑Fu; Chiang, Wang‑Ta; Chen, Ying‑Chung

    2006-07-01

    Bi4Ti3O12 thin films are deposited on indium tin oxide (ITO)/glass substrates using RF magnetron sputtering technology and are annealed at 675 °C in a rapid thermal annealing furnace in an oxygen atmosphere. The resulting films have high optical transmittances and good crystalline characteristics. ZnS:TbOF films are then deposited on the Bi4Ti3O12 films, causing the originally highly transparent specimens to blacken and to resemble a glass surface coated with carbon powder. The optical transmittance of the specimen is less than 15% under the visible wavelength range, and neither a crystalline phase nor a distinct ZnS grain structure is evident in X-ray diffractometer (XRD) and scanning electronic microscope (SEM). Secondary ion mass spectrometer (SIMS) analysis reveals the occurrence of interdiffusion between the ZnS and Bi4Ti3O12 layers. This suggests that one or more unknown chemical reactions take place among the elements Bi, S, and O at the interface during the deposition of ZnS:TbOF film on a Bi4Ti3O12/ITO/glass substrate. These reactions cause the visible transmittance of the specimens to deteriorate dramatically. To prevent interdiffusion, a silicon dioxide (SiO2) buffer layer 100 nm thick was grown on the Bi4Ti3O12/ITO/glass substrate using plasma-enhanced chemical vapor deposition (PECVD), then the ZnS:TbOF film was grown on the SiO2 buffer layer. The transmittance of the resulting specimen is enhanced approximately 8-fold in the visible region. XRD patterns reveal the ZnS(111)-oriented phase is dominant. Furthermore, dense, crack-free ZnS:TbOF grains are observed by SEM. The results imply that the SiO2 buffer layer sandwiched between the ZnS:TbOF and Bi4Ti3O2 layers effectively separates the two layers. Therefore, interdiffusion and chemical reactions are prevented at the interface of the two layers, and the crystalline characteristics of the ZnS:TbOF layer and the optical transmittance of the specimen are improved as a result. Finally, the dielectric

  16. Modified band alignment effect in ZnO/Cu2O heterojunction solar cells via Cs2O buffer insertion

    NASA Astrophysics Data System (ADS)

    Eom, Kiryung; Lee, Dongyoon; Kim, Seunghwan; Seo, Hyungtak

    2018-02-01

    The effects of a complex buffer layer of cesium oxide (Cs2O) on the photocurrent response in oxide heterojunction solar cells (HSCs) were investigated. A p-n junction oxide HSC was fabricated using p-type copper (I) oxide (Cu2O) and n-type zinc oxide (ZnO); the buffer layer was inserted between the Cu2O and fluorine-doped tin oxide (FTO). Ultraviolet-visible (UV-vis) and x-ray and ultraviolet photoelectron spectroscopy analyses were performed to characterize the electronic band structures of cells, both with and without this buffer layer. In conjunction with the measured band electronic structures, the significantly improved visible-range photocurrent spectra of the buffer-inserted HSC were analyzed in-depth. As a result, the 1 sun power conversion efficiency was increased by about three times by the insertion of buffer layer. The physicochemical origin of the photocurrent enhancement was mainly ascribed to the increased photocarrier density in the buffer layer and modified valence band offset to promote the effective hole transfer at the interface to FTO on the band-alignment model.

  17. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    NASA Astrophysics Data System (ADS)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  18. Space electric field concentrated effect for Zr:SiO2 RRAM devices using porous SiO2 buffer layer

    PubMed Central

    2013-01-01

    To improve the operation current lowing of the Zr:SiO2 RRAM devices, a space electric field concentrated effect established by the porous SiO2 buffer layer was investigated and found in this study. The resistive switching properties of the low-resistance state (LRS) and high-resistance state (HRS) in resistive random access memory (RRAM) devices for the single-layer Zr:SiO2 and bilayer Zr:SiO2/porous SiO2 thin films were analyzed and discussed. In addition, the original space charge limited current (SCLC) conduction mechanism in LRS and HRS of the RRAM devices using bilayer Zr:SiO2/porous SiO2 thin films was found. Finally, a space electric field concentrated effect in the bilayer Zr:SiO2/porous SiO2 RRAM devices was also explained and verified by the COMSOL Multiphysics simulation model. PMID:24330524

  19. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  20. Atomic layer deposition of highly-doped Er:Al2O3 and Tm:Al2O3 for silicon-based waveguide amplifiers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Roenn, John; Karvonen, Lasse; Pyymäki-Perros, Alexander; Peyghambarian, Nasser; Lipsanen, Harri; Säynätjoki, Antti; Sun, Zhipei

    2016-05-01

    Recently, rare-earth doped waveguide amplifiers (REDWAs) have drawn significant attention as a promising solution to on-chip amplification of light in silicon photonics and integrated optics by virtue of their high excited state lifetime (up to 10 ms) and broad emission spectrum (up to 200 nm) at infrared wavelengths. In the family of rare-earths, at least erbium, holmium, thulium, neodymium and ytterbium have been demonstrated to be good candidates for amplifier operation at moderate concentrations (< 0.1 %). However, efficient amplifier operation in REDWAs is a very challenging task because high concentration of ions (<0.1%) is required in order to produce reasonable amplification over short device length. Inevitably, high concentration of ions leads to energy-transfer between neighboring ions, which results as decreased gain and increased noise in the amplifier system. It has been shown that these energy-transfer mechanisms in highly-doped gain media are inversely proportional to the sixth power of the distance between the ions. Therefore, novel fabrication techniques with the ability to control the distribution of the rare-earth ions within the gain medium are urgently needed in order to fabricate REDWAs with high efficiency and low noise. Here, we show that atomic layer deposition (ALD) is an excellent technique to fabricate highly-doped (<1%) RE:Al2O3 gain materials by using its nanoscale engineering ability to delicately control the incorporation of RE ions during the deposition. In our experiment, we fabricated Er:Al2O3 and Tm:Al2O3 thin films with ALD by varying the concentration of RE ions from 1% to 7%. By measuring the photoluminescence response of the fabricated samples, we demonstrate that it is possible to incorporate up to 5% of either Er- or Tm-ions in Al2O3 host before severe quenching occurs. We believe that this technique can be extended to other RE ions as well. Therefore, our results show the exceptionality of ALD as a deposition technique for

  1. Role of Al2O3 thin layer on improving the resistive switching properties of Ta5Si3-based conductive bridge random accesses memory device

    NASA Astrophysics Data System (ADS)

    Kumar, Dayanand; Aluguri, Rakesh; Chand, Umesh; Tseng, Tseung-Yuen

    2018-04-01

    Ta5Si3-based conductive bridge random access memory (CBRAM) devices have been investigated to improve their resistive switching characteristics for their application in future nonvolatile memory technology. Changes in the switching characteristics by the addition of a thin Al2O3 layer of different thicknesses at the bottom electrode interface of a Ta5Si3-based CBRAM devices have been studied. The double-layer device with a 1 nm Al2O3 layer has shown improved resistive switching characteristics over the single layer one with a high on/off resistance ratio of 102, high endurance of more than 104 cycles, and good retention for more than 105 s at the temperature of 130 °C. The higher thermal conductivity of Al2O3 over Ta5Si3 has been attributed to the enhanced switching properties of the double-layer devices.

  2. Improved interface and electrical properties of atomic layer deposited Al2O3/4H-SiC

    NASA Astrophysics Data System (ADS)

    Suvanam, Sethu Saveda; Usman, Muhammed; Martin, David; Yazdi, Milad. G.; Linnarsson, Margareta; Tempez, Agnès; Götelid, Mats; Hallén, Anders

    2018-03-01

    In this paper we demonstrate a process optimization of atomic layer deposited Al2O3 on 4H-SiC resulting in an improved interface and electrical properties. For this purpose the samples have been treated with two pre deposition surface cleaning processes, namely CP1 and CP2. The former is a typical surface cleaning procedure used in SiC processing while the latter have an additional weak RCA1 cleaning step. In addition to the cleaning and deposition, the effects of post dielectric annealing (PDA) at various temperatures in N2O ambient have been investigated. Analyses by scanning electron microscopy show the presence of structural defects on the Al2O3 surface after annealing at 500 and 800 °C. These defects disappear after annealing at 1100 °C, possibly due to densification of the Al2O3 film. Interface analyses have been performed using X-ray photoelectron spectroscopy (XPS) and time-of-flight medium energy ion scattering (ToF MEIS). Both these measurements show the formation of an interfacial SiOx (0 < x < 2) layer for both the CP1 and CP2, displaying an increased thickness for higher temperatures. Furthermore, the quality of the sub-oxide interfacial layer was found to depend on the pre deposition cleaning. In conclusion, an improved interface with better electrical properties is shown for the CP2 sample annealed at 1100 °C, resulting in lower oxide charges, strongly reduced flatband voltage and leakage current, as well as higher breakdown voltage.

  3. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased andmore » the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering

  4. MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2001-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  5. β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures grown on β-Ga{sub 2}O{sub 3} (010) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaun, Stephen W., E-mail: skaun@umail.ucsb.edu; Wu, Feng; Speck, James S.

    2015-07-15

    By systematically changing growth parameters, the growth of β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures by plasma-assisted molecular beam epitaxy was optimized. Through variation of the Al flux under O-rich conditions at 600 °C, β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) layers spanning ∼10% to ∼18% Al{sub 2}O{sub 3} were grown directly on β-Ga{sub 2}O{sub 3} (010) substrates. Nominal β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) compositions were determined through Al:Ga flux ratios. With x = ∼0.18, the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak in a high-resolution x-ray diffraction (HRXRD) ω-2θ scan was barely discernible, and Pendellösung fringes were not visible.more » This indicated that the phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) at 600 °C was less than ∼18%. The substrate temperature was then varied for a series of β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers, and the smoothest layer was grown at 650 °C. The phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) appeared to increase with growth temperature, as the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak with x = ∼0.18 was easily distinguishable by HRXRD in a sample grown at 650 °C. Cross-sectional transmission electron microscopy (TEM) indicated that β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers (14.4% Al{sub 2}O{sub 3} by energy dispersive x-ray spectroscopy) grown at 650 °C were homogeneous. β-(Al{sub ∼0.20}Ga{sub ∼0.80}){sub 2}O{sub 3} (010) layers, however, displayed a phase transition. TEM images of a β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) superlattice grown at 650 °C showed abrupt layer interfaces and high alloy homogeneity.« less

  6. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  7. Effect of ZnO buffer layer on phase transition properties of vanadium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Huiqun; Li, Lekang; Li, Chunbo

    2016-03-01

    VO2 thin films were prepared on ZnO buffer layers by DC magnetron sputtering at room temperature using vanadium target and post annealing at 400 °C. The ZnO buffer layers with different thickness deposited on glass substrates by magnetron sputtering have a high visible and near infrared optical transmittance. The electrical resistivity and the phase transition properties of the VO2/ZnO composite thin films in terms of temperature were investigated. The results showed that the resistivity variation of VO2 thin film with ZnO buffer layer deposited for 35 min was 16 KΩ-cm. The VO2/ZnO composite thin films exhibit a reversible semiconductor-metal phase transition at 48 °C.

  8. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    PubMed

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  9. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  10. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D.

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substratemore » (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.« less

  11. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  12. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  13. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  14. Active metal brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%)

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2018-01-01

    The application of an active braze alloy (ABA) known as Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%) to join Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) has been investigated. This ABA was selected to increase the operating temperature of the joint beyond the capabilities of typically used ABAs such as Ag-Cu-Ti-based alloys. Silica present as a secondary phase in the Al2O3 at a level of 5 wt.% enabled the ceramic component to bond to the ABA chemically by forming a layer of Si3Ti5 at the ABA/Al2O3 interface. Appropriate brazing conditions to preserve a near-continuous Si3Ti5 layer on the Al2O3 and a continuous Fe3Si layer on the Kovar® were found to be a brazing time of ≤15 min at 1025 °C or ≤2 min at 1050 °C. These conditions produced joints that did not break on handling and could be prepared easily for microscopy. Brazing for longer periods of time, up to 45 min, at these temperatures broke down the Si3Ti5 layer on the Al2O3, while brazing at ≥1075 °C for 2-45 min broke down the Fe3Si layer on the Kovar® significantly. Further complications of brazing at ≥1075 °C included leakage of the ABA out of the joint and the formation of a new brittle silicide, Ni16Si7Ti6, at the ABA/Al2O3 interface. This investigation demonstrates that it is not straightforward to join Al2O3 to Kovar® using Copper ABA®, partly because the ranges of suitable values for the brazing temperature and time are quite limited. Other approaches to increase the operating temperature of the joint are discussed.

  15. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  16. Rare earth zirconium oxide buffer layers on metal substrates

    DOEpatents

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 02 O.sub.2-(x/2) buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  17. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  18. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  19. Growth of C60 thin films on Al2O3/NiAl(100) at early stages

    NASA Astrophysics Data System (ADS)

    Hsu, S.-C.; Liao, C.-H.; Hung, T.-C.; Wu, Y.-C.; Lai, Y.-L.; Hsu, Y.-J.; Luo, M.-F.

    2018-03-01

    The growth of thin films of C60 on Al2O3/NiAl(100) at the earliest stage was studied with scanning tunneling microscopy and synchrotron-based photoelectron spectroscopy under ultrahigh-vacuum conditions. C60 molecules, deposited from the vapor onto an ordered thin film of Al2O3/NiAl(100) at 300 K, nucleated into nanoscale rectangular islands, with their longer sides parallel to direction either [010] or [001] of NiAl. The particular island shape resulted because C60 diffused rapidly, and adsorbed and nucleated preferentially on the protrusion stripes of the crystalline Al2O3 surface. The monolayer C60 film exhibited linear protrusions of height 1-3 Å, due to either the structure of the underlying Al2O3 or the lattice mismatch at the boundaries of the coalescing C60 islands; such protrusions governed also the growth of the second layer. The second layer of the C60 film grew only for a C60 coverage >0.60 ML, implying a layer-by-layer growth mode, and also ripened in rectangular shapes. The thin film of C60 was thermally stable up to 400 K; above 500 K, the C60 islands dissociated and most C60 desorbed.

  20. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  1. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  2. Nondestructive depth profile of the chemical state of ultrathin Al2O3/Si interface

    NASA Astrophysics Data System (ADS)

    Lee, Jong Cheol; Oh, S.-J.

    2004-05-01

    We investigated a depth profile of the chemical states of an Al2O3/Si interface using nondestructive photon energy-dependent high-resolution x-ray photoelectron spectroscopy (HRXPS). The Si 2p binding energy, attributed to the oxide interfacial layer (OIL), was found to shift from 102.1 eV to 102.9 eV as the OIL region closer to Al2O3 layer was sampled, while the Al 2p binding energy remains the same. This fact strongly suggests that the chemical state of the interfacial layer is not Al silicate as previously believed. We instead propose from the HRXPS of Al 2p and Si 2p depth-profile studies that the chemical states of the Al2O3/Si interface mainly consist of SiO2 and Si2O3.

  3. Optical properties of white organic light-emitting devices fabricated utilizing a mixed CaAl12O19:Mn4+ and Y3Al5O12:Ce3+ color conversion layer.

    PubMed

    Jeong, H S; Kim, S H; Lee, K S; Jeong, J M; Yoo, T W; Kwon, M S; Yoo, K H; Kim, T W

    2013-06-01

    White organic light-emitting devices (OLEDs) were fabricated by combining a blue OLED with a color conversion layer made of mixed Y3Al5O12:Ce3+ green and Ca2AlO19:Mn4+ red phosphors. The X-ray diffraction patterns showed that Ce3+ ions in the Y3Al5O12:Ce3+ phosphors completely substituted for the Y3+ ions and the Mn4+ ions in the CaAl12O19:Mn4+ phosphors completely substituted for the Ca2+ ions. Electroluminescence spectra at 11 V for the OLEDs fabricated utilizing a color conversion layer showed that the Commission Internationale de l'Eclairage coordinates for the Y3Al5O12:Ce3+ and CaAl12O19:Mn4+ phosphors mixed at the ratio of 1:5 and 1:10 were (0.31, 0.34) and (0.32, 0.37), respectively, indicative of a good white color.

  4. Texture-enhanced Al-Cu electrodes on ultrathin Ti buffer layers for high-power durable 2.6 GHz SAW filters

    NASA Astrophysics Data System (ADS)

    Fu, Sulei; Wang, Weibiao; Xiao, Li; Lu, Zengtian; Li, Qi; Song, Cheng; Zeng, Fei; Pan, Feng

    2018-04-01

    Achieving high resistance to acoustomigration and electromigration in the electrodes used in high-power and high-frequency surface acoustic wave (SAW) filters is important to mobile communications development. In this study, the effects of the Ti buffer layers on the textures and acoustomigration and electromigration resistances of the Al-Cu electrodes were studied comprehensively. The results demonstrate that both power durability and electromigration lifetime are positively correlated with the Al-Cu electrode texture quality. Ultrathin (˜2 nm) Ti can lead to the strongest Al-Cu (111) textured electrodes, with a full width at half maximum of the rocking curve of 2.09°. This represents a remarkable enhancement of the power durability of high-frequency 2.6 GHz SAW filters from 29 dBm to 35 dBm. It also produces lifetime almost 7 times longer than those of electrodes without Ti buffer layers in electromigration tests. X-ray diffraction and transmission electron microscopy analyses revealed that these improved acoustomigration and electromigration resistances can be attributed primarily to the reductions in overall and large-angle grain boundaries in the highly Al-Cu (111) textured electrodes. Furthermore, the growth mechanism of highly Al-Cu texture films is discussed in terms of surface-interface energy balance.

  5. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  6. Band Alignment for Rectification and Tunneling Effects in Al2O3 Atomic-Layer-Deposited on Back Contact for CdTe Solar Cell.

    PubMed

    Su, Yantao; Xin, Chao; Feng, Yancong; Lin, Qinxian; Wang, Xinwei; Liang, Jun; Zheng, Jiaxin; Lin, Yuan; Pan, Feng

    2016-10-11

    The present work intends to explain why ultrathin Al 2 O 3 atomic-layer-deposited (ALD) on the back contact with rectification and tunneling effects can significantly improve the performance of CdTe solar cells in our previous work [ Liang , J. ; et al. Appl. Phys. Lett. 2015 , 107 , 013907 ]. Herein, we further study the mechanism through establishing the interfacial energy band diagram configuration of the ALD Al 2 O 3 /Cu x Te by experiment of X-ray photoelectron spectroscopy and first-principles calculations and conclude to find the band alignment with optimized layer thickness (about 1 nm ALD Al 2 O 3 ) as the key factor for rectification and tunneling effects.

  7. Simultaneous protection of organic p- and n-channels in complementary inverter from aging and bias-stress by DNA-base guanine/Al2O3 double layer.

    PubMed

    Lee, Junyeong; Hwang, Hyuncheol; Min, Sung-Wook; Shin, Jae Min; Kim, Jin Sung; Jeon, Pyo Jin; Lee, Hee Sung; Im, Seongil

    2015-01-28

    Although organic field-effect transistors (OFETs) have various advantages of lightweight, low-cost, mechanical flexibility, and nowadays even higher mobility than amorphous Si-based FET, stability issue under bias and ambient condition critically hinder its practical application. One of the most detrimental effects on organic layer comes from penetrated atmospheric species such as oxygen and water. To solve such degradation problems, several molecular engineering tactics are introduced: forming a kinetic barrier, lowering the level of molecule orbitals, and increasing the band gap. However, direct passivation of organic channels, the most promising strategy, has not been reported as often as other methods. Here, we resolved the ambient stability issues of p-type (heptazole)/or n-type (PTCDI-C13) OFETs and their bias-stability issues at once, using DNA-base small molecule guanine (C5H5N5O)/Al2O3 bilayer. The guanine protects the organic channels as buffer/and H getter layer between the channels and capping Al2O3, whereas the oxide capping resists ambient molecules. As a result, both p-type and n-type OFETs are simultaneously protected from gate-bias stress and 30 days-long ambient aging, finally demonstrating a highly stable, high-gain complementary-type logic inverter.

  8. Depolarization currents in Al 2O 3 and MgAl 2O 4 oxides

    NASA Astrophysics Data System (ADS)

    Carvalhaes, R. P. M.; Rocha, M. S.; de Souza, S. S.; Blak, A. R.

    2004-06-01

    In the present work, dipole defects in γ-irradiated and thermally treated samples of Al 2O 3 and MgAl 2O 4 oxides are investigated, applying the thermally stimulated depolarisation currents technique (TSDC). The TSDC spectra of MgAl 2O 4 doped with Fe 2+, Fe 3+, Co 2+, Cr 3+ and Mn 2+ show four bands at 130 K, 160 K, 250 K and 320 K, and the spectra of Al 2O 3 doped with Mg 2+, Cr 3+ and Fe 3+ show bands between 230 K and 260 K. It has been observed that the bands at 130 K, 160 K and 250 K in MgAl 2O 4 spinel and that the 230 K and 240 K bands in Al 2O 3 are related to dipole defects. The other bands are possibly related to different types of charge storage mechanisms (space-charge and interfacial polarisation) or deal with distributions in activation energies and/or in relaxation times. A thermal decrease of the TSDC bands for heat treatments above 1000 K has been observed. In MgAl 2O 4 spinel, the 250 K band could be recovered after γ-irradiation and the two dipole peaks in Al 2O 3 were partially recovered. Thermal treatments affect the dipole aggregation processes in both oxides. Optical absorption (AO) results indicate that the presence of bands of water molecules in the infrared region obstructs the appearance of the TSDC bands in both Al 2O 3 and MgAl 2O 4. The 250 K peak in MgAl 2O 4 was correlated to V-type centres and the 250 K peak in Al 2O 3 to a substitutional Mg 2+ ion near a trapped hole localised on an adjacent oxygen ion.

  9. In situ transmission electron microscopy observation of pulverization of aluminum nanowires and evolution of the thin surface Al2O3 layers during lithiation-delithiation cycles.

    PubMed

    Liu, Yang; Hudak, Nicholas S; Huber, Dale L; Limmer, Steven J; Sullivan, John P; Huang, Jian Yu

    2011-10-12

    Lithiation-delithiation cycles of individual aluminum nanowires (NWs) with naturally oxidized Al(2)O(3) surface layers (thickness 4-5 nm) were conducted in situ in a transmission electron microscope. Surprisingly, the lithiation was always initiated from the surface Al(2)O(3) layer, forming a stable Li-Al-O glass tube with a thickness of about 6-10 nm wrapping around the NW core. After lithiation of the surface Al(2)O(3) layer, lithiation of the inner Al core took place, which converted the single crystal Al to a polycrystalline LiAl alloy, with a volume expansion of about 100%. The Li-Al-O glass tube survived the 100% volume expansion, by enlarging through elastic and plastic deformation, acting as a solid electrolyte with exceptional mechanical robustness and ion conduction. Voids were formed in the Al NWs during the initial delithiation step and grew continuously with each subsequent delithiation, leading to pulverization of the Al NWs to isolated nanoparticles confined inside the Li-Al-O tube. There was a corresponding loss of capacity with each delithiation step when arrays of NWs were galvonostatically cycled. The results provide important insight into the degradation mechanism of lithium-alloy electrodes and into recent reports about the performance improvement of lithium ion batteries by atomic layer deposition of Al(2)O(3) onto the active materials or electrodes.

  10. Excellent Resistive Switching Performance of Cu-Se-Based Atomic Switch Using Lanthanide Metal Nanolayer at the Cu-Se/Al2O3 Interface.

    PubMed

    Woo, Hyunsuk; Vishwanath, Sujaya Kumar; Jeon, Sanghun

    2018-03-07

    The next-generation electronic society is dependent on the performance of nonvolatile memory devices, which has been continuously improving. In the last few years, many memory devices have been introduced. However, atomic switches are considered to be a simple and reliable basis for next-generation nonvolatile devices. In general, atomic switch-based resistive switching is controlled by electrochemical metallization. However, excess ion injection from the entire area of the active electrode into the switching layer causes device nonuniformity and degradation of reliability. Here, we propose the fabrication of a high-performance atomic switch based on Cu x -Se 1- x by inserting lanthanide (Ln) metal buffer layers such as neodymium (Nd), samarium (Sm), dysprosium (Dy), or lutetium (Lu) between the active metal layer and the electrolyte. Current-atomic force microscopy results confirm that Cu ions penetrate through the Ln-buffer layer and form thin conductive filaments inside the switching layer. Compared with the Pt/Cu x -Se 1- x /Al 2 O 3 /Pt device, the optimized Pt/Cu x -Se 1- x /Ln/Al 2 O 3 /Pt devices show improvement in the on/off resistance ratio (10 2 -10 7 ), retention (10 years/85 °C), endurance (∼10 000 cycles), and uniform resistance state distribution.

  11. Preparation and characterization of 6-layered functionally graded nickel-alumina (Ni-Al2O3) composites

    NASA Astrophysics Data System (ADS)

    Latiff, M. I. A.; Nuruzzaman, D. M.; Basri, S.; Ismail, N. M.; Jamaludin, S. N. S.; Kamaruzaman, F. F.

    2018-04-01

    The present research study deals with the preparation of 6-layered functionally graded (FG) metal-ceramic composite materials through powder metallurgy technique. Using a cylindrical die-punch set made of steel, the nickel-alumina (Ni-Al2O3) graded composite structure was fabricated. The samples consist of four gradual inter layers of varied nickel composition (80wt.%, 60wt.%, 40wt.%, 20wt.%) sandwiched with pure Ni and Al2O3 powders at the ends (100wt.% and 0wt.% nickel) were fabricated under 30 ton compaction load using a hydraulic press. After that, two-step sintering was carried out at sintering temperature 1200ºC and soaking time 3 hours was maintained in a tube furnace. The properties of the prepared samples were characterized by radial shrinkage, optical microscopy and hardness testing. Results showed that larger shrinkage occurred within the ceramic phase which proves that more porosities were eliminated in the ceramic rich layers. From the microstructural analysis, it was observed that alumina particles are almost uniformly distributed in nickel matrix, so as nickel particles in the ceramic matrix of alumina-dominant layers. From interfacial analyses, it was observed that a smooth transition in microstructure from one layer to the next confirms a good interfacial solid state bonding between metal-ceramic constituents and good compaction process. On the other hand, microhardness test results suggest that there might be increasing percentage of porosities in the graded structure as the ceramic content rises.

  12. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    NASA Astrophysics Data System (ADS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Mankad, Ravin; Haight, Richard; Mitzi, David B.; Gunawan, Oki; Gordon, Roy G.

    2014-11-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 1019 to 1020 cm-3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 1019 to 1014 cm-3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  13. Improvement of performance in low temperature solid oxide fuel cells operated on ethanol and air mixtures using Cu-ZnO-Al2O3 catalyst layer

    NASA Astrophysics Data System (ADS)

    Morales, M.; Espiell, F.; Segarra, M.

    2015-10-01

    Anode-supported single-chamber solid oxide fuel cells with and without Cu-ZnO-Al2O3 catalyst layers deposited on the anode support have been operated on ethanol and air mixtures. The cells consist of gadolinia-doped ceria electrolyte, Ni-doped ceria anode, and La0.6Sr0.4CoO3-δ-doped ceria cathode. Catalyst layers with different Cu-ZnO-Al2O3 ratios are deposited and sintered at several temperatures. Since the performance of single-chamber fuel cells strongly depends on catalytic properties of electrodes for partial oxidation of ethanol, the cells are electrochemically characterized as a function of the temperature, ethanol-air molar ratio and gas flow rate. In addition, catalytic activities of supported anode, catalytic layer-supported anode and cathode for partial oxidation of ethanol are analysed. Afterwards, the effect of composition and sintering temperature of catalyst layer on the cell performance are determined. The results indicate that the cell performance can be significantly enhanced using catalyst layers of 30:35:35 and 40:30:30 wt.% Cu-ZnO-Al2O3 sintered at 1100 °C, achieving power densities above 50 mW cm-2 under 0.45 ethanol-air ratio at temperatures as low as 450 °C. After testing for 15 h, all cells present a gradual loss of power density, without carbon deposition, which is mainly attributed to the partial re-oxidation of Ni at the anode.

  14. Epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) by reactive high-power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per, E-mail: perek@ifm.liu.se; Frodelius, Jenny; Hultman, Lars

    2014-01-15

    Al{sub 2}O{sub 3} was deposited by reactive high-power impulse magnetron sputtering at 600 °C onto pre-deposited Ti{sub 2}AlC(0001) thin films on α-Al{sub 2}O{sub 3}(0001) substrates. The Al{sub 2}O{sub 3} was deposited to a thickness of 65 nm and formed an adherent layer of epitaxial γ-Al{sub 2}O{sub 3}(111) as shown by transmission electron microscopy. The demonstration of epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) open prospects for growth of crystalline alumina as protective coatings on Ti{sub 2}AlC and related nanolaminated materials. The crystallographic orientation relationships are γ-Al{sub 2}O{sub 3}(111)//Ti{sub 2}AlC(0001) (out-of-plane) and γ- Al {sub 2}O{sub 3}(22{sup ¯}0)// Timore » {sub 2} AlC (112{sup ¯}0) (in-plane) as determined by electron diffraction. Annealing in vacuum at 900 °C resulted in partial decomposition of the Ti{sub 2}AlC by depletion of Al and diffusion into and through the γ-Al{sub 2}O{sub 3} layer.« less

  15. Formation of gamma'-Ni3Al via the Peritectoid Reaction: gamma plus beta (+Al2O3) equals gamma'(+Al2O3)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8 - 32 at.%Al and temperature range T = 1400 - 1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma'-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3) = gamma + beta (+ Al2O3), at 1640 plus or minus 1 K and a liquid composition of 24.8 plus or minus 0.2 at.%Al (at an unknown oxygen content). The {gamma + beta + Al2O3} phase field is stable over the temperature range 1633 - 1640 K, and gamma'-Ni3Al forms via the peritectiod, gamma + beta (+ Al2O3) = gamma'(+ Al2O3), at 1633 plus or minus 1 K. This behavior is inconsistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma'-Ni3Al phase field.

  16. An AlGaN/GaN high-electron-mobility transistor with an AlN sub-buffer layer

    NASA Astrophysics Data System (ADS)

    Shealy, J. R.; Kaper, V.; Tilak, V.; Prunty, T.; Smart, J. A.; Green, B.; Eastman, L. F.

    2002-04-01

    The AlGaN/GaN high-electron-mobility transistor requires a thermally conducting, semi-insulating substrate to achieve the best possible microwave performance. The semi-insulating SiC substrate is currently the best choice for this device technology; however, fringing fields which penetrate the GaN buffer layer at pinch-off introduce significant substrate conduction at modest drain bias if channel electrons are not well confined to the nitride structure. The addition of an insulating AlN sub-buffer on the semi-insulating SiC substrate suppresses this parasitic conduction, which results in dramatic improvements in the AlGaN/GaN transistor performance. A pronounced reduction in both the gate-lag and the gate-leakage current are observed for structures with the AlN sub-buffer layer. These structures operate up to 50 V drain bias under drive, corresponding to a peak voltage of 80 V, for a 0.30 µm gate length device. The devices have achieved high-efficiency operation at 10 GHz (>70% power-added efficiency in class AB mode at 15 V drain bias) and the highest output power density observed thus far (11.2 W mm-1). Large-periphery devices (1.5 mm gate width) deliver 10 W (continuous wave) of maximum saturated output power at 10 GHz. The growth, processing, and performance of these devices are briefly reviewed.

  17. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    PubMed

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  18. Gamma and proton irradiation effects and thermal stability of electrical characteristics of metal-oxide-silicon capacitors with atomic layer deposited Al 2O 3 dielectric

    DOE PAGES

    J. M. Rafi; Lynn, D.; Pellegrini, G.; ...

    2015-12-11

    The radiation hardness and thermal stability of the electrical characteristics of atomic layer deposited Al 2O 3 layers to be used as passivation films for silicon radiation detectors with slim edges are investigated. To directly measure the interface charge and to evaluate its change with the ionizing dose, metal-oxide-silicon (MOS) capacitors implementing differently processed Al 2O 3 layers were fabricated on p-type silicon substrates. Qualitatively similar results are obtained for degradation of capacitance–voltage and current–voltage characteristics under gamma and proton irradiations up to equivalent doses of 30 Mrad and 21.07 Mrad, respectively. While similar negative charge densities are initially extractedmore » for all non-irradiated capacitors, superior radiation hardness is obtained for MOS structures with alumina layers grown with H 2O instead of O 3 as oxidant precursor. Competing effects between radiation-induced positive charge trapping and hydrogen release from the H 2O-grown Al 2O 3 layers may explain their higher radiation resistance. Finally, irradiated and non-irradiated MOS capacitors with differently processed Al 2O 3 layers have been subjected to thermal treatments in air at temperatures ranging between 100 °C and 200 °C and the thermal stability of their electrical characteristics has been evaluated. Partial recovery of the gamma-induced degradation has been noticed for O 3-grown MOS structures. Lastly, this can be explained by a trapped holes emission process, for which an activation energy of 1.38 ± 0.15 eV has been extracted.« less

  19. Partial oxidation of dimethyl ether using the structured catalyst Rh/Al2O3/Al prepared through the anodic oxidation of aluminum.

    PubMed

    Yu, B Y; Lee, K H; Kim, K; Byun, D J; Ha, H P; Byun, J Y

    2011-07-01

    The partial oxidation of dimethyl ether (DME) was investigated using the structured catalyst Rh/Al2O3/Al. The porous Al2O3 layer was synthesized on the aluminum plate through anodic oxidation in an oxalic-acid solution. It was observed that about 20 nm nanopores were well developed in the Al2O3 layer. The thickness of Al2O3 layer can be adjusted by controlling the anodizing time and current density. After pore-widening and hot-water treatment, the Al2O3/Al plate was calcined at 500 degrees C for 3 h. The obtained delta-Al2O3 had a specific surface area of 160 m2/g, making it fit to be used as a catalyst support. A microchannel reactor was designed and fabricated to evaluate the catalytic activity of Rh/Al2O3/Al in the partial oxidation of DME. The structured catalyst showed an 86% maximum hydrogen yield at 450 degrees C. On the other hand, the maximum syngas yield by a pack-bed-type catalyst could be attained by using a more than fivefold Rh amount compared to that used in the structured Rh/Al2O3/Al catalyst.

  20. Atomic layer deposition of high-density Pt nanodots on Al2O3 film using (MeCp)Pt(Me)3 and O2 precursors for nonvolatile memory applications

    PubMed Central

    2013-01-01

    Pt nanodots have been grown on Al2O3 film via atomic layer deposition (ALD) using (MeCp)Pt(Me)3 and O2 precursors. Influence of the substrate temperature, pulse time of (MeCp)Pt(Me)3, and deposition cycles on ALD Pt has been studied comprehensively by scanning electron microscopy, transmission electron microscopy, and X-ray photoelectron spectroscopy. Therefore, Pt nanodots with a high density of approximately 2 × 1012 cm-2 have been achieved under optimized conditions: 300°C substrate temperature, 1 s pulse time of (MeCp)Pt(Me)3, and 70 deposition cycles. Further, metal-oxide-semiconductor capacitors with Pt nanodots embedded in ALD Al2O3 dielectric have been fabricated and characterized electrically, indicating noticeable electron trapping capacity, efficient programmable and erasable characteristics, and good charge retention. PMID:23413837

  1. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    PubMed Central

    Guo, Jing; Valdesueiro, David; Yuan, Shaojun; Liang, Bin; van Ommen, J. Ruud

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development. PMID:29364840

  2. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  3. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk; Takayama, Osamu; Lavrinenko, Andrei V.

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively withoutmore » any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.« less

  4. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  5. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  6. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    NASA Technical Reports Server (NTRS)

    Srinivas, S.; Pinto, R.; Pai, S. P.; Dsousa, D. P.; Apte, P. R.; Kumar, D.; Purandare, S. C.; Bhatnagar, A. K.

    1995-01-01

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si (100), Sapphire and LaAlO3 (100) substrates. The effect of substrate temperatures up to 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa2Cu3O7-x (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  7. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  8. Surface passivation of Fe{sub 3}O{sub 4} nanoparticles with Al{sub 2}O{sub 3} via atomic layer deposition in a rotating fluidized bed reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, Chen-Long; Deng, Zhang; Cao, Kun

    2016-07-15

    Iron(II,III) oxide (Fe{sub 3}O{sub 4}) nanoparticles have shown great promise in many magnetic-related applications such as magnetic resonance imaging, hyperthermia treatment, and targeted drug delivery. Nevertheless, these nanoparticles are vulnerable to oxidation and magnetization loss under ambient conditions, and passivation is usually required for practical applications. In this work, a home-built rotating fluidized bed (RFB) atomic layer deposition (ALD) reactor was employed to form dense and uniform nanoscale Al{sub 2}O{sub 3} passivation layers on Fe{sub 3}O{sub 4} nanoparticles. The RFB reactor facilitated the precursor diffusion in the particle bed and intensified the dynamic dismantling of soft agglomerates, exposing every surfacemore » reactive site to precursor gases. With the aid of in situ mass spectroscopy, it was found that a thicker fluidization bed formed by larger amount of particles increased the residence time of precursors. The prolonged residence time allowed more thorough interactions between the particle surfaces and the precursor gas, resulting in an improvement of the precursor utilization from 78% to nearly 100%, even under a high precursor feeding rate. Uniform passivation layers around the magnetic cores were demonstrated by both transmission electron microscopy and the statistical analysis of Al mass concentrations. Individual particles were coated instead of the soft agglomerates, as was validated by the specific surface area analysis and particle size distribution. The results of thermogravimetric analysis suggested that 5 nm-thick ultrathin Al{sub 2}O{sub 3} coatings could effectively protect the Fe{sub 3}O{sub 4} nanoparticles from oxidation. The x-ray diffraction patterns also showed that the magnetic core crystallinity of such passivated nanoparticles could be well preserved under accelerated oxidation conditions. The precise thickness control via ALD maintained the saturation magnetization at 66.7 emu/g with a 5

  9. Bimetallic Pt-Au Nanocatalysts on ZnO/Al2O3/Monolith for Air Pollution Control.

    PubMed

    Kim, Ki-Joong; Ahn, Ho-Geun

    2015-08-01

    The catalytic activity of a monolithic catalyst with nanosized Pt and Au particles on ZnO/Al2O3 (Pt-Au/ZnO/Al2O3/M) prepared by a wash-coat method was examined, specifically for toluene oxidation. Scanning electron microscopy image showed clearly the formation of a ZnO/Al2O3 layer on the monolith. Nanosized Pt-Au particles on ZnO/Al2O3/M with different sizes could be found in the Pt-Au/ZnO/Al2O3/M catalyst. The conversion of toluene decreased with increasing toluene concentration and was also largely affected by the feed flow rate. The Pt-Au/ZnO/Al2O3/M catalysts prepared in this work have almost the same activity (molecules of toluene per second) compared with a powder Pt-Au/ZnO/Al2O3 catalyst with the same loadings of Pt and Au components; thus this catalyst could be used in controlling air pollution with very low concentrations and high flow rate.

  10. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  11. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  12. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    NASA Astrophysics Data System (ADS)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  13. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  14. Interface science of virtual GaN substrates on Si(111) via Sc2O3/Y2O3 buffers: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Tarnawska, L.; Dabrowski, J.; Grzela, T.; Lehmann, M.; Niermann, T.; Paszkiewicz, R.; Storck, P.; Schroeder, T.

    2013-06-01

    The final film quality of GaN on foreign substrates is known to crucially depend on the initial GaN interface and nucleation characteristics. To shed light on these characteristics of recently pioneered virtual, hexagonal GaN(0001) substrates on Si(111) via step graded Sc2O3(111)/Y2O3(111) buffers, a complex GaN(0001)/Sc2O3(111) interface structure model and the initial nucleation scenario is derived from a combined experimental (reflection high energy electron diffraction and X-ray photoelectron spectroscopy) and theoretical ab initio study. It is shown that the GaN/Sc2O3 interface chemistry is determined by a N-Ga-O-Sc atomic arrangement leading to N-polar GaN films. However, the atomic GaN(0001)/Sc2O3(111) interface configuration is complex and local perturbations might be at the origin of Ga-polar inversion domains in the mainly N-polar GaN films. The initial growth of GaN on Sc2O3 is characterized by an ultrathin N-Ga-O-Sc wetting layer which carries tensile strain and relaxes with increasing thickness. Further GaN deposition results in the formation of 3D islands which fully relax before island coalescence occurs. The implications of the GaN/Sc2O3 interface configuration, the 3D nucleation growth mode, and the coalescence process of misaligned islands are discussed with respect to the defect characteristics (inversion domains, cubic inclusions, threading dislocations) of the final GaN layer.

  15. Highly Repeatable and Recoverable Phototransistors Based on Multifunctional Channels of Photoactive CdS, Fast Charge Transporting ZnO, and Chemically Durable Al2O3 Layers.

    PubMed

    Ahn, Cheol Hyoun; Kang, Won Jun; Kim, Ye Kyun; Yun, Myeong Gu; Cho, Hyung Koun

    2016-06-22

    Highly repeatable and recoverable phototransistors were explored using a "multifunctional channels" structure with multistacked chalcogenide and oxide semiconductors. These devices were made of (i) photoactive CdS (with a visible band gap), (ii) fast charge transporting ZnO (with a high field-effect mobility), and (iii) a protection layer of Al2O3 (with high chemical durability). The CdS TFT without the Al2O3 protection layer did not show a transfer curve due to the chemical damage that occurred on the ZnO layer during the chemical bath deposition (CBD) process used for CdS deposition. Alternatively, compared to CdS phototransistors with long recovery time and high hysteresis (ΔVth = 19.5 V), our "multi-functional channels" phototransistors showed an extremely low hysteresis loop (ΔVth = 0.5V) and superior photosensitivity with repeatable high photoresponsivity (52.9 A/W at 400 nm). These improvements are likely caused by the physical isolation of the sensing region and charge transport region by the insertion of the ultrathin Al2O3 layer. This approach successfully addresses some of the existing problems in CdS phototransistors, such as the high gate-interface trap site density and high absorption of molecular oxygen, which originate from the polycrystalline CdS.

  16. [Influences of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite ceramics].

    PubMed

    Wang, Zhiqiang; Chen, Xiaoxu; Cai, Yingji; Lü, Bingling

    2003-06-01

    The effects of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite (HAP) ceramics were assessed. The results showed that alpha-Al2O3 impeded the sintering of HAP and raised the sintering temperature. When glass and alpha-Al2O3 were used together to reinforce HAP ceramics, better results could be obtained; the bending strength of multiphase HAP ceramics approached 106 MPa when 10% (wt) alpha-Al2O3 and 20%(wt) glass were used and sintered at 1200 for 1 h.

  17. Impacts of Annealing Conditions on the Flat Band Voltage of Alternate La2O3/Al2O3 Multilayer Stack Structures.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2016-12-01

    The mechanism of flat band voltage (VFB) shift for alternate La2O3/Al2O3 multilayer stack structures in different annealing condition is investigated. The samples were prepared for alternate multilayer structures, which were annealed in different conditions. The capacitance-voltage (C-V) measuring results indicate that the VFB of samples shift negatively for thinner bottom Al2O3 layer, increasing annealing temperature or longer annealing duration. Simultaneously, the diffusion of high-k material to interfaces in different multilayer structures and annealing conditions is observed by X-ray photoelectron spectroscopy (XPS). Based on the dipole theory, a correlation between the diffusion effect of La towards bottom Al2O3/Si interface and VFB shift is found. Without changing the dielectric constant k of films, VFB shift can be manipulated by controlling the single-layer cycles and annealing conditions of alternate high-k multilayer stack.

  18. Novel Layered Supercell Structure from Bi 2AlMnO 6 for Multifunctionalities

    DOE PAGES

    Li, Leigang; Boullay, Philippe; Lu, Ping; ...

    2017-10-02

    Layered materials, e.g., graphene and transition metal (di)chalcogenides, holding great promises in nanoscale device applications have been extensively studied in fundamental chemistry, solid state physics and materials research areas. In parallel, layered oxides (e.g., Aurivillius and Ruddlesden–Popper phases) present an attractive class of materials both because of their rich physics behind and potential device applications. In this work, we report a novel layered oxide material with self-assembled layered supercell structure consisting of two mismatch-layered sublattices of [Bi 3O 3+δ] and [MO 2] 1.84 (M = Al/Mn, simply named BAMO), i.e., alternative layered stacking of two mutually incommensurate sublattices made ofmore » a three-layer-thick Bi–O slab and a one-layer-thick Al/Mn–O octahedra slab in the out-of-plane direction. Strong room-temperature ferromagnetic and piezoelectric responses as well as anisotropic optical property have been demonstrated with great potentials in various device applications. Furthermore, the realization of the novel BAMO layered supercell structure in this work has paved an avenue toward exploring and designing new materials with multifunctionalities.« less

  19. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  20. Effect of Al2O3 encapsulation on multilayer MoSe2 thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Hyun Ah; Yeoul Kim, Seong; Kim, Jiyoung; Choi, Woong

    2017-03-01

    We report the effect of Al2O3 encapsulation on the device performance of multilayer MoSe2 thin-film transistors based on statistical investigation of 29 devices with a SiO2 bottom-gate dielectric. On average, Al2O3 encapsulation by atomic layer deposition increased the field-effect mobility from 10.1 cm2 V-1 s-1 to 14.8 cm2 V-1 s-1, decreased the on/off-current ratio from 8.5  ×  105 to 2.3  ×  105 and negatively shifted the threshold voltage from  -1.1 V to  -8.1 V. Calculation based on the Y-function method indicated that the enhancement of intrinsic carrier mobility occurred independently of the reduction of contact resistance after Al2O3 encapsulation. Furthermore, contrary to previous reports in the literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method for improving the carrier mobility of multilayer MoSe2 transistors, providing important implications on the application of MoSe2 and other 2D materials into high-performance transistors.

  1. Improvement of electron mobility in La:BaSnO{sub 3} thin films by insertion of an atomically flat insulating (Sr,Ba)SnO{sub 3} buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shiogai, Junichi, E-mail: junichi.shiogai@imr.tohoku.ac.jp; Nishihara, Kazuki; Sato, Kazuhisa

    One perovskite oxide, ASnO{sub 3} (A = Sr, Ba), is a candidate for use as a transparent conductive oxide with high electron mobility in single crystalline form. However, the electron mobility of films grown on SrTiO{sub 3} substrates does not reach the bulk value, probably because of dislocation scattering that originates from the large lattice mismatch. This study investigates the effect of insertion of bilayer BaSnO{sub 3} / (Sr,Ba)SnO{sub 3} for buffering this large lattice mismatch between La:BaSnO{sub 3} and SrTiO{sub 3} substrate. The insertion of 200-nm-thick BaSnO{sub 3} on (Sr,Ba)SnO{sub 3} bilayer buffer structures reduces the number of dislocationsmore » and improves surface smoothness of the films after annealing as proved respectively by scanning transmission electron microscopy and atomic force microscopy. A systematic investigation of BaSnO{sub 3} buffer layer thickness dependence on Hall mobility of the electron transport in La:BaSnO{sub 3} shows that the highest obtained value of mobility is 78 cm{sup 2}V{sup −1}s{sup −1} because of its fewer dislocations. High electron mobility films based on perovskite BaSnO{sub 3} can provide a good platform for transparent-conducting-oxide electronic devices and for creation of fascinating perovskite heterostructures.« less

  2. Distinctive electrical properties in sandwich-structured Al2O3/low density polyethylene nanocomposites

    NASA Astrophysics Data System (ADS)

    Wang, Si-Jiao; Zha, Jun-Wei; Li, Wei-Kang; Dang, Zhi-Min

    2016-02-01

    The sandwich-structured Al2O3/low density polyethylene (Al2O3/LDPE) nanocomposite dielectrics consisting of layer-by-layer with different concentration Al2O3 loading were prepared by melt-blending and following hot pressing method. The space charge distribution from pulsed electro-acoustic method and breakdown strength of the nanocomposites were investigated. Compared with the single-layer Al2O3/LDPE nanocomposites, the sandwich-structured nanocomposites remarkably suppressed the space charge accumulation and presented higher breakdown strength. The charges in the sandwich-structured nanocomposites decayed much faster than that in the single-layer nanocomposites, which was attributed to an effective electric field caused by the formation of the interfacial space charges. The energy depth of shallow and deep traps was estimated as 0.73 eV and 1.17 eV in the sandwich-structured nanocomposites, respectively, according to the thermal excitation theoretical model we proposed. This work provides an attractive strategy of design and fabrication of polymer nanocomposites with excellent space charge suppression.

  3. Buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /CeO.sub.2 /Ni, RE.sub.2 O.sub.3 /Ni (RE=Rare Earth), and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /CeO.sub.2 /Cu, RE.sub.2 O.sub.3 /Cu, and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approach, which includes chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  4. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  5. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    NASA Astrophysics Data System (ADS)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  6. Retention of Electronic Conductivity in LaAlO3/SrTiO3 Nanostructures Using a SrCuO2 Capping Layer

    NASA Astrophysics Data System (ADS)

    Aurino, P. P.; Kalabukhov, A.; Borgani, R.; Haviland, D. B.; Bauch, T.; Lombardi, F.; Claeson, T.; Winkler, D.

    2016-08-01

    The interface between two wide band-gap insulators, LaAlO3 and SrTiO3 (LAO/STO) offers a unique playground to study the interplay and competitions between different ordering phenomena in a strongly correlated two-dimensional electron gas. Recent studies of the LAO/STO interface reveal the inhomogeneous nature of the 2DEG that strongly influences electrical-transport properties. Nanowires needed in future applications may be adversely affected, and our aim is, thus, to produce a more homogeneous electron gas. In this work, we demonstrate that nanostructures fabricated in the quasi-2DEG at the LaAlO3/SrTiO3 interface, capped with a SrCuO2 layer, retain their electrical resistivity and mobility independent of the structure size, ranging from 100 nm to 30 μ m . This is in contrast to noncapped LAO/STO structures, where the room-temperature electrical resistivity significantly increases when the structure size becomes smaller than 1 μ m . High-resolution intermodulation electrostatic force microscopy reveals an inhomogeneous surface potential with "puddles" of a characteristic size of 130 nm in the noncapped samples and a more uniform surface potential with a larger characteristic size of the puddles in the capped samples. In addition, capped structures show superconductivity below 200 mK and nonlinear current-voltage characteristics with a clear critical current observed up to 700 mK. Our findings shed light on the complicated nature of the 2DEG at the LAO/STO interface and may also be used for the design of electronic devices.

  7. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  8. Understanding of interface structures and reaction mechanisms induced by Ge or GeO diffusion in Al{sub 2}O{sub 3}/Ge structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shibayama, Shigehisa; JSPS, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083; Kato, Kimihiko

    2013-08-19

    The reaction mechanisms at Al{sub 2}O{sub 3}/Ge interfaces with thermal oxidation through the Al{sub 2}O{sub 3} layer have been investigated. X-ray photoelectron spectroscopy reveals that an Al{sub 6}Ge{sub 2}O{sub 13} layer is formed near the interface, and a GeO{sub 2} layer is formed on the Al{sub 2}O{sub 3} surface, suggesting Ge or GeO diffusion from the Ge surface. It is also clarified that the Al{sub 6}Ge{sub 2}O{sub 13} layer is formed by the different mechanism with a small activation energy of 0.2 eV, compared with the GeO{sub 2} formation limited by oxygen diffusion. Formation of Al-O-Ge bonds due to themore » AlGeO formation could lead appropriate interface structures with high interface qualities.« less

  9. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  10. Direct electron injection into an oxide insulator using a cathode buffer layer

    PubMed Central

    Lee, Eungkyu; Lee, Jinwon; Kim, Ji-Hoon; Lim, Keon-Hee; Seok Byun, Jun; Ko, Jieun; Dong Kim, Young; Park, Yongsup; Kim, Youn Sang

    2015-01-01

    Injecting charge carriers into the mobile bands of an inorganic oxide insulator (for example, SiO2, HfO2) is a highly complicated task, or even impossible without external energy sources such as photons. This is because oxide insulators exhibit very low electron affinity and high ionization energy levels. Here we show that a ZnO layer acting as a cathode buffer layer permits direct electron injection into the conduction bands of various oxide insulators (for example, SiO2, Ta2O5, HfO2, Al2O3) from a metal cathode. Studies of current–voltage characteristics reveal that the current ohmically passes through the ZnO/oxide-insulator interface. Our findings suggests that the oxide insulators could be used for simply fabricated, transparent and highly stable electronic valves. With this strategy, we demonstrate an electrostatic discharging diode that uses 100-nm SiO2 as an active layer exhibiting an on/off ratio of ∼107, and protects the ZnO thin-film transistors from high electrical stresses. PMID:25864642

  11. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  12. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  13. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    NASA Astrophysics Data System (ADS)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  14. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    PubMed Central

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-01-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400–900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells. PMID:27924911

  15. Realizing a facile and environmental-friendly fabrication of high-performance multi-crystalline silicon solar cells by employing ZnO nanostructures and an Al2O3 passivation layer

    NASA Astrophysics Data System (ADS)

    Chen, Hong-Yan; Lu, Hong-Liang; Sun, Long; Ren, Qing-Hua; Zhang, Hao; Ji, Xin-Ming; Liu, Wen-Jun; Ding, Shi-Jin; Yang, Xiao-Feng; Zhang, David Wei

    2016-12-01

    Nowadays, the multi-crystalline silicon (mc-Si) solar cells dominate the photovoltaic industry. However, the current acid etching method on mc-Si surface used by firms can hardly suppress the average reflectance value below 25% in the visible light spectrum. Meanwhile, the nitric acid and the hydrofluoric contained in the etching solution is both environmental unfriendly and highly toxic to human. Here, a mc-Si solar cell based on ZnO nanostructures and an Al2O3 spacer layer is demonstrated. The eco-friendly fabrication is realized by low temperature atomic layer deposition of Al2O3 layer as well as ZnO seed layer. Moreover, the ZnO nanostructures are prepared by nontoxic and low cost hydro-thermal growth process. Results show that the best passivation quality of the n+ -type mc-Si surface can be achieved by balancing the Si dangling bond saturation level and the negative charge concentration in the Al2O3 film. Moreover, the average reflectance on cell surface can be suppressed to 8.2% in 400-900 nm range by controlling the thickness of ZnO seed layer. With these two combined refinements, a maximum solar cell efficiency of 15.8% is obtained eventually. This work offer a facile way to realize the environmental friendly fabrication of high performance mc-Si solar cells.

  16. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    PubMed

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. AlF 3 Surface-Coated Li[Li 0.2 Ni 0.17 Co 0.07 Mn 0.56 ]O 2 Nanoparticles with Superior Electrochemical Performance for Lithium-Ion Batteries

    DOE PAGES

    Sun, Shuwei; Yin, Yanfeng; Wan, Ning; ...

    2015-06-24

    For Li-rich layered cathode materials considerable attention has been paid owing to their high capacity performance for Li-ion batteries (LIBs). In our work, layered Li-rich Li[Li 0.2Ni 0.17Co 0.07Mn 0.56]O 2 nanoparticles are surface-modified with AlF 3 through a facile chemical deposition method. The AlF 3 surface layers have little impact on the structure of the material and act as buffers to prevent the direct contact of the electrode with the electrolyte; thus, they enhance the electrochemical performance significantly. The 3 wt% AlF 3-coated Li-rich electrode exhibits the best cycling capability and has a considerably enhanced capacity retention of 83.1%more » after 50 cycles. Moreover, the rate performance and thermal stability of the 3 wt% AlF3-coated electrode are also clearly improved. Finally, surface analysis indicates that the AlF 3 coating layer can largely suppress the undesirable growth of solid electrolyte interphase (SEI) film and, therefore, stabilizes the structure upon cycling.« less

  18. Formation of gamma(sup prime)-Ni3Al via the Peritectoid Reaction: gamma + beta (+ Al2O3)=gamma(sup prime)(+ Al2O3)

    NASA Technical Reports Server (NTRS)

    Copeland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8-32 at.%Al and temperature range T=1400-1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma(sup prime)-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3)=gamma + Beta(+ Al2O3), at 1640 +/- 1 K and a liquid composition of 24.8 +/- 0.2 at.%al (at an unknown oxygen content). The {gamma + Beta (+Al2O3} phase field is stable over the temperature range 1633-1640 K, and gamma(sup prime)-Ni3Al forms via the peritectoid, gamma + Beta (+ Al2O3)=gamma(sup prime) (+ Al2O3), at 1633 +/- 1 K. This behavior is consistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady-state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma(sup prime)-Ni2Al phase field.

  19. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  20. Buffer layers on metal surfaces having biaxial texture as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /Ni, (RE=Rare Earth), RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /CeO.sub.2 /Ni, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /CeO.sub.2 /Cu, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approaches, which include chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  1. Synthesis, microstructure and magnetic properties of Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jian, E-mail: snove418562@163.com; Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081; Fan, Xi’an, E-mail: groupfxa@163.com

    2015-11-15

    Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core have been synthesized via a modified stöber method combined with following high temperature sintering process. Most of conductive Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by insulating SiO{sub 2} using the modified stöber method. The Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles exhibited good soft magnetic properties with low coercivity and high saturation magnetization. The reaction 4Al+3SiO{sub 2}=2α-Al{sub 2}O{sub 3}+3Si took place during the sintering process. As a result the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{submore » 3} composite core displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher electrical resistivity and lower core loss than the pure Fe{sub 3}Si{sub 0.7}Al{sub 0.3} core. The method of introducing insulating layers surrounding magnetic particles provides a promising route to develop new and high compact soft magnetic materials with good magnetic and electric properties. - Graphical abstract: In Fe{sub 3}Si/Al{sub 2}O{sub 3} composite, Fe{sub 3}Si phases are separated by Al{sub 2}O{sub 3} layers and the eddy currents are confined in Fe{sub 3}Si phases, thus increasing resistivity and reducing core loss. - Highlights: • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores were prepared. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by nano-sized SiO{sub 2} clusters. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores showed good soft magnetic properties. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than Fe{sub 3}Si{sub 0.7}Al{sub 0.3} cores.« less

  2. Effect of Li2O/Al cathode in Alq3 based organic light-emitting diodes.

    PubMed

    Shin, Eun Chul; Ahn, Hui Chul; Han, Wone Keun; Kim, Tae Wan; Lee, Won Jae; Hong, Jin Woong; Chung, Dong Hoe; Song, Min Jong

    2008-09-01

    An effect of bilayer cathode Li20/Al was studied in Alq3 based organic light-emitting diodes with a variation of Li2O layer thickness. The current-luminance-voltage characteristics of ITO/TPD/Alq3/Li2O/Al device were measured at ambient condition to investigate the effect of Li2O/Al. It was found that when the thickness of Li2O layer is in the range of 0.5-1 nm, there are improvements in luminance, efficiency, and turn-on voltage of the device. A current density and a luminance are increased by about 100 times, a turn-on voltage is lowered from 6 V to 3 V, a maximum current efficiency is improved by a factor of 2.3, and a maximum power efficiency is improved by a factor of 3.2 for a device with a use of thin Li2O layer compared to those of the one without the Li2Otron-barrier height for electron injection from the cathode to the emissive layer.

  3. Improvement of the interfacial Dzyaloshinskii-Moriya interaction by introducing a Ta buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Nam-Hui; Jung, Jinyong; Cho, Jaehun

    2015-10-05

    We report systematic measurements of the interfacial Dzyaloshinskii-Moriya interaction (iDMI) by employing Brillouin light scattering in Pt/Co/AlO{sub x} and Ta/Pt/Co/AlO{sub x} structures. By introducing a tantalum buffer layer, the saturation magnetization and the interfacial perpendicular magnetic anisotropy are significantly improved due to the better interface between heavy metal and ferromagnetic layer. From the frequency shift between Stokes- and anti-Stokes spin-waves, we successively obtain considerably larger iDM energy densities (D{sub max} = 1.65 ± 0.13 mJ/m{sup 2} at t{sub Co} = 1.35 nm) upon adding the Ta buffer layer, despite the nominally identical interface materials. Moreover, the energy density shows an inverse proportionality with the Co layer thickness,more » which is the critical clue that the observed iDMI is indeed originating from the interface between the Pt and Co layers.« less

  4. Synthesis and properties of γ-Ga2O3-Al2O3 solid solutions

    NASA Astrophysics Data System (ADS)

    Afonasenko, T. N.; Leont'eva, N. N.; Talzi, V. P.; Smirnova, N. S.; Savel'eva, G. G.; Shilova, A. V.; Tsyrul'nikov, P. G.

    2017-10-01

    The textural and structural properties of mixed oxides Ga2O3-Al2O3, obtained via impregnating γ-Al2O3 with a solution of Ga(NO3)3 and subsequent heat treatment, are studied. According to the results from X-ray powder diffraction, gallium ions are incorporated into the structure of aluminum oxide to form a solid solution of spinel-type γ-Ga2O3-Al2O3 up to a Ga2O3 content of 50 wt % of the total weight of the sample, accompanied by a reduction in the specific surface area, volume, and average pore diameter. It is concluded that when the Ga2O3 content exceeds 50 wt %, the β-Ga2O3 phase is observed along with γ-Ga2O3-Al2O3 solid solution. 71Ga and 27Al NMR spectroscopy shows that gallium replaces aluminum atoms from the tetrahedral position to the octahedral coordination in the structure of γ-Ga2O3-Al2O3.

  5. Electrical conductivity optimization of the Na3AlF6-Al2O3-Sm2O3 molten salts system for Al-Sm intermediate binary alloy production

    NASA Astrophysics Data System (ADS)

    Liao, Chun-fa; Jiao, Yun-fen; Wang, Xu; Cai, Bo-qing; Sun, Qiang-chao; Tang, Hao

    2017-09-01

    Metal Sm has been widely used in making Al-Sm magnet alloy materials. Conventional distillation technology to produce Sm has the disadvantages of low productivity, high costs, and pollution generation. The objective of this study was to develop a molten salt electrolyte system to produce Al-Sm alloy directly, with focus on the electrical conductivity and optimal operating conditions to minimize the energy consumption. The continuously varying cell constant (CVCC) technique was used to measure the conductivity for the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 electrolysis medium in the temperature range from 905 to 1055°C. The temperature ( t) and the addition of Al2O3 ( W(Al2O3)), Sm2O3 ( W(Sm2O3)), and a combination of Al2O3 and Sm2O3 into the basic fluoride system were examined with respect to their effects on the conductivity ( κ) and activation energy. The experimental results showed that the molten electrolyte conductivity increases with increasing temperature ( t) and decreases with the addition of Al2O3 or Sm2O3 or both. We concluded that the optimal operation conditions for Al-Sm intermediate alloy production in the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 system are W(Al2O3) + W(Sm2O3) = 3wt%, W(Al2O3): W(Sm2O3) = 7:3, and a temperature of 965 to 995°C, which results in satisfactory conductivity, low fluoride evaporation losses, and low energy consumption.

  6. Method for making MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2002-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  7. Low-Cd CIGS solar cells made with a hybrid CdS/Zn(O,S) buffer layer

    DOE PAGES

    Garris, Rebekah L.; Mansfield, Lorelle M.; Egaas, Brian; ...

    2016-10-27

    In Cu(In,Ga)Se2 (CIGS) solar cells, CdS and Zn(O,S) buffer layers were compared with a hybrid buffer layer consisting of thin CdS followed Zn(O,S). We explore the physics of this hybrid layer that combines the standard (Cd) approach with the alternative (Zn) approach in the pursuit to unlock further potential for CIGS technology. CdS buffer development has shown optimal interface properties, whereas Zn(O,S) buffer development has shown increased photocurrent. Although a totally Cd-free solar module is more marketable, the retention of a small amount of Cd can be beneficial to achieve optimum junction properties. As long as the amount of Cdmore » is reduced to less than 0.01% by weight, the presence of Cd does not violate the hazardous substance restrictions of the European Union (EU). We estimate the amount of Cd allowed in the EU for CIGS on both glass and stainless steel substrates, and we show that reducing Cd becomes increasingly important as substrate weights decrease. As a result, this hybrid buffer layer had reduced Cd content and a wider space charge region, while achieving equal or better solar cell performance than buffer layers of either CdS or Zn(O,S) alone.« less

  8. Tribological Properties of AlSi12-Al2O3 Interpenetrating Composite Layers in Comparison with Unreinforced Matrix Alloy

    PubMed Central

    Dolata, Anna Janina

    2017-01-01

    Alumina–Aluminum composites with interpenetrating network structures are a new class of advanced materials with potentially better properties than composites reinforced by particles or fibers. Local casting reinforcement was proposed to take into account problems with the machinability of this type of materials and the shaping of the finished products. The centrifugal infiltration process fabricated composite castings in the form of locally reinforced shafts. The main objective of the research presented in this work was to compare the tribological properties (friction coefficient, wear resistance) of AlSi12/Al2O3 interpenetrating composite layers with unreinforced AlSi12 matrix areas. Profilometric tests enabled both quantitative and qualitative analyses of the wear trace that formed on investigated surfaces. It has been shown that interpenetrating composite layers are characterized by lower and more stable coefficients of friction (μ), as well as higher wear resistance than unreinforced matrix areas. At the present stage, the study confirmed that the tribological properties of the composite layers depend on the spatial structure of the ceramic reinforcement, and primarily the volume and size of alumina foam cells. PMID:28878162

  9. AlGaSb Buffer Layers for Sb-Based Transistors

    DTIC Science & Technology

    2010-01-01

    transistor ( HEMT ), molecular beam epitaxy (MBE), field-effect transistor (FET), buffer layer INTRODUCTION High-electron-mobility transistors ( HEMTs ) with InAs...monolayers/s. The use of thinner buffer layers reduces molecular beam epitaxial growth time and source consumption. The buffer layers also exhibit...source. In addition, some of the flux from an Sb cell in a molecular beam epitaxy (MBE) system will deposit near the mouth of the cell, eventually

  10. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  11. Analysis of Al2O3 Nanostructure Using Scanning Microscopy

    PubMed Central

    Kubica, Marek; Bara, Marek

    2018-01-01

    It has been reported that the size and shape of the pores depend on the structure of the base metal, the type of electrolyte, and the conditions of the anodizing process. The paper presents thin Al2O3 oxide layer formed under hard anodizing conditions on a plate made of EN AW-5251 aluminum alloy. The oxidation of the ceramic layer was carried out for 40–80 minutes in a three-component SAS electrolyte (aqueous solution of acids: sulphuric 33 ml/l, adipic 67 g/l, and oxalic 30 g/l) at a temperature of 293–313 K, and the current density was 200–400 A/m2. Presented images were taken by a scanning microscope. A computer analysis of the binary images of layers showed different shapes of pores. The structure of ceramic Al2O3 layers is one of the main factors determining mechanical properties. The resistance to wear of specimen-oxide coating layer depends on porosity, morphology, and roughness of the ceramic layer surface. A 3D oxide coating model, based on the computer analysis of images from a scanning electron microscope (Philips XL 30 ESEM/EDAX), was proposed. PMID:29861823

  12. Kinetics of dissolution of sapphire in melts in the CaO-Al2O3-SiO2 system

    NASA Astrophysics Data System (ADS)

    Shaw, Cliff S. J.; Klausen, Kim B.; Mao, Huahai

    2018-05-01

    The dissolution rate of sapphire in melts in the CAS system of varying silica activity, viscosity and degree of alumina saturation has been determined at 1600 °C and 1.5 GPa. After an initiation period of up to 1800 s, dissolution is controlled by diffusion of cations through the boundary layer adjacent to the dissolving sapphire. The dissolution rate decreases with increasing silica activity, viscosity and molar Al2O3/CaO. The calculated diffusion matrix for each solvent melt shows that CAS 1 and 9 which have molar Al2O3/CaO of 0.33 and 0.6 and dissolution rate constants of 0.65 × 10-6 and 0.59 × 10-6 m/s0.5 have similar directions and magnitudes of diffusive coupling: DCaO-Al2O3 and DAl2O3-CaO are both negative are approximately equal. The solvent with the fastest dissolution rate: CAS 4, which has a rate constant of 1.5 × 10-6 m/s0.5 and Al2O3/CaO of 0.31 has positive DCaO-Al2O3 and negative DAl2O3-CaO and the absolute values vary by a factor of 4. Although many studies show that aluminium is added to the melts via the reaction: Si4+ =Al3+ + 0.5Ca2+ the compositional profiles show that this reaction is not the only one involved in accommodating the aluminium added during sapphire dissolution. Rather, aluminium is incorporated as both tetrahedrally coordinated Al charge balanced by Ca and as aluminium not charge balanced by Ca (termed Alxs). This reaction: AlIV -Ca =Alxs +CaNBO where CaNBO is a non-bridging oxygen associated with calcium, may involve the formation of aluminium triclusters. The shape of the compositional profiles and oxide-oxide composition paths is controlled by the aluminium addition reaction. When Alxs exceeds 2%, CaO diffusion becomes increasingly anomalous and since the bond strength of Alxs correlates with CaO/CaO + Al2O3, the presence of more than 2% Alxs leads to significantly slower dissolution than when Alxs is absent or at low concentration. Thus, dissolution is controlled by diffusion of cations through the boundary layer, but this

  13. Three-dimensionally Ordered Macroporous Structure Enabled Nanothermite Membrane of Mn2O3/Al

    PubMed Central

    Zheng, Guoqiang; Zhang, Wenchao; Shen, Ruiqi; Ye, Jiahai; Qin, Zhichun; Chao, Yimin

    2016-01-01

    Mn2O3 has been selected to realize nanothermite membrane for the first time in the literature. Mn2O3/Al nanothermite has been synthesized by magnetron sputtering a layer of Al film onto three-dimensionally ordered macroporous (3DOM) Mn2O3 skeleton. The energy release is significantly enhanced owing to the unusual 3DOM structure, which ensures Al and Mn2O3 to integrate compactly in nanoscale and greatly increase effective contact area. The morphology and DSC curve of the nanothermite membrane have been investigated at various aluminizing times. At the optimized aluminizing time of 30 min, energy release reaches a maximum of 2.09 kJ∙g−1, where the Al layer thickness plays a decisive role in the total energy release. This method possesses advantages of high compatibility with MEMS and can be applied to other nanothermite systems easily, which will make great contribution to little-known nanothermite research. PMID:26935405

  14. Structure and magnetic properties of FeSiAl-based soft magnetic composite with AlN and Al2O3 insulating layer prepared by selective nitridation and oxidation

    NASA Astrophysics Data System (ADS)

    Zhong, Xiaoxi; Liu, Ying; Li, Jun; Wang, Yiwei

    2012-08-01

    FeSiAl is widely used in switching power supply, filter inductors and pulse transformers. But when used under higher frequencies in some particular condition, it is required to reduce its high-frequency loss. Preparing a homogeneous insulating coating with good heat resistance and high resistivity, such as AlN and Al2O3, is supposed to be an effective way to reduce eddy current loss, which is less focused on. In this project, mixed AlN and Al2O3 insulating layers were prepared on the surface of FeSiAl powders after 30 min exposure at 1100 °C in high purity nitrogen atmosphere, by means of surface nitridation and oxidation. The results revealed that the insulating layers increase the electrical resistivity, and hence decrease the loss factor, improve the frequency stability and increase the quality factor, especially in the high-frequency range. The morphologies, microstructure and compositions of the oxidized and nitrided products on the surface were characterized by Scanning Electron Microscopy/Energy Disperse Spectroscopy, X-Ray Diffraction, Transmission Electron Microscopy, Selected Area Electron Diffraction and X-ray Photoelectron Spectroscopy.

  15. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  16. Selective oxidation of cube textured Ni and Ni-Cr substrate for the formation of cube textured NiO as a component buffer layer for REBa 2Cu 3O 7+ x (REBCO) coated conductors

    NASA Astrophysics Data System (ADS)

    Lockman, Z.; Goldacker, W.; Nast, R.; deBoer, B.; MacManus-Driscoll, J. L.

    2002-08-01

    Thermal oxidation of cube textured, pure Ni and Ni-Cr tapes was undertaken under different oxidation conditions to form cube textured NiO for the use as a first component of buffer layer for the coated conductor. Cube textured NiO was formed on pure Ni after oxidising for more than 130 min in O 2 at 1250 °C. The oxide thickness was >30 μm. Much shorter oxidation times (20-40 min, NiO thickness of ∼5 μm) and lower temperature (1050 °C) were required to form a similar texture on Ni-Cr foils. In addition, NiO formed on Ni-13%Cr was more highly textured than Ni-10%Cr. A Cr 2O 3 inner layer and NiO outer layer was formed on the Ni-Cr alloys.

  17. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  18. Preparation and Optoelectronic Characteristics of ZnO/CuO-Cu2O Complex Inverse Heterostructure with GaP Buffer for Solar Cell Applications

    PubMed Central

    Hsu, Chih-Hung; Chen, Lung-Chien; Lin, Yi-Feng

    2013-01-01

    This study reports the optoelectronic characteristics of ZnO/GaP buffer/CuO-Cu2O complex (COC) inverse heterostructure for solar cell applications. The GaP and COC layers were used as buffer and absorber in the cell structure, respectively. An energy gap widening effect and CuO whiskers were observed as the copper (Cu) layer was exerted under heat treatment for oxidation at 500 °C for 10 min, and arose from the center of the Cu2O rods. For preparation of the 30 nm-thick GaP buffer by sputtering from GaP target, as the nitrogen gas flow rate increased from 0 to 2 sccm, the transmittance edge of the spectra demonstrated a blueshift form 2.24 to 3.25 eV. Therefore, the layer can be either GaP, GaNP, or GaN by changing the flow rate of nitrogen gas. PMID:28788341

  19. Cu(In,Ga)Se2 solar cells with In2S3 buffer layer deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Kim, SeongYeon; Rana, Tanka R.; Kim, JunHo; Yun, JaeHo

    2017-12-01

    We report on physical vapor deposition of indium sulfide (In2S3) buffer layers and its application to Cu(In,Ga)Se2 (CIGSe) thin film solar cell. The Indium sulfide buffer layers were evaporated onto CIGSe at various substrate temperatures from room temperature (RT) to 350 °C. The effect of deposition temperature of buffer layers on the solar cell device performance were investigated by analyzing temperature dependent current-voltage ( J- V- T), external quantum efficiency (EQE) and Raman spectroscopy. The fabricated device showed the highest power conversion efficiency of 6.56% at substrate temperature of 250 °C, which is due to the decreased interface recombination. However, the roll-over in J- V curves was observed for solar cell device having buffer deposited at substrate temperature larger than 250 °C. From the measurement results, the interface defect and roll-over related degradation were found to have limitation on the performance of solar cell device.

  20. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition.

    PubMed

    Zhernokletov, Dmitry M; Negara, Muhammad A; Long, Rathnait D; Aloni, Shaul; Nordlund, Dennis; McIntyre, Paul C

    2015-06-17

    We correlate interfacial defect state densities with the chemical composition of the Al2O3/GaN interface in metal-oxide-semiconductor (MOS) structures using synchrotron photoelectron emission spectroscopy (PES), cathodoluminescence and high-temperature capacitance-voltage measurements. The influence of the wet chemical pretreatments involving (1) HCl+HF etching or (2) NH4OH(aq) exposure prior to atomic layer deposition (ALD) of Al2O3 were investigated on n-type GaN (0001) substrates. Prior to ALD, PES analysis of the NH4OH(aq) treated surface shows a greater Ga2O3 component compared to either HCl+HF treated or as-received surfaces. The lowest surface concentration of oxygen species is detected on the acid etched surface, whereas the NH4OH treated sample reveals the lowest carbon surface concentration. Both surface pretreatments improve electrical characteristics of MOS capacitors compared to untreated samples by reducing the Al2O3/GaN interface state density. The lowest interfacial trap density at energies in the upper band gap is detected for samples pretreated with NH4OH. These results are consistent with cathodoluminescence data indicating that the NH4OH treated samples show the strongest band edge emission compared to as-received and acid etched samples. PES results indicate that the combination of reduced carbon contamination while maintaining a Ga2O3 interfacial layer by NH4OH(aq) exposure prior to ALD results in fewer interface traps after Al2O3 deposition on the GaN substrate.

  1. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation

    NASA Astrophysics Data System (ADS)

    Kim, Seong Yeoul; Park, Seonyoung; Choi, Woong

    2016-10-01

    We report the effect of Al2O3 encapsulation on the carrier mobility and contact resistance of multilayer MoS2 thin-film transistors by statistically investigating 70 devices with SiO2 bottom-gate dielectric. After Al2O3 encapsulation by atomic layer deposition, calculation based on Y-function method indicates that the enhancement of carrier mobility from 24.3 cm2 V-1 s-1 to 41.2 cm2 V-1 s-1 occurs independently from the reduction of contact resistance from 276 kΩ.μm to 118 kΩ.μm. Furthermore, contrary to the previous literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method of improving the carrier mobility of multilayer MoS2 transistors, providing important implications on the application of MoS2 and other two-dimensional materials into high-performance transistors.

  2. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  3. Structural and mechanical characterization of Al/Al2O3 nanotube thin film on TiV alloy

    NASA Astrophysics Data System (ADS)

    Sarraf, M.; Zalnezhad, E.; Bushroa, A. R.; Hamouda, A. M. S.; Baradaran, S.; Nasiri-Tabrizi, B.; Rafieerad, A. R.

    2014-12-01

    In this study, the fabrication and characterization of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate were carried out. To this end, aluminum thin films were deposited as a first coating layer by direct current (DC) magnetron sputtering with the coating conditions of 300 W, 150 °C and 75 V substrate bias voltage. Al2O3 nanotube array as a second layer was grown on the Al layer by electrochemical anodisation at the constant potential of 20 V within different time periods in an electrolyte solution. For annealing the coated substrates, plasma treatment (PT) technique was utilized under various conditions to get the best adhesion strength of coating to the substrate. To characterize the coating layers, micro scratch test, Vickers hardness and field emission of scanning electron microscopy (FESEM) were used. Results show that after the deposition of pure aluminum on the substrate the scratch length, load and failure point were 794.37 μm, 1100 mN and 411.43 μm, respectively. After PT, the best adhesion strength (2038 mN) was obtained at RF power of 60 W. With the increase of the RF power up to 80 W, a reduction in adhesion strength was observed (1525.22 mN). From the microstructural point of view, a homogenous porous structure with an average pore size of 40-60 nm was formed after the anodisation for 10-45 min. During PT, the porous structure was converted to dense alumina layer when the RF power rose from 40 to 80 W. This led to an increase in hardness value from 2.7 to 3.4 GPa. Based on the obtained data, the RF power of 60 W was the optimum condition for plasma treatment of Al/Al2O3 nanotubular arrays on Ti-6Al-4V substrate.

  4. Laser damage properties of TiO{sub 2}/Al{sub 2}O{sub 3} thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Yaowei; Liu Hao; Sheng Ouyang

    2011-08-20

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO{sub 2}/Al{sub 2}O{sub 3} films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the filmsmore » deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm {Phi} samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO{sub 2}/Al{sub 2}O{sub 3} films, the LIDTs were 6.73{+-}0.47 J/cm{sup 2} and 6.5{+-}0.46 J/cm{sup 2} at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.« less

  5. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  6. Effect of Al and Mg Contents on Wettability and Reactivity of Molten Zn-Al-Mg Alloys on Steel Sheets Covered with MnO and SiO2 Layers

    NASA Astrophysics Data System (ADS)

    Huh, Joo-Youl; Hwang, Min-Je; Shim, Seung-Woo; Kim, Tae-Chul; Kim, Jong-Sang

    2018-05-01

    The reactive wetting behaviors of molten Zn-Al-Mg alloys on MnO- and amorphous (a-) SiO2-covered steel sheets were investigated by the sessile drop method, as a function of the Al and Mg contents in the alloys. The sessile drop tests were carried out at 460 °C and the variation in the contact angles (θc) of alloys containing 0.2-2.5 wt% Al and 0-3.0 wt% Mg was monitored for 20 s. For all the alloys, the MnO-covered steel substrate exhibited reactive wetting whereas the a-SiO2-covered steel exhibited nonreactive, nonwetting (θc > 90°) behavior. The MnO layer was rapidly removed by Al and Mg contained in the alloys. The wetting of the MnO-covered steel sheet significantly improved upon increasing the Mg content but decreased upon increasing the Al content, indicating that the surface tension of the alloy droplet is the main factor controlling its wettability. Although the reactions of Al and Mg in molten alloys with the a-SiO2 layer were found to be sluggish, the wettability of Zn-Al-Mg alloys on the a-SiO2 layer improved upon increasing the Al and Mg contents. These results suggest that the wetting of advanced high-strength steel sheets, the surface oxide layer of which consists of a mixture of MnO and SiO2, with Zn-Al-Mg alloys could be most effectively improved by increasing the Mg content of the alloys.

  7. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  8. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  9. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  10. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  11. Evaluation to the effect of B2O3-La2O3-SrO-Na2O-Al2O3 bonding agent on Ti6Al4V-porcelain bonding.

    PubMed

    Zhao, C Q; Wu, S Q; Lu, Y J; Gan, Y L; Guo, S; Lin, J J; Huang, T T; Lin, J X

    2016-10-01

    Low-fusing bonding agents have been widely applied in Ti-ceramics restorations. As an important category, borate bonding agents have great potentials in increasing Ti-porcelain bonding. The purpose of this study is to evaluate the effect of borate bonding agent with addition of Na2O and Al2O3 on Ti6Al4V-porcelain bonding. The thermal properties of borate bonding agent, such as glass transition temperature (Tg) and crystallization peak temperature (Tp), were investigated to establish the sintering process. And the coefficient of thermal expansion (CTE) was to evaluate the matching effect of porcelain to Ti6Al4V. The bond strength was analyzed by the three point bending test. The microscopic morphology of the borate bonding agent surface after sintering, the interface of Ti-borate bonding agent-porcelain, and the fracture mode after porcelains fracture, were studied to assess the influence of borate bonding agent on Ti6Al4V-ceramics. With the addition of Na2O and Al2O3, the porcelain residues were observed increased indication on the Ti6Al4V surface after porcelain fracture and the bond strength was acquired the maximum (49.45MPa) in the bonding agent composition of 75.70B2O3-5.92La2O3-11.84SrO-4.67Na2O-1.87Al2O3. Those results suggest that borate bonding agent is an effective way to improve the Ti6Al4V-ceramics bond strength. And the addition of Na2O and Al2O3 strengthen this effect. Copyright © 2016 Elsevier Ltd. All rights reserved.

  12. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  13. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  14. Cu(In,Ga)Se2 Solar Cells with Amorphous In2O3-Based Front Contact Layers.

    PubMed

    Koida, Takashi; Ueno, Yuko; Nishinaga, Jiro; Higuchi, Hirohumi; Takahashi, Hideki; Iioka, Masayuki; Shibata, Hajime; Niki, Shigeru

    2017-09-06

    Amorphous (a-) In 2 O 3 -based front contact layers composed of transparent conducting oxide (TCO) and transparent oxide semiconductor (TOS) layers were proved to be effective in enhancing the short-circuit current density (J sc ) of Cu(In,Ga)Se 2 (CIGS) solar cells with a glass/Mo/CIGS/CdS/TOS/TCO structure, while maintaining high fill factor (FF) and open-circuit voltage (V oc ). An n-type a-In-Ga-Zn-O layer was introduced between the CdS and TCO layers. Unlike unintentionally doped ZnO broadly used as TOS layers in CIGS solar cells, the grain-boundary(GB)-free amorphous structure of the a-In-Ga-Zn-O layers allowed high electron mobility with superior control over the carrier density (N). High FF and V oc values were achieved in solar cells containing a-In-Ga-Zn-O layers with N values broadly ranging from 2 × 10 15 to 3 × 10 18 cm -3 . The decrease in FF and V oc produced by the electronic inhomogeneity of solar cells was mitigated by controlling the series resistance within the TOS layer of CIGS solar cells. In addition, a-In 2 O 3 :H and a-In-Zn-O layers exhibited higher electron mobilities than the ZnO:Al layers conventionally used as TCO layers in CIGS solar cells. The In 2 O 3 -based layers exhibited lower free carrier absorption while maintaining similar sheet resistance than ZnO:Al. The TCO and TOS materials and their combinations did not significantly change the V oc of the CIGS solar cells and the mini-modules.

  15. Deposition temperature dependence of material and Si surface passivation properties of O{sub 3}-based atomic layer deposited Al{sub 2}O{sub 3}-based films and stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bordihn, Stefan, E-mail: s.bordihn2@q-cells.com; Mertens, Verena; Müller, Jörg W.

    2014-01-15

    The material composition and the Si surface passivation of aluminum oxide (Al{sub 2}O{sub 3}) films prepared by atomic layer deposition using Al(CH{sub 3}){sub 3} and O{sub 3} as precursors were investigated for deposition temperatures (T{sub Dep}) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H] < 0.5 at. % at 400 °C and 500 °C. The surface passivation performance was investigated after annealing at 300 °C–450 °C and also after firing stepsmore » in the typical temperature range of 800 °C–925 °C. A similar high level of the surface passivation performance, i.e., surface recombination velocity values <10 cm/s, was obtained after annealing and firing. Investigations of Al{sub 2}O{sub 3}/SiN{sub x} stacks complemented the work and revealed similar levels of surface passivation as single-layer Al{sub 2}O{sub 3} films, both for the chemical and field-effect passivation. The fixed charge density in the Al{sub 2}O{sub 3}/SiN{sub x} stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10{sup 12} cm{sup −2} to 3·10{sup 11} cm{sup −2} when T{sub Dep} was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T{sub Dep}. When firing films prepared at of low T{sub Dep}, blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al{sub 2}O{sub 3}-based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen.« less

  16. Method for making high-critical-current-density YBa.sub.2 Cu.sub.3 O.sub.7 superconducting layers on metallic substrates

    DOEpatents

    Feenstra, Roeland; Christen, David; Paranthaman, Mariappan

    1999-01-01

    A method is disclosed for fabricating YBa.sub.2 Cu.sub.3 O.sub.7 superconductor layers with the capability of carrying large superconducting currents on a metallic tape (substrate) supplied with a biaxially textured oxide buffer layer. The method represents a simplification of previously established techniques and provides processing requirements compatible with scale-up to long wire (tape) lengths and high processing speeds. This simplification has been realized by employing the BaF.sub.2 method to grow a YBa.sub.2 Cu.sub.3 O.sub.7 film on a metallic substrate having a biaxially textured oxide buffer layer.

  17. Room temperature radiolytic synthesized Cu@CuAlO(2)-Al(2)O(3) nanoparticles.

    PubMed

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO(2)-Al(2)O(3) bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a (60)Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO(2)-Al(2)O(3) nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO(2)-Al(2)O(3) nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation.

  18. Al2O3/SiON stack layers for effective surface passivation and anti-reflection of high efficiency n-type c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Thi Thanh Nguyen, Huong; Balaji, Nagarajan; Park, Cheolmin; Triet, Nguyen Minh; Le, Anh Huy Tuan; Lee, Seunghwan; Jeon, Minhan; Oh, Donhyun; Dao, Vinh Ai; Yi, Junsin

    2017-02-01

    Excellent surface passivation and anti-reflection properties of double-stack layers is a prerequisite for high efficiency of n-type c-Si solar cells. The high positive fixed charge (Q f) density of N-rich hydrogenated amorphous silicon nitride (a-SiNx:H) films plays a poor role in boron emitter passivation. The more the refractive index ( n ) of a-SiNx:H is decreased, the more the positive Q f of a-SiNx:H is increased. Hydrogenated amorphous silicon oxynitride (SiON) films possess the properties of amorphous silicon oxide (a-SiOx) and a-SiNx:H with variable n and less positive Q f compared with a-SiNx:H. In this study, we investigated the passivation and anti-reflection properties of Al2O3/SiON stacks. Initially, a SiON layer was deposited by plasma enhanced chemical vapor deposition with variable n and its chemical composition was analyzed by Fourier transform infrared spectroscopy. Then, the SiON layer was deposited as a capping layer on a 10 nm thick Al2O3 layer, and the electrical and optical properties were analyzed. The SiON capping layer with n = 1.47 and a thickness of 70 nm resulted in an interface trap density of 4.74 = 1010 cm-2 eV-1 and Q f of -2.59 = 1012 cm-2 with a substantial improvement in lifetime of 1.52 ms after industrial firing. The incorporation of an Al2O3/SiON stack on the front side of the n-type solar cells results in an energy conversion efficiency of 18.34% compared to the one with Al2O3/a-SiNx:H showing 17.55% efficiency. The short circuit current density and open circuit voltage increase by up to 0.83 mA cm-2 and 12 mV, respectively, compared to the Al2O3/a-SiNx:H stack on the front side of the n-type solar cells due to the good anti-reflection and front side surface passivation.

  19. The role of cleaning conditions and epitaxial layer structure on reliability of Sc 2O 3 and MgO passivation on AlGaN/GaN HEMTS

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R. M.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Fitch, R. C.; Gillespie, J.; Dellmer, R.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2002-12-01

    The effect of layer structure (GaN versus AlGaN cap) and cleaning procedure prior to Sc 2O 3 or MgO deposition at 100 °C were examined for their effects on the long-term bias-stress stability of AlGaN/GaN high electron mobility transistors (HEMTs). Surface cleaning by itself was not sufficient to prevent current collapse in the devices. The forward and reverse gate leakage currents were decreased under most conditions upon deposition of the oxide passivation layers. After ≈13 h of bias-stressing, the MgO-passivated HEMTs retain ⩾90% their initial drain-source current. The Sc 2O 3-passivated devices retained ˜80% recovery of the current under the same conditions.

  20. Ho3+-doped AlF3-TeO2-based glass fibers for 2.1 µm laser applications

    NASA Astrophysics Data System (ADS)

    Wang, S. B.; Jia, Z. X.; Yao, C. F.; Ohishi, Y.; Qin, G. S.; Qin, W. P.

    2017-05-01

    Ho3+-doped AlF3-TeO2-based glass fibers based on AlF3-BaF2-CaF2-YF3-SrF2-MgF2-TeO2 glasses are fabricated by using a rod-in-tube method. The glass rod including a core and a thick cladding layer is prepared by using a suction method, where the thick cladding layer is used to protect the core from the effect of surface crystallization during the fiber drawing. By inserting the glass rod into a glass tube, the glass fibers with relatively low loss (~2.3 dB m-1 @ 1560 nm) are prepared. By using a 38 cm long Ho3+-doped AlF3-TeO2-based glass fiber as the gain medium and a 1965 nm fiber laser as the pump source, 2065 nm lasing is obtained for a threshold pump power of ~220 mW. With further increasing the pump power to ~325 mW, the unsaturated output power of the 2065 nm laser is about 82 mW and the corresponding slope efficiency is up to 68.8%. The effects of the gain fiber length on the lasing threshold, the slope efficiency, and the operating wavelength are also investigated. Our experimental results show that Ho3+-doped AlF3-TeO2-based glass fibers are promising gain media for 2.1 µm laser applications.

  1. Modulus, strength and thermal exposure studies of FP-Al2O3/aluminum and FP-Al2O3/magnesium composites

    NASA Technical Reports Server (NTRS)

    Bhatt, R. T.

    1981-01-01

    The mechanical properties of FP-Al2O3 fiber reinforced composites prepared by liquid infiltration techniques are improved. A strengthening addition, magnesium, was incorporated with the aluminum-lithium matrix alloy usually selected for these composites because of its good wetting characteristics. This ternary composite, FP-Al2O3/Al-(2-3)Li-(3-5)Mg, showed improved transverse strength compared with FP-Al2O3/Al-(2-3)Li composites. The lower axial strengths found for the FP-Al2O3/Al-(2-3)Li-(3-5)Mg composites were attributed to fabrication related defects. Another technique was the use of Ti/B coated FP-Al2O3 fibers in the composites. This coating is readily wet by molten aluminum and permitted the use of more conventional aluminum alloys in the composites. However, the anticipated improvements in the axial and transverse strengths were not obtained due to poor bonding between the fiber coating and the matrix. A third approach studied to improve the strengths of FP-Al2O3 reinforced composites was the use of magnesium alloys as matrix materials. While these alloys wet fibers satisfactorily, the result indicated that the magnesium alloy composites used offered no axial strength or modulus advantage over FP-Al2O3/Al-(2-3)Li composites.

  2. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  3. Conductance control at the LaAlO{sub 3}/SrTiO{sub 3}-interface by a multiferroic BiFeO{sub 3} ad-layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mix, Christian; Finizio, Simone; Kläui, Mathias

    2014-06-30

    Multilayered BiFeO{sub 3} (BFO)/LaAlO{sub 3} (LAO) thin film samples were fabricated on SrTiO{sub 3} (STO) substrates by pulsed laser deposition. In this work, the ferroelectric polarization of a multiferroic BFO ad-layer on top of the quasi-two-dimensional electron gas (2DEG) at the LAO/STO interface is used to manipulate the conductivity of the quasi-2DEG. By microstructuring the conductive area of the LAO/STO-interface, a four-point geometry for the measurement of the resistivity was achieved. Piezo force microscopy allows for imaging and poling the spontaneous ferroelectric polarization of the multiferroic layer. The resistance changes showed a linear dependence on the area scanned and amore » hysteretic behavior with respect to the voltages applied in the scanning process. This is evidence for the ferroelectric polarization of the multiferroic causing the resistance changes. Coupling the antiferromagnetic BFO layer to another ferromagnetic layer could enable a magnetic field control of the conductance of the quasi-2DEG at the LAO/STO interface.« less

  4. Removal of nitrate and phosphate using chitosan/Al2O3/Fe3O4 composite nanofibrous adsorbent: Comparison with chitosan/Al2O3/Fe3O4 beads.

    PubMed

    Bozorgpour, Farahnaz; Ramandi, Hossein Fasih; Jafari, Pooya; Samadi, Saman; Yazd, Shabnam Sharif; Aliabadi, Majid

    2016-12-01

    In the present study the chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibrous adsorbent was prepared by electrospinning process and its application for the removal of nitrate and phosphate were compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite bead adsorbent. The influence of Al 2 O 3 /Fe 3 O 4 composite content, pH, contact time, nitrate and phosphate initial concentrations and temperature on the nitrate and phosphate sorption using synthesized bead and nanofibrous adsorbents was investigated in a single system. The reusability of chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers after five sorption-desorption cycles were carried out. The Box-Behnken design was used to investigate the interaction effects of adsorbent dosage, nitrate and phosphate initial concentrations on the nitrate and phosphate removal efficiency. The pseudo-second-order kinetic model and known Freundlich and Langmuir isotherm models were used to describe the kinetic and equilibrium data of nitrate and phosphate sorption using chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers. The influence of other anions including chloride, fluoride and sulphate on the sorption efficiency of nitrate and phosphate was examined. The obtained results revealed the higher potential of chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibers for nitrate and phosphate compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite beads. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gatemore » bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.« less

  6. A Reaction Between High Mn-High Al Steel and CaO-SiO2-Type Molten Mold Flux: Part II. Reaction Mechanism, Interface Morphology, and Al2O3 Accumulation in Molten Mold Flux

    NASA Astrophysics Data System (ADS)

    Kang, Youn-Bae; Kim, Min-Su; Lee, Su-Wan; Cho, Jung-Wook; Park, Min-Seok; Lee, Hae-Geon

    2013-04-01

    Following a series of laboratory-scale experiments, the mechanism of a chemical reaction 4[{Al}] + 3({SiO}_2) = 3[{Si}] + 2({Al}_2{O}_3) between high-alloyed TWIP (TWin-Induced Plasticity) steel containing Mn and Al and molten mold flux composed mainly of CaO-SiO2 during the continuous casting process is discussed in the present article in the context of kinetic analysis, morphological evolution at the reaction interface. By the kinetic analysis using a two-film theory, a rate-controlling step of the chemical reaction at the interface between the molten steel and the molten flux is found to be mass transport of Al in a boundary layer of the molten steel, as long as the molten steel and the molten flux phases are concerned. Mass transfer coefficient of the Al in the boundary layer (k_{{Al}}) is estimated to be 0.9 to 1.2 × 10-4 m/s at 1773 K (1500 ^{circ}C). By utilizing experimental data at various temperatures, the following equation is obtained for the k_{{Al}}; ln k_{{Al}} = -14,290/T - 1.1107. Activation energy for the mass transfer of Al in the boundary layer is 119 kJ/mol, which is close to a value of activation energy for mass transfer in metal phase. The composition evolution of Al in the molten steel was well explained by the mechanism of Al mass transfer. On the other hand, when the concentration of Al in the steel was high, a significant deviation of the composition evolution of Al in the molten steel was observed. By observing reaction interface between the molten steel and the molten flux, it is thought that the chemical reaction controlled by the mass transfer of Al seemed to be disturbed by formation of a solid product layer of MgAl2O4. A model based on a dynamic mass balance and the reaction mechanism of mass transfer of Al in the boundary layer for the low Al steel was developed to predict (pct Al2O3) accumulation rate in the molten mold flux.

  7. What is limiting low-temperature atomic layer deposition of Al{sub 2}O{sub 3}? A vibrational sum-frequency generation study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vandalon, V., E-mail: v.vandalon@tue.nl, E-mail: w.m.m.kessels@tue.nl; Kessels, W. M. M., E-mail: v.vandalon@tue.nl, E-mail: w.m.m.kessels@tue.nl

    2016-01-04

    The surface reactions during atomic layer deposition (ALD) of Al{sub 2}O{sub 3} from Al(CH{sub 3}){sub 3} and H{sub 2}O have been studied with broadband sum-frequency generation to reveal what is limiting the growth at low temperatures. The –CH{sub 3} surface coverage was measured for temperatures between 100 and 300 °C and the absolute reaction cross sections, describing the reaction kinetics, were determined for both half-cycles. It was found that –CH{sub 3} groups persisted on the surface after saturation of the H{sub 2}O half-cycle. From a direct correlation with the growth per cycle, it was established that the reduced reactivity of H{submore » 2}O towards –CH{sub 3} is the dominant factor limiting the ALD process at low temperatures.« less

  8. Room Temperature Radiolytic Synthesized Cu@CuAlO2-Al2O3 Nanoparticles

    PubMed Central

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO2-Al2O3 bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a 60Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO2-Al2O3 nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO2-Al2O3 nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation. PMID:23109893

  9. Enhanced pH sensitivity of AlGaN/GaN ion-sensitive field effect transistor with Al2O3 synthesized by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Liuan; Zhang, Tong; Liu, Xinke; Ao, Jin-Ping

    2018-01-01

    In this study, we evaluated the pH sensitivity enhancement of AlGaN/GaN ion-sensitive field-effect transistor (ISFET) coated by Al2O3 film on the sensing area utilizing atomic layer deposition (ALD). The presence of the Al2O3 film leads to an obvious reduction of surface state density as well as leakage current in the solution, which is beneficial for improving the stability of the ISFET. Furthermore, the sensitivity of the ISFET was improved to 57.8 mV/pH, which is very close to the Nernstian limit at room temperature. The pH sensitivity enhancement can be explained by the higher density of sensing site as well as better surface hydrophilicity.

  10. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  11. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1988-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  12. Effect of powder reactivity on fabrication and properties of NiAl/Al2O3 composite coated on cast iron using spark plasma sintering

    NASA Astrophysics Data System (ADS)

    Beyhaghi, Maryam; Kiani-Rashid, Ali-Reza; Kashefi, Mehrdad; Khaki, Jalil Vahdati; Jonsson, Stefan

    2015-07-01

    Powder mixtures of Ni, NiO and Al are ball milled for 1 and 10 h. X-ray diffractometry and differential thermal analysis show that while ball milling for 1 h produced mechanically activated powder; 10 h ball milling produced NiAl and Al2O3 phases. Dense NiAl/Al2O3 composite coatings are formed on gray cast iron substrate by spark plasma sintering (SPS) technique. The effect of powder reactivity on microstructure, hardness and scratch hardness of NiAl/Al2O3 coatings after SPS is discussed. Results show that in the coating sample made of mechanically activated powder in situ synthesis of NiAl/Al2O3 composite coating is fulfilled and a thicker well-formed diffusion bond layer at the interface between coating and substrate is observed. The diffusion of elements across the bond layers and phase evolution in the bond layers were investigated. No pores or cracks were observed at the interface between coating layer and substrate in any of samples. Higher Vickers hardness and scratch hardness values in coating made of 10 h ball milled powder than in coating fabricated from 1 h ball milled powder are attributed to better dispersion of Al2O3 reinforcement particles in NiAl matrix and nano-crystalline structure of NiAl matrix. Scratched surface of coatings did not reveal any cracking or spallation at coating-substrate interface indicating their good adherence at test conditions.

  13. Unraveling the Origin of Structural Disorder in High Temperature Transition Al2O3: Structure of θ-Al2O3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kovarik, Libor; Bowden, Mark E.; Shi, Dachuan

    The crystallography of transition Al2O3 has been extensively studied in the past due to the advantageous properties of the oxide in catalytic and a range of other technological applications. However, existing crystallographic models are insufficient to describe the structure of many important Al2O3 polymorphs due to their highly disordered nature. In this work, we investigate structure and disorder in high-temperature treated transition Al2O3, and provide a structural description for θ-Al2O3 by using a suite of complementary imaging, spectroscopy and quantum calculation techniques. Contrary to current understanding, our high-resolution imaging shows that θ-Al2O3 is a disordered composite phase of at leastmore » two different end members. By correlating imaging and spectroscopy results with DFT calculations, we propose a model that describes θ-Al2O3 as a disordered intergrowth of two crystallographic variants at the unit cell level. One variant is based on β-Ga2O3, and the other on a monoclinic phase that is closely-related to δ-Al2O3. The overall findings and interpretations afford new insight into the origin of poor crystallinity in transition Al2O3, and also provide new perspectives on structural complexity that can emerge from intergrowth of closely related structural polymorphs.« less

  14. Structural and electronic properties of Ga2O3-Al2O3 alloys

    NASA Astrophysics Data System (ADS)

    Peelaers, Hartwin; Varley, Joel B.; Speck, James S.; Van de Walle, Chris G.

    2018-06-01

    Ga2O3 is emerging as an important electronic material. Alloying with Al2O3 is a viable method to achieve carrier confinement, to increase the bandgap, or to modify the lattice parameters. However, the two materials have very different ground-state crystal structures (monoclinic β-gallia for Ga2O3 and corundum for Al2O3). Here, we use hybrid density functional theory calculations to assess the alloy stabilities and electronic properties of the alloys. We find that the monoclinic phase is the preferred structure for up to 71% Al incorporation, in close agreement with experimental phase diagrams, and that the ordered monoclinic AlGaO3 alloy is exceptionally stable. We also discuss bandgap bowing, lattice constants, and band offsets that can guide future synthesis and device design efforts.

  15. Great enhancement of pyroelectric properties for Ba{sub 0.65}Sr{sub 0.35}TiO{sub 3} films on Pt-Si substrates by inserting a self-buffered layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, C. G.; Li, Y. R.; Zhu, J.

    2009-02-15

    (100)-Ba{sub 0.65}Sr{sub 0.35}TiO{sub 3} (BST) films were deposited on Pt/Ti/SiO{sub 2}/Si substrates using a low-temperature self-buffered layer. X-ray diffraction and atomic force microscope investigations show that the microstructure of BST films strongly depends on surface morphology of annealed self-buffered layer. The mechanism of nucleus formation and the growth initiation of BST films on self-buffered layers were proposed. It was found that the pyroelectric properties of BST films can be greatly enhanced. The pyroelectric coefficient and material merit figure of (100)-BST films are 1.16x10{sup 4} {mu}C m{sup -2} K{sup -1} and 2.18x10{sup -4} Pa{sup -1/2}, respectively. The detectivity of 9.4x10{sup 7}more » cm Hz{sup 1/2} W{sup -1} was obtained in the (100)-BST film capacitors thermally isolated by 500 nm SiO{sub 2} films.« less

  16. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    NASA Astrophysics Data System (ADS)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  17. Buffer layer dependence of magnetoresistance effects in Co2Fe0.4Mn0.6Si/MgO/Co50Fe50 tunnel junctions

    NASA Astrophysics Data System (ADS)

    Sun, Mingling; Kubota, Takahide; Takahashi, Shigeki; Kawato, Yoshiaki; Sonobe, Yoshiaki; Takanashi, Koki

    2018-05-01

    Buffer layer dependence of tunnel magnetoresistance (TMR) effects was investigated in Co2Fe0.4Mn0.6Si (CFMS)/MgO/Co50Fe50 magnetic tunnel junctions (MTJs). Pd, Ru and Cr were selected for the buffer layer materials, and MTJs with three different CFMS thicknesses (30, 5, and 0.8 nm) were fabricated. A maximum TMR ratio of 136% was observed in the Ru buffer layer sample with a 30-nm-thick CFMS layer. TMR ratios drastically degraded for the CFMS thickness of 0.8 nm, and the values were 26% for Cr buffer layer and less than 1% for Pd and Ru buffer layers. From the annealing temperature dependence of the TMR ratios, amounts of interdiffusion and effects from the lattice mismatch were discussed.

  18. The effect of light soaking on crystalline silicon surface passivation by atomic layer deposited Al2O3

    NASA Astrophysics Data System (ADS)

    Liao, Baochen; Stangl, Rolf; Mueller, Thomas; Lin, Fen; Bhatia, Charanjit S.; Hoex, Bram

    2013-01-01

    The effect of light soaking of crystalline silicon wafer lifetime samples surface passivated by thermal atomic layer deposited (ALD) Al2O3 is investigated in this paper. Contrary to other passivation materials used in solar cell applications (i.e., SiO2, SiNx), using thermal ALD Al2O3, an increase in effective carrier lifetime after light soaking under standard testing conditions is observed for both p-type (˜45%) and n-type (˜60%) FZ c-Si lifetime samples. After light soaking and storing the samples in a dark and dry environment, the effective lifetime decreases again and practically returns to the value before light soaking. The rate of lifetime decrease after light soaking is significantly slower than the rate of lifetime increase by light soaking. To investigate the underlying mechanism, corona charge experiments are carried out on p-type c-Si samples before and after light soaking. The results indicate that the negative fixed charge density Qf present in the Al2O3 films increases due to the light soaking, which results in an improved field-effect passivation. Numerical calculations also confirm that the improved field-effect passivation is the main contributor for the increased effective lifetime after light soaking. To further understand the light soaking phenomenon, a kinetic model—a charge trapping/de-trapping model—is proposed to explain the time dependent behavior of the lifetime increase/decrease observed under/after light soaking. The trap model fits the experimental results very well. The observed light enhanced passivation for ALD Al2O3 passivated c-Si is of technological relevance, because solar cell devices operate under illumination, thus an increase in solar cell efficiency due to light soaking can be expected.

  19. Post deposition annealing effect on the properties of Al2O3/InP interface

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Dong Ha; Choi, Byung Joon

    2018-02-01

    Post deposition in-situ annealing effect on the interfacial and electrical properties of Au/Al2O3/n-InP junctions were investigated. With increasing the annealing time, both the barrier height and ideality factor changed slightly but the series resistance decreased significantly. Photoluminescence (PL) measurements showed that the intensities of both the near band edge (NBE) emission from InP and defect-related bands (DBs) from Al2O3 decreased with 30 min annealing. With increasing the annealing time, the diffusion of oxygen (indium) atoms into Al2O3/InP interface (into Al2O3 layer) occurred more significantly, giving rise to the increase of the interface state density. Therefore, the out-diffusion of oxygen atoms from Al2O3 during the annealing process should be controlled carefully to optimize the Al2O3/InP based devices.

  20. The MgO-Al2O3-SiO2 system - Free energy of pyrope and Al2O3-enstatite. [in earth mantle formation

    NASA Technical Reports Server (NTRS)

    Saxena, S. K.

    1981-01-01

    The model of fictive ideal components is used to determine Gibbs free energies of formation of pyrope and Al2O3-enstatite from the experimental data on coexisting garnet and orthopyroxene and orthopyroxene and spinel in the temperature range 1200-1600 K. It is noted that Al2O3 forms an ideal solution with MgSiO3. These thermochemical data are found to be consistent with the Al2O3 isopleths that could be drawn using most recent experimental data and with the reversed experimental data on the garnet-spinel field boundary.

  1. Crack-resistant Al2O3–SiO2 glasses

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-01-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3–(100–x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3–SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses. PMID:27053006

  2. Design and syntheses of hybrid metal-organic materials based on K3[M(C2O4)33H2O [M(III)=Fe, Al, Cr] metallotectons

    NASA Astrophysics Data System (ADS)

    Sun, Yayong; Zong, Yingxia; Ma, Haoran; Zhang, Ao; Liu, Kang; Wang, Debao; Wang, Wenqiang; Wang, Lei

    2016-05-01

    By using K3[M(C2O4)33H2O [M(III)=Fe, Al, Cr] (C2O42-=oxalate) metallotectons as the starting material, we have synthesized eight novel complexes with formulas [{Fe(C2O4)2(H2O)2}2]·(H-L1)2·H2O 1, [Fe(C2O4)Cl2]·(H2-L2)0.5·(L2)0.5·H2O 2, [{Fe(C2O4)1.5Cl2}2]·(H-L3)43, [Fe2(C2O4)Cl8]·(H2-L4)2·2H2O 4, K[Al(C2O4)3]·(H2-L5)·2H2O 5, K[Al(C2O4)3]·(H-L6)2·2H2O 6, K[Cr(C2O4)32H2O 7, Na[Fe(C2O4)3]·(H-L6)2·2H2O 8 (with L1=4-dimethylaminopyridine, L2=2,3,5,6-tetramethylpyrazine, L3=2-aminobenzimidazole, L4=1,4-bis-(1H-imidazol-1-yl)benzene, L5=1,4-bis((2-methylimidazol-1-yl)methyl)benzene, L6=2-methylbenzimidazole). Their structures have been determined by single-crystal X-ray diffraction analyses, elemental analyses, IR spectra and thermogravimetric analyses. Compound 3 is a 2D H-bonded supramolecular architecture. Others are 3D supramolecular structures. Compound 1 shows a [Fe(C2O4)2(H2O)2]- unit and 3D antionic H-bonded framework. Compound 2 features a [Fe(C2O4)Cl2]- anion and 1D iron-oxalate-iron chain. Compound 3 features a [Fe2(C2O4)3Cl4]4- unit. Compound 4 features distinct [Fe2(C2O4)Cl8]4- units, which are mutual linked by water molecules to generated a 2D H-bonded network. Compound 5 features infinite ladder-like chains constructed by [Al(C2O4)3]3- units and K+ cations. The 1D chains are further extended into 3D antionic H-bonded framework through O-H···O H-bonds. Compounds 6-8 show 2D [KAl(C2O4)3]2- layer, [KCr(C2O4)3]2- layer and [NaFe(C2O4)3]2- layer, respectively.

  3. Postperovskite phase equilibria in the MgSiO3-Al2O3 system.

    PubMed

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-12-09

    We investigate high-P,T phase equilibria of the MgSiO(3)-Al(2)O(3) system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh(2)O(3)(II) phase, present calculations demonstrate that (i) dissolving Al(2)O(3) tends to decrease the postperovskite transition pressure of MgSiO(3) but the effect is not significant ( approximately -0.2 GPa/mol% Al(2)O(3)); (ii) Al(2)O(3) produces the narrow perovskite+postperovskite coexisting P,T area (approximately 1 GPa) for the pyrolitic concentration (x(Al2O3) approximately 6 mol%), which is sufficiently responsible to the deep-mantle D'' seismic discontinuity; (iii) the transition would be smeared (approximately 4 GPa) for the basaltic Al-rich composition (x(Al2O3) approximately 20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh(2)O(3)(II) with increasing the Al concentration involving small displacements of the Mg-site cations.

  4. Metastable Phase Relations in the System Ca(sub O)-Al2(sub O)3-MgO-TiO(sub 2): Applications to Ca- And Al-Rich Inclusions

    NASA Technical Reports Server (NTRS)

    Keller, L. P.; Han, J.

    2017-01-01

    Introduction: High temperature phases such as corundum, hibonite, grossite, and perovskite are among the earliest phases that condensed in the early solar nebula. Recent work has shown that defect-structured phases occur in some ultrarefractory inclusions as metastable, possibly more kinetically-favored alternatives to the thermodynamically predicted stable phase assemblages [1-4]. For example, Han et al. have shown that non-stoichiometry in hibonite is accommodated by extra "spinel" blocks in the structure instead of the equilibrium assemblages hibonite+corundum or hibonite+spinel. To explore these relations, we have conducted a series of experiments in the system CaO-Al2O3- MgO-TiO2. Here we discuss the compositions and mineralogy of the experimental samples and how they relate to phases in refractory inclusions with a focus on perovskite and spinel. Methods: For the series of annealing studies, a CaO-Al2O3 eutectic melt is allowed to react with a pure alumina crucible at 1,530degC for either 4 hours or 5 days, followed by quenching in air. Later experiments were similar except that additions of 5 wt% MgO, and CaTiO(sub 3) were used to explore the effect of minor elements on the phase assemblages. The experimental conditions resulted in reaction zones approximately 100-300 ?m wide consisting of a hibonite layer immediately adjacent to the corundum, followed by a grossite layer, and finally krotite with residual quenched melt. For the experiments with Mg, spinel is distributed in all layers but is mainly concentrated in the krotite layer. In the Ti-bearing experiments, perovskite precipitated in association with the krotite and residual melt. In addition to the experiments, we also analyzed perovskite grains in the FUN inclusion SHAL [5] and a large compact type A CAI from Allende. The experiments and refractory inclusions were analyzed using a JEOL 7600F SEM and quantitative analyses were obtained using the JEOL 8530F field-emission electron microprobe.

  5. Stable, Microfabricated Thin Layer Chromatography Plates without Volume Distortion on Patterned, Carbon and Al2O3-Primed Carbon Nanotube Forests

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jensen, David S.; Kanyal, Supriya S.; Gupta, Vipul

    2012-09-28

    In a recent report (Song, J.; et al., Advanced Functional Materials 2011, 21, 1132-1139) some of us described the fabrication of thin layer chromatography (TLC) plates from patterned carbon nanotube (CNT) forests, which were directly infiltrated/coated with silicon by low pressure chemical vapor deposition (LPCVD) of silicon using SiH4. Following infiltration, the nanotubes were removed from the assemblies and the silicon simultaneously converted to SiO2 in a high temperature oxidation step. However, while straightforward, this process had some shortcomings, not the least of which was some distortion of the lithographically patterned features during the volume expansion that accompanied oxidation. Hereinmore » we overcome theis issue and also take substantial steps forward in the microfabrication of TLC plates by showing: (i) A new method for creating an adhesion promotion layer on CNT forests by depositing a few nanometers of carbon followed by atomic layer deposition (ALD) of Al2O3. This method for appears to be new, and X-ray photoelectron spectroscopy confirms the expected presence of oxygen after carbon deposition. ALD of Al2O3 alone and in combination with the carbon on patterned CNT forests was also explored as an adhesion promotion layer for CNT forest infiltration. (ii) Rapid, conformal deposition of an inorganic material that does not require subsequent oxidation: fast pseudo-ALD growth of SiO2 via alumina catalyzed deposition of tris(tert-butoxy)silanol onto the carbon/Al2O3-primed CNT forests. (iii) Faithful reproduction of the features in the masks used to microfabricate the TLC plates (M-TLC) this advance springs from the previous two points. (iv) A bonded (amino) phase on a CNT-templated microfabricated TLC plate. (v) Fast, highly efficient (125,000 - 225,000 N/m) separations of fluorescent dyes on M-TLC plates. (vi) Extensive characterization of our new materials by TEM, SEM, EDAX, DRIFT, and XPS. (vii) A substantially lower process temperature

  6. Capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode

    NASA Astrophysics Data System (ADS)

    Gawri, Isha; Sharma, Mamta; Jindal, Silky; Singh, Harpreet; Tripathi, S. K.

    2018-05-01

    The present paper reports the capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode using chemical bath deposition method. Here anodic alumina layer prepared using electrolytic deposition method on Al substrate is used as insulating material. Using the capacitance-voltage variation at a fixed frequency, the different parameters such as Depletion layer width, Barrier height, Built-in voltage and Carrier concentration has been calculated at room temperature as well as at temperature range from 123 K to 323 K. With the increase in temperature the barrier height and depletion layer width follow a decreasing trend. Therefore, the capacitance-voltage characterization at different temperatures characterization provides strong evidence that the properties of MIS diode are primarily affected by diode parameters.

  7. Sintering of (Ni,Mg)(Al,Fe)2O4 Materials and their Corrosion Process in Na3AlF6-AlF3-K3AlF6 Electrolyte

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Yang, Jianhong; Sang, Shaobai; Wang, Qinghu

    2017-06-01

    The application of ledge-free sidewalls in the Hall-Héroult cells can potentially reduce the energy requirement of aluminum production by about 30 pct (Nightingale et al. in J Eur Ceram, 33:2761-2765, 2013). However, this approach poses great material challenges since such sidewalls are in direct contact with corrosive electrolyte. In the present paper, (Ni,Mg)(Al,Fe)2O4 materials were prepared using fused magnesia, reactive alumina, nickel oxide, and iron oxide powders as the starting materials. The sintering behaviors of specimens as well as their corrosion resistance to molten electrolyte have been investigated by means of X-ray diffraction and scanning electron microscope. The results show that after firing at temperature ranging from 1673 K (1400 °C) up to 1873 K (1600 °C), all the specimens prepared are composed of single-phase (Ni,Mg)(Al,Fe)2O4 composite spinel, the lattice parameter of which increases with increasing Fe3+ ion concentration. Increasing the iron oxide content enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed smaller pores in the matrix. The corrosion tests show that corrosion layers consist of fluoride and Ni(Al,Fe)2O4 composite spinel grains are produced in specimens with Fe/Al mole ratio no more than 1, whereas dense Ni(Al,Fe)2O4 composite spinel layers are formed on the surface of the specimens with Fe/Al mole ratio more than 1. The dense Ni(Al,Fe)2O4 composite spinel layers formed improve the corrosion resistance of the specimens by inhibiting the infiltration of electrolyte and hindering the chemical reaction between the specimen and electrolyte.

  8. Epitaxial growth of high quality SrFeO3 films on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7

    NASA Astrophysics Data System (ADS)

    Hong, Deshun; Liu, Changjiang; Pearson, John; Bhattacharya, Anand

    2017-12-01

    The growth of strontium ferrite SrFeO3 films with a stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report the layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film's resistivity decreased from 750 μΩ c m to 150 μΩ c m , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperature resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and the out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.

  9. Epitaxial growth of high quality SrFeO 3 films on (001) oriented (LaAlO 3 ) 0.3 (Sr 2 TaAlO 6 ) 0.7

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Deshun; Liu, Changjiang; Pearson, John

    2017-12-04

    Growth of strontium ferrite SrFeO3 films with stoichiometry of (1:1:3) is challenging as the unstable Fe4+ oxidation state favors the formation of O vacancies. Here, we report layer by layer growth of SrFeO3 on (001) oriented (LaAlO3)0.3(Sr2TaAlO6)0.7 using ozone assisted molecular beam epitaxy. Upon cooling from room temperature, the film’s resistivity decreased from 750 Ω ∙ to 150 Ω ∙ , as low as the best single crystals, with two identifiable transition points near 110 K and 60 K in resistivity measurements, being hysteretic between cooling and warming through the 60 K transition. During various annealing steps, the low temperaturemore » resistivity changes by orders of magnitude, accompanied by an increase in the c-axis lattice parameter. The hysteresis near 60 K persists for a wide range of annealing conditions. We have identified conditions under which changes due to annealing can be reversed. We attribute changes in resistivity and out of plane lattice parameter to the reversible movement of oxygen ions in the lattice. SrFeO3 may be a promising material for resistive memory applications based upon the control of oxygen vacancies.« less

  10. Reaction between NiO and Al2O3 in NiO/γ-Al2O3 catalysts probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Zhang, H. J.; Chen, Z. Q.

    2013-02-01

    NiO/γ-Al2O3 catalysts with NiO content of 9 wt% and 24 wt% were prepared by solid state reaction method. They are annealed in air at temperatures from 100 °C to 1000 °C. Positron lifetime spectra were measured to study the microstructure variation during annealing process. Four positron lifetime components were resolved with two long lifetime τ3 and τ4, which can be attributed to the ortho-positronium lifetime in microvoids and large pores, respectively. It was found that the longest lifetime τ4 is rather sensitive to the chemical environment of the large pores. The NiO active centers in the catalysts cause decrease of both τ4 and its intensity I4, which is due to the spin-conversion of positronium induced by NiO. However, after heating the catalysts above 600 °C, abnormal increase of the lifetime τ4 is observed. This is due to the formation of NiAl2O4 spinel from the reaction of NiO and γ-Al2O3. The generated NiAl2O4 weakens the spin-conversion effect of positronium, thus leads to the increase of o-Ps lifetime τ4. Formation of NiAl2O4 is further confirmed by both X-ray diffraction and X-ray photoelectron spectroscopy measurements.

  11. Self-Assembled Layered Supercell Structure of Bi2AlMnO6 with Strong Room-Temperature Multiferroic Properties.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Leigang; Boullay, Philippe; Lu, Ping

    2017-02-01

    Room-temperature (RT) multiferroics, possessing ferroelectricity and ferromagnetism simultaneously at RT, hold great promise in miniaturized devices including sensors, actuators, transducers, and multi-state memories. In this work, we report a novel 2D layered RT multiferroic system with self-assembled layered supercell structure consisting of two mismatch-layered sub-lattices of [Bi 3O 3+δ] and [MO 2] 1.84 (M=Al/Mn, simply named as BAMO), i.e., alternative layered stacking of two mutually incommensurate sublattices made of a three-layer-thick Bi-O slab and a one-layer-thick Al/Mn-O octahedra slab along the out-of-plane direction. Strong room-temperature multiferroic responses, e.g., ferromagnetic and ferroelectric properties, have been demonstrated and attributed to the highlymore » anisotropic 2D nature of the non-ferromagnetic and ferromagnetic sublattices which are highly mismatched. The work demonstrates an alternative design approach for new 2D layered oxide materials that hold promises as single-phase multiferroics, 2D oxides with tunable bandgaps, and beyond.« less

  12. Influence of C or In buffer layer on photoluminescence behaviour of ultrathin ZnO film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saravanan, K., E-mail: saravanan@igcar.gov.in; Jayalakshmi, G.; Krishnan, R.

    We study the effect of the indium or carbon buffer layer on the photoluminescence (PL) property of ZnO ultrathin films deposited on a Si(100) substrate. The surface morphology of the films obtained using scanning tunnelling microscopy shows spherical shaped ZnO nanoparticles of size ∼8 nm in ZnO/C/Si and ∼22 nm in ZnO/Si samples, while the ZnO/In/Si sample shows elliptical shaped ZnO particles. Further, the ZnO/C/Si sample shows densely packed ZnO nanoparticles in comparison with other samples. Strong band edge emission has been observed in the presence of In or C buffer layer, whereas the ZnO/Si sample exhibits poor PL emission. The influencemore » of C and In buffer layers on the PL behaviour of ZnO films is studied in detail using temperature dependent PL measurements in the range of 4 K–300 K. The ZnO/C/Si sample exhibits a multi-fold enhancement in the PL emission intensity with well-resolved free and bound exciton emission lines. Our experimental results imply that the ZnO films deposited on the C buffer layer showed higher particle density and better exciton emission desired for optoelectronic applications.« less

  13. Study on Preparing Al2O3 Particles Reinforced ZL109 Composite by in Situ Reaction of Fe2O3/Al System

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Yu, Huashun; Zhao, Qi; Wang, Haitao; Min, Guanghui

    Al2O3 particles reinforced ZL109 composite was prepared by in situ reaction between Fe2O3 and Al. The phases were identified by XRD and the microstructures were observed by SEM and TEM. The Al2O3 particles in sub-micron size distribute uniformly in the matrix and Fe displaced from the in situ reaction forms net-like alloy phases with Cu, Ni, Al, Mn ect. The hardness and the tensile strength at room temperature of the composites have a small increase compared with the matrix. However, the tensile strength at 350°C can reach 92.18 MPa, which is 18.87 MPa higher than that of the matrix. The mechanism of the reaction in the Fe2O3/Al system was studied by DSC. The reaction between Fe2O3 and Al involves two steps. The first step in which Fe2O3 reacts with Al to form FeO and Al2O3 takes place at the matrix alloy melting temperature. The second step in which FeO reacts with Al to form Fe and Al2O3 takes place at a higher temperature.

  14. Combustion synthesis of AlB2-Al2O3 composite powders with AlB2 nanowire structures

    NASA Astrophysics Data System (ADS)

    Yang, Pan; Xiao, Guoqing; Ding, Donghai; Ren, Yun; Yang, Shoulei; Lv, Lihua; Hou, Xing

    2018-05-01

    Using of Al and B2O3 powders as starting materials, and Mg-Al alloy as additives, AlB2-Al2O3 composite powders with AlB2 nanowire structures were successfully fabricated via combustion synthesis method in Ar atmosphere at a pressure of 1.5 MPa. The effect of different amount of Mg-Al alloy on the phase compositions and morphology of the combustion products was investigated. The results revealed that AlB2 and Al2O3 increased, whereas Al decreased with the content of Mg-Al alloy increasing. The impurities MgAl2O4 and AlB12 would exist in the sample with adding of 18 wt% Mg-Al alloy. Interestingly, FESEM/TEM/EDS results showed that AlB2 nanowires were observed in the products when the content of Mg-Al alloy is 6 wt% and 12 wt%. The more AlB2 nanowires can be found as the content of Mg-Al alloy increased. And the yield of AlB2 nanowires with the diameter of about 200 nanometers (nm) and the length up to several tens of micrometers (μm) in the combustion product is highest when the content of Mg-Al alloy is 12 wt%. The vapor, such as Mg-Al (g), B2O2 (g), AlO (g) and Al2O (g), produced during the process of combustion synthesis, reacted with each other to yield AlB2 nanowires by vapor-solid (VS) mechanism and the corresponding model was also proposed.

  15. Bi-layer channel structure-based oxide thin-film transistors consisting of ZnO and Al-doped ZnO with different Al compositions and stacking sequences

    NASA Astrophysics Data System (ADS)

    Cho, Sung Woon; Yun, Myeong Gu; Ahn, Cheol Hyoun; Kim, So Hee; Cho, Hyung Koun

    2015-03-01

    Zinc oxide (ZnO)-based bi-layers, consisting of ZnO and Al-doped ZnO (AZO) layers grown by atomic layer deposition, were utilized as the channels of oxide thin-film transistors (TFTs). Thin AZO layers (5 nm) with different Al compositions (5 and 14 at. %) were deposited on top of and beneath the ZnO layers in a bi-layer channel structure. All of the bi-layer channel TFTs that included the AZO layers showed enhanced stability (Δ V Th ≤ 3.2 V) under a positive bias stress compared to the ZnO single-layer channel TFT (Δ V Th = 4.0 V). However, the AZO/ZnO bi-layer channel TFTs with an AZO interlayer between the gate dielectric and the ZnO showed a degraded field effect mobility (0.3 cm2/V·s for 5 at. % and 1.8 cm2/V·s for 14 at. %) compared to the ZnO single-layer channel TFT (5.5 cm2/V·s) due to increased scattering caused by Al-related impurities near the gate dielectric/channel interface. In contrast, the ZnO/AZO bi-layer channel TFTs with an AZO layer on top of the ZnO layer exhibited an improved field effect mobility (7.8 cm2/V·s for 14 at. %) and better stability. [Figure not available: see fulltext.

  16. High Temperature Mechanical Characterization and Analysis of Al2O3 /Al2O3 Composition

    NASA Technical Reports Server (NTRS)

    Gyekenyesi, John Z.; Jaskowiak, Martha H.

    1999-01-01

    Sixteen ply unidirectional zirconia coated single crystal Al2O3 fiber reinforced polycrystalline Al2O3 was tested in uniaxial tension at temperatures to 1400 C in air. Fiber volume fractions ranged from 26 to 31%. The matrix has primarily open porosity of approximately 40%. Theories for predicting the Young's modulus, first matrix cracking stress, and ultimate strength were applied and evaluated for suitability in predicting the mechanical behavior of Al2O3/Al2O3 composites. The composite exhibited pseudo tough behavior (increased area under the stress/strain curve relative to monolithic alumina) from 22 to 1400 C. The rule-of-mixtures provides a good estimate of the Young's modulus of the composite using the constituent properties from room temperature to approximately 1200 C for short term static tensile tests in air. The ACK theory provides the best approximation of the first matrix cracking stress while accounting for residual stresses at room temperature. Difficulties in determining the fiber/matrix interfacial shear stress at high temperatures prevented the accurate prediction of the first matrix cracking stress above room temperature. The theory of Cao and Thouless, based on Weibull statistics, gave the best prediction for the composite ultimate tensile strength.

  17. Corrosion and wear properties of Zn-Ni and Zn-Ni-Al2O3 multilayer electrodeposited coatings

    NASA Astrophysics Data System (ADS)

    Shourgeshty, M.; Aliofkhazraei, M.; Karimzadeh, A.; Poursalehi, R.

    2017-09-01

    Zn-Ni and Zn-Ni-Al2O3 multilayer coatings with 32, 128, and 512 layers were electroplated on a low carbon steel substrate by pulse electrodeposition under alternative changes in the duty cycle between 20% and 90% and a constant frequency of 250 Hz. Corrosion behavior was investigated by potentiodynamic polarization test and electrochemical impedance spectroscopy (EIS) and wear behavior of the coatings was evaluated by a pin on disk test. The results showed that the corrosion resistance of coatings was improved by increasing the number of layers (the decrease in layer thickness) as well as the presence of alumina nanoparticles. The lowest corrosion current density corresponds to Zn-Ni-Al2O3 with 512 layers equal to 3.74 µA cm-2. Increasing the number of layers in the same total thickness and the presence of alumina nanoparticles within the coating also leads to the improvement in wear resistance of the samples. The coefficient of friction decreased with increasing number of layers and the lowest coefficient of friction (0.517) corresponds to Zn-Ni-Al2O3 coating with 512 layers. Wear mechanism of Zn-Ni coatings with a different number of layers is adhesive while in the Zn-Ni-Al2O3 coatings wear mechanism is a combination of adhesive and abrasive wear, where by increasing the number of the layers to 512 abrasive wear mechanism becomes dominant.

  18. Transparent Al+3 doped MgO thin films for functional applications

    NASA Astrophysics Data System (ADS)

    Maiti, Payel; Sekhar Das, Pradip; Bhattacharya, Manjima; Mukherjee, Smita; Saha, Biswajit; Mullick, Awadesh Kumar; Mukhopadhyay, Anoop Kumar

    2017-08-01

    The present work reports the utilization of a relatively simple, cost effective sol-gel technique based route to synthesize highly transparent, spin coated 4.1 at% Al+3 doped MgO thin films on quartz substrates. The films were characterized by XRD, XPS, Raman spectroscopy, and SIMS techniques. The microstructures were characterized by FESEM and TEM while the nanomechanical properties were assessed by the nanoindentation technique. Finally the optical transmittance was measured by UV-vis technique. The x-ray diffraction (XRD) study suggests the crystal facet (2 0 0) of MgO lattice to be distorted after incorporation of Al+3 into MgO lattice. From FESEM the doped films were found to have a dense microstructure with a crystallite size of about 20 nm as revealed by the TEM studies. Nanoindentation measurements indicated drastic increase of elastic modulus for the Al+3 doped MgO thin films by ~73% compared to that of the pristine MgO thin films along with retaining the nanohardness at ~8 GPa. The transmittance of Al+3 doped MgO thin films in the visible range was significantly higher (~99%) than that of pristine MgO (~90%) thin films. The films also had a relatively higher refractive index of about 1.45 as evaluated from the optical properties. The enhanced transmittance as well as the improved elastic modulus of Al+3 doped MgO thin films suggest its promising candidature in magnetic memory devices and as buffer layers of solar cells.

  19. Preparation of highly ordered mesoporous Al2O3/TiO2 and its application in dye-sensitized solar cells.

    PubMed

    Kim, Jae-Yup; Kang, Soon Hyung; Kim, Hyun Sik; Sung, Yung-Eun

    2010-02-16

    Highly ordered mesoporous Al(2)O(3)/TiO(2) was prepared by sol-gel reaction and evaporation-induced self-assembly (EISA) for use in dye-sensitized solar cells. The prepared materials had two-dimensional, hexagonal pore structures with anatase crystalline phases. The average pore size of mesoporous Al(2)O(3)/TiO(2) remained uniform and in the range of 6.33-6.58 nm while the Brunauer-Emmett-Teller (BET) surface area varied from 181 to 212 m(2)/g with increasing the content of Al(2)O(3). The incorporation of Al content retarded crystallite growth, thereby decreasing crystallite size while simultaneously improving the uniformity of pore size and volume. The thin Al(2)O(3) layer was located mostly on the mesopore surface, as confirmed by X-ray photoelectron spectroscopy (XPS). The Al(2)O(3) coating on the mesoporous TiO(2) film contributes to the essential energy barrier which blocks the charge recombination process in dye-sensitized solar cells. Mesoporous Al(2)O(3)/TiO(2) (1 mol % Al(2)O(3)) exhibited enhanced power conversion efficiency (V(oc) = 0.74 V, J(sc) = 15.31 mA/cm(2), fill factor = 57%, efficiency = 6.50%) compared to pure mesoporous TiO(2) (V(oc) = 0.72 V, J(sc) = 16.03 mA/cm(2), fill factor = 51%, efficiency = 5.88%). Therefore, the power conversion efficiency was improved by approximately 10.5%. In particular, the increase in V(oc) and fill factor resulted from the inhibition of charge recombination and the improvement of pore structure.

  20. Reaction of silanes in supercritical CO2 with TiO2 and Al2O3.

    PubMed

    Gu, Wei; Tripp, Carl P

    2006-06-20

    Infrared spectroscopy was used to investigate the reaction of silanes with TiO2 and Al2O3 using supercritical CO2 (Sc-CO2) as a solvent. It was found that contact of Sc-CO2 with TiO2 leads to partial removal of the water layer and to the formation of carbonate, bicarbonate, and carboxylate species on the surface. Although these carbonate species are weakly bound to the TiO2 surface and can be removed by a N2 purge, they poison the surface, resulting in a lower level of reaction of silanes with TiO2. Specifically, the amount of hexamethyldisilazane adsorbed on TiO2 is about 10% of the value obtained when the reaction is performed from the gas phase. This is not unique to TiO2, as the formation of carbonate species also occurs upon contact of Al2O3 with Sc-CO2 and this leads to a lower level of reaction with hexamethyldisilazane. This is in contrast to reactions of silanes on SiO2 where Sc-CO2 has several advantages over conventional gaseous or nonaqueous methods. As a result, caution needs to be applied when using Sc-CO2 as a solvent for silanization reactions on oxides other than SiO2.

  1. Li.sub.2 O-Al.sub.2 O.sub.3 -SiO.sub.2 glass ceramic-aluminum containing austenitic stainless steel composite body and a method of producing the same

    DOEpatents

    Cassidy, Roger T.

    1990-05-01

    The present invention relates to a hermetically sealed Li.sub.2 O-Al.sub.2 O.sub.3 -SiO.sub.2 glass ceramic-aluminum containing stainless steel composite body and a method of producing the body. The composite body includes an oxide interfacial region between the glass ceramic and metal, wherein the interfacial region consists essentially of an Al.sub.2 O.sub.3 layer. The interfacial Al.sub.2 O.sub.3 region includes constituents of both the metal and glass ceramic.

  2. MCrAlY bond coat with enhanced Yttrium layer

    DOEpatents

    Jablonski, Paul D; Hawk, Jeffrey A

    2015-04-21

    One or more embodiments relates to an MCrAlY bond coat comprising an MCrAlY layer in contact with a Y--Al.sub.2O.sub.3 layer. The MCrAlY layer is comprised of a .gamma.-M solid solution, a .beta.-MAl intermetallic phase, and Y-type intermetallics. The Y--Al.sub.2O.sub.3 layer is comprised of Yttrium atoms coordinated with oxygen atoms comprising the Al.sub.2O.sub.3 lattice. Both the MCrAlY layer and the Y--Al.sub.2O.sub.3 layer have a substantial absence of Y--Al oxides, providing advantage in the maintainability of the Yttrium reservoir within the MCrAlY bulk. The MCrAlY bond coat may be fabricated through application of a Y.sub.2O.sub.3 paste to an MCrAlY material, followed by heating in a non-oxidizing environment.

  3. Characterization of ZrO2 and (ZrO2)x(Al2O3)1-X thin films on Si substrates: effect of the Al2O3 component

    NASA Astrophysics Data System (ADS)

    Vitanov, P.; Harizanova, A.; Ivanova, T.

    2014-05-01

    ZrO2 and (ZrO2)x(Al2O3)1-x films were deposited by the sol-gel technique on Si substrates. The effect of the Al2O3 additive on the film surface morphology was studied by atomic force microscopy (AFM). The mixed oxide films showed a smoother morphology and lower values of the root-mean-square (RMS) roughness compared to ZrO2. Further, FTIR spectra indicated that ZrO2 underwent crystallization. The electrical measurements of the MIS structure revealed that the presence of Al2O3 and the amorphization affects its dielectric properties. The MIS structure with (ZrO2)x(Al2O3)1-x showed a lower fixed charge (~ 6×1010 cm-2) and an interface state density in the middle of the band gap of 6×1011 eV-1 cm-2). The dielectric constant measured was 22, with the leakage current density decreasing to 2×10-8 A cm-2 at 1×106 V cm-1.

  4. Application of the method of images on electrostatic phenomena in aqueous Al2O3 and ZrO2 suspensions.

    PubMed

    Cordelair, Jens; Greil, Peter

    2003-09-15

    A new solution for the Poisson equation for the diffuse part of the double layer around spherical particles will be presented. The numerical results are compared with the solution of the well-known DLVO theory. The range of the diffuse layer differs considerably in the two theories. Also, the inconsistent representation of the surface and diffuse layer charge in the DLVO theory do not occur in the new theory. Experimental zeta potential measurements were used to determine the charge of colloidal Al2O3 and ZrO2 particles. It is shown that the calculated charge can be interpreted as a superposition of independent H+ and OH- adsorption isotherms. The corresponding Langmuir adsorption isotherms are taken to model the zeta potential dependence on pH. In the vicinity of the isoelectric point the model fits well with the experimental data, but at higher ion concentrations considerable deviations occur. The deviations are discussed. Furthermore, the numerical results for the run of the potential in the diffuse part of the double layer were used to determine the electrostatic interaction potential between the particles in correlation with the zeta potential measurements. The corresponding total interaction potentials, including the van der Waals attraction, were taken to calculate the coagulation half-life for a suspension with a particle loading of 2 vol%. It is shown that stability against coagulation is maintained for Al2O3 particles in the pH region between 3.3 and 7 and for ZrO2 only around pH 5. Stability against flocculation can be achieved in the pH regime between 4.5 and 7 for Al2O3, while the examined ZrO2 particles are not stable against flocculation in aqueous suspensions.

  5. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  7. Effects of Al2O3 and CaO/SiO2 Ratio on Phase Equilbria in the ZnO-"FeO"-Al2O3-CaO-SiO2 System in Equilibrium with Metallic Iron

    NASA Astrophysics Data System (ADS)

    Zhao, Baojun; Hayes, Peter C.; Jak, Evgueni

    2011-02-01

    The phase equilibria and liquidus temperatures in the ZnO-"FeO"-Al2O3-CaO-SiO2 system in equilibrium with metallic iron have been determined experimentally in the temperature range 1383 K to 1573 K (1150 °C to 1300 °C). The experimental conditions were selected to characterize lead blast furnace and imperial smelting furnace slags. The results are presented in a form of pseudoternary sections ZnO-"FeO"-(Al2O3 + CaO + SiO2) with fixed CaO/SiO2 and (CaO + SiO2)/Al2O3 ratios. It was found that wustite and spinel are the major primary phases in the composition range investigated. Effects of Al2O3 concentration as well as the CaO/SiO2 ratio on the primary phase field, the liquidus temperature, and the partitioning of ZnO between liquid and solid phases have been discussed for zinc-containing slags.

  8. Identifying the Distribution of Al 3+ in LiNi 0.8 Co 0.15 Al 0.05 O 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trease, Nicole M.; Seymour, Ieuan D.; Radin, Maxwell D.

    2016-11-22

    The doping of Al into layered Li transition metal (TM) oxide cathode materials, LiTMO 2, is known to improve the structural and thermal stability, although the origin of the enhanced properties is not well understood. The effect of aluminum doping on layer stabilization has been investigated using a combination of techniques to measure the aluminum distribution in layered LiNi 0.8Co 0.15Al 0.05O 2 (NCA) over multiple length scales with 27Al and 7Li MAS NMR, local electrode atom probe (APT) tomography, X-ray and neutron diffraction, DFT, and SQUID magnetic susceptibility measurements. APT ion maps show a homogenous distribution of Ni, Co,more » Al and O 2 throughout the structure at the single particle level in agreement with the high-temperature phase diagram. 7Li and 27Al NMR indicates that the Ni 3+ ions undergo a dynamic Jahn-Teller (JT) distortion. 27Al NMR spectra indicate that the Al reduces the strain associated with the JT distortion, by preferential electronic ordering of the JT long bonds directed toward the Al 3+ ion. The ability to understand the complex atomic and orbital ordering around Al 3+ demonstrated in the current method will be useful for studying the local environment of Al 3+ in a range of transition metal oxide battery materials.« less

  9. Identifying the Distribution of Al 3+ in LiNi 0.8 Co 0.15 Al 0.05 O 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trease, Nicole M.; Seymour, Ieuan D.; Radin, Maxwell D.

    2016-10-07

    The doping of Al into layered Li transition metal (TM) oxide cathode materials, LiTMO 2, is known to improve the structural and thermal stability, although the origin of the enhanced properties is not well understood. We have investigated the effect of aluminum doping on layer stabilization using a combination of techniques to measure the aluminum distribution in layered LiNi 0.8Co 0.15Al 0.05O 2 (NCA) over multiple length scales with 27Al and 7Li MAS NMR, local electron atom probe (LEAP) tomography, X-ray and neutron diffraction, DFT, and SQUID magnetic susceptibility measurements. LEAP tomographic maps show a homogenous distribution of Ni, Co,more » Al and O 2 throughout the structure at the particle level in agreement with the hightemperature phase diagram. 7Li and 27Al NMR indicates that the Ni 3+ ions undergo a dynamic Jahn-Teller (JT) distortion. 27Al NMR spectra indicate that the Al reduces the strain associated with the JT distortion, by preferential electronic ordering of the JT long bonds directed toward the Al 3+ ion. Our ability to understand the complex atomic and orbital ordering around Al 3+ demonstrated in the current method will be useful for studying the local environment of Al 3+ in a range of transition metal oxide battery materials.« less

  10. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    NASA Astrophysics Data System (ADS)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  11. Modulated two-dimensional charge-carrier density in LaTiO3-layer-doped LaAlO3/SrTiO3 heterostructure.

    PubMed

    Nazir, Safdar; Bernal, Camille; Yang, Kesong

    2015-03-11

    The highly mobile two-dimensional electron gas (2DEG) formed at the polar/nonpolar LaAlO3/SrTiO3 (LAO/STO) heterostructure (HS) is a matter of great interest because of its potential applications in nanoscale solid-state devices. To realize practical implementation of the 2DEG in device design, desired physical properties such as tuned charge carrier density and mobility are necessary. In this regard, polar perovskite-based transition metal oxides can act as doping layers at the interface and are expected to tune the electronic properties of 2DEG of STO-based HS systems dramatically. Herein, we investigated the doping effects of LaTiO3(LTO) layers on the electronic properties of 2DEG at n-type (LaO)(+1)/(TiO2)(0) interface in the LAO/STO HS using spin-polarized density functional theory calculations. Our results indicate an enhancement of orbital occupation near the Fermi energy, which increases with respect to the number of LTO unit cells, resulting in a higher charge carrier density of 2DEG than that of undoped system. The enhanced charge carrier density is attributed to an extra electron introduced by the Ti 3d(1) orbitals from the LTO dopant unit cells. This conclusion is consistent with the recent experimental findings (Appl. Phys. Lett. 2013, 102, 091601). Detailed charge density and partial density of states analysis suggests that the 2DEG in the LTO-doped HS systems primarily comes from partially occupied dyz and dxz orbitals.

  12. The Effects of High Al2O3 on the Metallurgical Properties of Sinter

    NASA Astrophysics Data System (ADS)

    Yu, Wen-tao; Zuo, Hai-bin; Zhang, Jian-liang; Zhang, Tao

    Sintering-pot tests and metallurgical performances of sinter with 4 kind of different Al2O3 contents are experimented in this paper. Results show: when the Al2O3 contents increase from 2.0% to 3.5%, acicular calcium ferrites in mine phase will be gradually replaced by plate-like iron calcium. The increase of Al2O3 contents will lead to the addition of liquid viscosity and the reduction of permeability of sinter bed. Sintering time will be prolonged. The rate of yield is stable basically but production is low; besides, the increase of liquid viscosity will decrease of drum strength. The change of permeability of the material layer will make RDI+3.15 decrease first and then increase when Al2O3 contents changed from 2.0% to 3.5%. RI of sinter shows a contrary trend because many open voids are formed by deterioration of liquidity first and then pores closed.

  13. Capacitance-voltage characteristics of sub-nanometric Al2O3 / TiO2 laminates: dielectric and interface charge densities.

    PubMed

    Kahouli, Abdelkader; Elbahri, Marwa Ben; Lebedev, Oleg; Lüders, Ulrike

    2017-07-12

    Advanced amorphous sub-nanometric laminates based on TiO 2 and Al 2 O 3 were deposited by atomic layer deposition at low temperature. Low densities of 'slow' and 'fast' interface states are achieved with values of 3.96 · 10 10 cm -2 and 4.85 · 10 -9 eV -1 cm -2 , respectively, by using a 40 nm laminate constituted of 0.7 nm TiO 2 and 0.8 nm Al 2 O 3 . The sub-nanometric laminate shows a low hysteresis width of 20 mV due to the low oxide charge density of about 3.72 · 10 11 cm -2 . Interestingly, such properties are required for stable and reliable performance of MOS capacitors and transistor operation. Thus, decreasing the individual layer thickness to the sub-nanometric range and combining two dielectric materials with oppositely charged defects may play a major role in the electrical response, highly promising for the application in future micro and nano-electronics applications.

  14. Postperovskite phase equilibria in the MgSiO3Al2O3 system

    PubMed Central

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-01-01

    We investigate high-P,T phase equilibria of the MgSiO3Al2O3 system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh2O3(II) phase, present calculations demonstrate that (i) dissolving Al2O3 tends to decrease the postperovskite transition pressure of MgSiO3 but the effect is not significant (≈-0.2 GPa/mol% Al2O3); (ii) Al2O3 produces the narrow perovskite+postperovskite coexisting P,T area (≈1 GPa) for the pyrolitic concentration (xAl2O3 ≈6 mol%), which is sufficiently responsible to the deep-mantle D″ seismic discontinuity; (iii) the transition would be smeared (≈4 GPa) for the basaltic Al-rich composition (xAl2O3 ≈20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh2O3(II) with increasing the Al concentration involving small displacements of the Mg-site cations. PMID:19036928

  15. Chemical reactions and morphological stability at the Cu/Al2O3 interface.

    PubMed

    Scheu, C; Klein, S; Tomsia, A P; Rühle, M

    2002-10-01

    The microstructures of diffusion-bonded Cu/(0001)Al2O3 bicrystals annealed at 1000 degrees C at oxygen partial pressures of 0.02 or 32 Pa have been studied with various microscopy techniques ranging from optical microscopy to high-resolution transmission electron microscopy. The studies revealed that for both oxygen partial pressures a 20-35 nm thick interfacial CuAlO2 layer formed, which crystallises in the rhombohedral structure. However, the CuAlO2 layer is not continuous, but interrupted by many pores. In the samples annealed in the higher oxygen partial pressure an additional reaction phase with a needle-like structure was observed. The needles are several millimetres long, approximately 10 microm wide and approximately 1 microm thick. They consist of CuAlO2 with alternating rhombohedral and hexagonal structures. Solid-state contact angle measurements were performed to derive values for the work of adhesion. The results show that the adhesion is twice as good for the annealed specimen compared to the as-bonded sample.

  16. Chemical quenching of positronium in Fe 2O 3/Al 2O 3 catalysts

    NASA Astrophysics Data System (ADS)

    Li, C.; Zhang, H. J.; Chen, Z. Q.

    2010-09-01

    Fe 2O 3/Al 2O 3 catalysts were prepared by solid state reaction method using α-Fe 2O 3 and γ-Al 2O 3 nano powders. The microstructure and surface properties of the catalyst were studied using positron lifetime and coincidence Doppler broadening annihilation radiation measurements. The positron lifetime spectrum shows four components. The two long lifetimes τ3 and τ4 are attributed to positronium annihilation in two types of pores distributed inside Al 2O 3 grain and between the grains, respectively. With increasing Fe 2O 3 content from 3 wt% to 40 wt%, the lifetime τ3 keeps nearly unchanged, while the longest lifetime τ4 shows decrease from 96 ns to 64 ns. Its intensity decreases drastically from 24% to less than 8%. The Doppler broadening S parameter shows also a continuous decrease. Further analysis of the Doppler broadening spectra reveals a decrease in the p-Ps intensity with increasing Fe 2O 3 content, which rules out the possibility of spin-conversion of positronium. Therefore the decrease of τ4 is most probably due to the chemical quenching reaction of positronium with Fe ions on the surface of the large pores.

  17. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  18. Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} nanocomposite: Structure, mechanical property and bioactivity studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalita, Samar Jyoti, E-mail: Samar.Kalita@und.nodak.edu; Somani, Vikas

    2010-12-15

    Novel biomaterials are of prime importance in tissue engineering. Here, we developed novel nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite as a biomaterial for bone repair. Initially, nanocrystalline Al{sub 2}O{sub 3}-TiO{sub 2} composite powder was synthesized by a sol-gel process. The powder was cold compacted and sintered at 1300-1500 {sup o}C to develop nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite. Nano features were retained in the sintered structures while the grains showed irregular morphology. The grain-growth and microcracking were prominent at higher sintering temperatures. X-ray diffraction peak intensity of {beta}-Al{sub 2}TiO{sub 5} increased with increasing temperature. {beta}-Al{sub 2}TiO{submore » 5} content increased from 91.67% at 1300 {sup o}C to 98.83% at 1500 {sup o}C, according to Rietveld refinement. The density of {beta}-Al{sub 2}TiO{sub 5} sintered at 1300 {sup o}C, 1400 {sup o}C and 1500 {sup o}C were computed to be 3.668 g cm{sup -3}, 3.685 g cm{sup -3} and 3.664 g cm{sup -3}, respectively. Nanocrystalline grains enhanced the flexural strength. The highest flexural strength of 43.2 MPa was achieved. Bioactivity and biomechanical properties were assessed in simulated body fluid. Electron microscopy confirmed the formation of apatite crystals on the surface of the nanocomposite. Spectroscopic analysis established the presence of Ca and P ions in the crystals. Results throw light on biocompatibility and bioactivity of {beta}-Al{sub 2}TiO{sub 5} phase, which has not been reported previously.« less

  19. Photoelectron spectroscopy and density functional theory study of TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3) clusters.

    PubMed

    Zhang, Zeng-Guang; Xu, Hong-Guang; Zhao, Yuchao; Zheng, Weijun

    2010-10-21

    Small titanium-aluminum oxide clusters, TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3), were studied by using anion photoelectron spectroscopy. The adiabatic detachment energies of TiAlO(y) (-) (y=1-3) were estimated to be 1.11±0.05, 1.70±0.08, and 2.47±0.08eV based on their photoelectron spectra; those of TiAl(2)O(2) (-) and TiAl(2)O(3) (-) were estimated to be 1.17±0.08 and 2.2±0.1eV, respectively. The structures of these clusters were determined by comparison of density functional calculations with the experimental results. The structure of TiAlO(-) is nearly linear with the O atom in the middle. That of TiAlO(2) (-) is a kite-shaped structure. TiAlO(3) (-) has a kite-shaped TiAlO(2) unit with the third O atom attaching to the Ti atom. TiAl(2)O(2) (-) has two nearly degenerate Al-O-Ti-O-Al chain structures that can be considered as cis and trans forms. TiAl(2)O(3) (-) has two low-lying isomers, kite structure and book structure. The structures of these clusters indicate that the Ti atom tends to bind to more O atoms.

  20. Formation of qualified BaHfO3 doped Y0.5Gd0.5Ba2Cu3O7-δ film on CeO2 buffered IBAD-MgO tape by self-seeding pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Liu, Linfei; Wang, Wei; Yao, Yanjie; Wu, Xiang; Lu, Saidan; Li, Yijie

    2018-05-01

    Improvement in the in-filed transport properties of REBa2Cu3O7-δ (RE = rare earth elements, REBCO) coated conductor is needed to meet the performance requirements for various practical applications, which can be accomplished by introducing artificial pinning centers (APCs), such as second phase dopant. However, with increasing dopant level the critical current density Jc at 77 K in zero applied magnetic field decreases. In this paper, in order to improve Jc we propose a seed layer technique. 5 mol% BaHfO3 (BHO) doped Y0.5Gd0.5Ba2Cu3O7-δ (YGBCO) epilayer with an inserted seed layer was grown on CeO2 buffered ion beam assisted deposition MgO (IBAD-MgO) tape by pulsed laser deposition. The effect of the conditions employed to prepare the seed layer, including tape moving speed and chemical composition, on the quality of 5 mol% BHO doped YGBCO epilayer was systematically investigated by X-ray diffraction (XRD) measurements and scanning electron microscopy (SEM) observations. It was found that all the samples with seed layer have higher Jc (77 K, self-field) than the 5 mol% BHO doped YGBCO film without seed layer. The seed layer could inhibit deterioration of the Jc at 77 K and self-filed. Especially, the self-seed layer (5 mol% BHO doped YGBCO seed layer) was more effective in improving the crystal quality, surface morphology and superconducting performance. At 4.2 K, the 5 mol% BHO doped YGBCO film with 4 nm thick self-seed layer had a very high flux pinning force density Fp of 860 GN/m3 for B//c under a 9 T field, and more importantly, the peak of the Fp curve was not observed.

  1. Internal residual stress studies and enhanced dielectric properties in La0.7Sr0.3CoO3 buffered (Ba,Sr)TiO3 thin films

    NASA Astrophysics Data System (ADS)

    Lu, Shengbo; Xu, Zhengkui

    2009-09-01

    Ba0.6Sr0.4TiO3 (BST) thin films were deposited on La0.7Sr0.3CoO3 (LSCO) buffered and unbuffered Pt (111)/Ti/SiO2/Si substrates by pulsed laser deposition. The former exhibits a (100) preferred orientation and the latter a random orientation, respectively. Grazing incident x-ray diffraction study revealed that the tensile residual stress observed in the latter is markedly reduced in the former. As a result, the dielectric property of the LSCO buffered BST thin film is greatly improved, which shows a larger dielectric constant and tunability, smaller loss tangent, and lower leakage current than those of the unbuffered BST thin film. The relaxation of the larger tensile residual stress is attributed to the larger grain size in the buffered BST thin film and to a closer match of thermal expansion coefficient between the BST and the LSCO buffer layer.

  2. Al2O3/ZrO2/Y3Al5O12 Composites: A High-Temperature Mechanical Characterization

    PubMed Central

    Palmero, Paola; Pulci, Giovanni; Marra, Francesco; Valente, Teodoro; Montanaro, Laura

    2015-01-01

    An Al2O3/5 vol%·ZrO2/5 vol%·Y3Al5O12 (YAG) tri-phase composite was manufactured by surface modification of an alumina powder with inorganic precursors of the second phases. The bulk materials were produced by die-pressing and pressureless sintering at 1500 °C, obtaining fully dense, homogenous samples, with ultra-fine ZrO2 and YAG grains dispersed in a sub-micronic alumina matrix. The high temperature mechanical properties were investigated by four-point bending tests up to 1500 °C, and the grain size stability was assessed by observing the microstructural evolution of the samples heat treated up to 1700 °C. Dynamic indentation measures were performed on as-sintered and heat-treated Al2O3/ZrO2/YAG samples in order to evaluate the micro-hardness and elastic modulus as a function of re-heating temperature. The high temperature bending tests highlighted a transition from brittle to plastic behavior comprised between 1350 and 1400 °C and a considerable flexural strength reduction at temperatures higher than 1400 °C; moreover, the microstructural investigations carried out on the re-heated samples showed a very limited grain growth up to 1650 °C. PMID:28787961

  3. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  4. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE PAGES

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; ...

    2018-05-14

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  5. Porcelain monolayers and porcelain/alumina bilayers reinforced by Al2O3/GdAlO3 fibers.

    PubMed

    Sgura, Ricardo; Medeiros, Igor Studart; Cesar, Paulo Francisco; Campos, Adeliani Almeida; Hernandes, Antonio Carlos

    2012-01-01

    This work tested the effect of the addition of Al(2)O(3)/GdAlO(3) longitudinal fibers in different contents to veneering porcelain of two dental all ceramic systems. Fibers (0.5 mm diameter) obtained by the Laser Heated Pedestal Growth (LHPG) method were added to bar-shaped specimens made by veneer porcelain (monolayers) or both the veneer and the core ceramic (bilayers) of two all-ceramic systems: In-Ceram Alumina-glass infiltrated alumina composite (GIA) and In-Ceram 2000 AL Cubes-alumina polycrystal (AP) (VITA Zahnfabrik). The longitudinal fibers were added to veneering porcelain (VM7) in two different proportions: 10 or 17 vol%. The bars were divided into nine experimental conditions (n=10) according to material used: VM7 porcelain monolayers, VM7/GIA, VM7/AP; and according to the amount of fibers within the porcelain layer: no fibers, 10 vol% or 17 vol%. After grinding and polishing the specimens were submitted to a three point bending test (crosshead speed = 0.5 mm/min) with porcelain positioned at tensile side. Data were analyzed by means of one-way ANOVA and a Tukey's test (α=5%). Scanning electronic microscopy (SEM) was conducted for fractographic analysis. Regarding the groups without fiber addition, VM7/AP showed the highest flexural strength (MPa), followed by VM7/GIA and VM7 monolayers. The addition of fibers led to a numerical increase in flexural strength for all groups. For VM7/GIA bilayers the addition of 17 vol% of fibers resulted in a significant 48% increase in the flexural strength compared to the control group. Fractographic analysis revealed that the crack initiation site was in porcelain at the tensile surface. Cracks also propagated between fibers before heading for the alumina core. The addition of 17 vol% of Al(2)O(3)/GdAlO(3) longitudinal fibers to porcelain/glass infiltrated alumina bilayers significantly improved its flexural strength. 10 vol% or 17 vol% of fibers inclusion increased the flexural strength for all groups. Copyright

  6. Effect of the addition of Al2O3 nanoparticles on the magnetic properties of Fe soft magnetic composites

    NASA Astrophysics Data System (ADS)

    Peng, Yuandong; Nie, Junwu; Zhang, Wenjun; Ma, Jian; Bao, Chongxi; Cao, Yang

    2016-02-01

    We investigated the effect of the addition of Al2O3 nanoparticles on the permeability and core loss of Fe soft magnetic composites coated with silicone. Fourier transform infra-red spectroscopy, scanning electron microscopy and energy-dispersive X-ray spectroscopy analysis revealed that the surface layer of the powder particles consisted of a thin insulating Al2O3 layer with uniform surface coverage. The permeability and core loss of the composite with the Al2O3 addition annealed at 650 °C were excellent. The results indicated that the Al2O3 nanoparticle addition increases the permeability stablility with changing frequency and decreases the core loss over a wide range of frequencies.

  7. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  8. Hydrodeoxygenation of p -Cresol over Pt/Al 2 O 3 Catalyst Promoted by ZrO 2 , CeO 2 , and CeO 2 –ZrO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weiyan; Wu, Kui; Liu, Pengli

    2016-07-20

    ZrO 2-Al 2O 3 and CeO 2-Al 2O 3 were prepared by a co-precipitation method and selected as supports for Pt catalysts. The effects of CeO 2 and ZrO 2 on the surface area and Brønsted acidity of Pt/Al 2O 3 were studied. In the hydrodeoxygenation (HDO) of p-cresol, the addition of ZrO 2 promoted the direct deoxygenation activity on Pt/ZrOO 2-Al 2O 3 via Caromatic-O bond scission without benzene ring saturation. Pt/CeOO 2-Al 2O 3 exhibited higher deoxygenation extent than Pt/Al 2O 3 due to the fact that Brønsted acid sites on the catalyst surface favored the adsorption ofmore » p-cresol. With the advantages of CeO 2 and ZrO 2 taken into consideration, CeO 2-ZrOO 2-Al 2O 3 was prepared, leading to the highest HDO activity of Pt/CeO 2-ZrOO 2-Al 2O 3. The deoxygenation extent for Pt/CeO 2-ZrOO 2-Al 2O 3 was 48.4% and 14.5% higher than that for Pt/ZrO2O 2-Al 2O 3 and Pt/CeOO 2-Al 2O 3, respectively.« less

  9. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  10. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    PubMed

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  11. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures

    PubMed Central

    2013-01-01

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C. PMID:23452508

  12. Analysis of SAW properties in ZnO/AlxGa1-xN/c-Al2O3 structures.

    PubMed

    Chen, Ying; Emanetoglu, Nuri William; Saraf, Gaurav; Wu, Pan; Lu, Yicheng; Parekh, Aniruddh; Merai, Vinod; Udovich, Eric; Lu, Dong; Lee, Dong S; Armour, Eric A; Pophristic, Milan

    2005-07-01

    Piezoelectric thin films on high acoustic velocity nonpiezoelectric substrates, such as ZnO, AlN, or GaN deposited on diamond or sapphire substrates, are attractive for high frequency and low-loss surface acoustic wave devices. In this work, ZnO films are deposited on AlxGa1-xN/c-Al2O3 (0 < or = chi < or = 1) substrates using the radio frequency (RF) sputtering technique. In comparison with a single AlxGa1-xN layer deposited on c-Al2O3 with the same total film thickness, a ZnO/AlxGa1-xN/c-Al2O3 multilayer structure provides several advantages, including higher order wave modes with higher velocity and larger electromechanical coupling coefficient (K2). The surface acoustic wave (SAW) velocities and coupling coefficients of the ZnO/AlxGa1-xN/c-Al2O3 structure are tailored as a function of the Al mole percentage in AlxGa1-xN films, and as a function of the ZnO (h1) to AlxGa1-xN (h2) thickness ratio. It is found that a wide thickness-frequency product (hf) region in which coupling is close to its maximum value, K(2)max, can be obtained. The K(2)max of the second order wave mode (h1 = h2) is estimated to be 4.3% for ZnO/GaN/c-Al2O3, and 3.8% for ZnO/AlN/c-Al2O3. The bandwidth of second and third order wave modes, in which the coupling coefficient is within +/- 0.3% of K(2)max, is calculated to be 820 hf for ZnO/GaN/c-Al2O3, and 3620 hf for ZnO/AlN/c-Al2O3. Thus, the hf region in which the coupling coefficient is close to the maximum value broadens with increasing Al content, while K(2)max decreases slightly. When the thickness ratio of AlN to ZnO increases, the K(2)max and hf bandwidth of the second and third higher wave modes increases. The SAW test devices are fabricated and tested. The theoretical and experimental results of velocity dispersion in the ZnO/AlxGa1-xN/c-Al2O3 structures are found to be well matched.

  13. Luminescence and energy transfer of Tb3+-doped BaO-Gd2O3-Al2O3-B2O3-SiO2 glasses.

    PubMed

    Zuo, Chenggang; Huang, Jinze; Liu, Shaoyou; Xiao, Anguo; Shen, Youming; Zhang, Xiangyang; Zhou, Zhihua; Zhu, Ligang

    2017-12-05

    Transparent Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses with the greater than 4g/cm 3 were prepared by high temperature melting method and its luminescent properties have been investigated by measured UV-vis transmission, excitation, emission and luminescence decay spectra. The transmission spectrum shows there are three weak absorption bands locate at about 312, 378 and 484nm in the glasses and it has good transmittance in the visible spectrum region. Intense green emission can be observed under UV excitation. The effective energy transfer from Gd 3+ ion to Tb 3+ ion could occur and sensitize the luminescence of Tb 3+ ion. The green emission intensity of Tb 3+ ion could change with the increasing SiO 2 /B 2 O 3 ratio in the borosilicate glass matrix. With the increasing concentration of Tb 3+ ion, 5 D 4 → 7 F J transitions could be enhanced through the cross relaxation between the two nearby Tb 3+ ions. Luminescence decay time of 2.12ms from 546nm emission is obtained. The results indicate that Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses would be potential scintillating material for applications in X-ray imaging. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Temperature-dependent OSL properties of nano-phosphors LiAlO2:C and α-Al2O3:C

    NASA Astrophysics Data System (ADS)

    Agarwal, Mini; Garg, Sandeep K.; Asokan, K.; Kumar, Pratik

    2018-06-01

    The present study focuses on the synthesis and characterization of carbon doped nano-phosphors, LiAlO2 and α-Al2O3 and their temperature-dependent optically stimulated luminescence (TA-OSL) characteristics in the temperature ranges of 25-350 °C. These nano-phosphors with the carbon concentration of 0.01 mol% exhibits high luminescent intensity for LiAlO2:C in the low dose range of 1 mGy-7 Gy and for α-Al2O3:C in the range of 100 mGy-1 kGy. Both these nano-phosphors are of polycrystalline in nature, having grain size 15-50 nm as confirmed by the X-ray diffraction (XRD) and Transmission Electron Microscopy (TEM), respectively. The maximum TA-OSL intensities are observed at 125 °C for LiAlO2:C and 200 °C for Al2O3:C, and reveal the presence of deep defect centres. The Arrhenius analysis shows the activation energies Ea = 0.06 ± 0.02 eV for LiAlO2:C and Ea = 0.04 ± 0.01 eV, & Eb = 0.48 ± 0.07 eV for Al2O3:C. The TA-OSL and OSL characteristics are discussed with special reference to the medical and high radiation dosimetry. These compounds, LiAlO2:C and α-Al2O3:C, are non-toxic, robust and are potential candidates for reusable dosimetry.

  15. Evolution of the interfacial phases in Al2O3-Kovar® joints brazed using a Ag-Cu-Ti-based alloy

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2017-04-01

    A systematic investigation of the brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using the active braze alloy (ABA) Ag-35.25Cu-1.75Ti wt.% has been undertaken to study the chemical reactions at the interfaces of the joints. The extent to which silica-based secondary phases in the Al2O3 participate in the reactions at the ABA/Al2O3 interface has been clarified. Another aspect of this work has been to determine the influence of various brazing parameters, such as the peak temperature, Tp, and time at Tp, τ, on the resultant microstructure. As a consequence, the microstructural evolution of the joints as a function of Tp and τ is discussed in some detail. The formation of a Fe2Ti layer on the Kovar® and its growth, along with adjacent Ni3Ti particles in the ABA, dominate the microstructural developments at the ABA/Kovar® interface. The presence of Kovar® next to the ABA does not change the intrinsic chemical reactions occurring at the ABA/Al2O3 interface. However, the extent of these reactions is limited if the purity of the Al2O3 is high, and so it is necessary to have some silica-rich secondary phase in the Al2O3 to facilitate the formation of a Ti3Cu3O layer on the Al2O3. Breakdown of the Ti3Cu3O layer, together with fracture of the Fe2Ti layer and separation of this layer from the Kovar®, has been avoided by brazing at temperatures close to the liquidus temperature of the ABA for short periods of time, e.g., for Tp between 820 and 830 °C and τ between 2 and 8 min.

  16. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  17. Statistical evaluation of potential damage to the Al(OH)3 layer on nTiO2 particles in the presence of swimming pool and seawater

    NASA Astrophysics Data System (ADS)

    Virkutyte, Jurate; Al-Abed, Souhail R.

    2012-03-01

    Nanosized TiO2 particles (nTiO2) are usually coated with an Al(OH)3 layer when used in sunscreen to shield against the harmful effects of free radicals that are generated when these particles are exposed to UV radiation. Therefore, it is vital to insure the structural stability of these particles in the environment where the protective layer may be damaged and adverse health and environmental effects can occur. This study utilized X-ray analysis (SEM-EDS) to provide a qualitative and semi-quantitative assessment of the chemical and physical characteristics of Al(OH)3-coated original and damaged nTiO2 particles (used in sunscreen lotion formulations) in the presence of both swimming pool and seawater. Also, by utilizing statistical tools, a distribution of Al/Ti (%) on the particle surface was determined and evaluated. It was found that 45 min of treatment with swimming pool and seawater significantly induced the redistribution of Al/Ti (%), which changed the surface characteristics of particles and, therefore, may have induced undesired photo-activity and the consequent formation of free radicals.

  18. Electric-field control of magnetic properties for α-Fe2O3/Al2O3 films

    NASA Astrophysics Data System (ADS)

    Cheng, Bin; Qin, Hongwei; Liu, Liang; Xie, Jihao; Zhou, Guangjun; Chen, Lubin; Hu, Jifan

    2018-06-01

    α-Fe2O3/Al2O3 films can exhibit weak ferromagnetism at room temperature. The saturation magnetization of the thinner film is larger than that of the thick one deposited at the same temperature of 500 °C, which implies that the weak ferromagnetism at room temperature comes not only from the intrinsic canted magnetic structure, but also from the effects of interface between α-Fe2O3/Al2O3, such as the effect of Al diffusion into α-Fe2O3 film. Perpendicular electric field upon α-Fe2O3/Al2O3 film at room temperature could adjust the magnetic properties (saturation magnetization, magnetic remanence, coercivity and saturation magnetizing field). The positive electric field can enhance the magnetism of α-Fe2O3/Al2O3 thin film, while negative electric field can reduce it. The change induced by electric field may be connected with the migration effects of Al3+ ions. The steps of curve for saturation magnetization versus the electric field may reflect these complicated processes. The magnetization of the film deposited at a higher temperature can be changed by electric field more easily. This study may inspire more in-depth research and lead to an alternative approach to future magneto-electronic devices.

  19. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2 O 3 (0001)

    DOE PAGES

    Petrik, Nikolay G.; Kimmel, Greg A.

    2018-01-01

    100 eV electrons are stopped in the H 2 O portion of the isotopically-layered nanoscale film on α-Al 2 O 3 (0001) but D 2 is produced at the D 2 O/alumina interface by mobile electronic excitations and/or hydronium ions.

  20. Solid-solution Zn(O,S) thin films: Potential alternative buffer layer for Cu2ZnSnS4 solar cells

    NASA Astrophysics Data System (ADS)

    Jani, Margi; Raval, Dhyey; Chavda, Arvind; Mukhopadhyay, Indrajit; Ray, Abhijit

    2018-05-01

    This report investigates the alternative buffer material as Zn(O,S) for chalcogenide Cu2ZnSnS4 (CZTS) solar cell application. Using the band gap tailoring (band bowing) properties of Zn(O,S) system, performance of CZTS solar cell is explore in the present study. Reducing the band offsets with the hetero-junction partners plays a deterministic role in the performance of the device using Zn(O,S) as buffer layer. The experimental performance of the device with the CZTS/Zn(O,S) film developed by Spray pyrolysis method and analyze using J-V characterization in dark and illuminated configuration. Device with the best achievable performance shows Voc of 150 mV and Jsc of 0.47 mA/cm2 has been presented with the possibility of application in the energy harvesting.

  1. Fabrication and characterization of La2Zr2O7 films on different buffer architectures for YBa2Cu3O7-δ coated conductors by RF magnetron sputtering.

    PubMed

    Xu, Da; Liu, Linfei; Xiao, Guina; Li, Yijie

    2013-02-27

    La2Zr2O7 (LZO) films were grown on different buffer architectures by radio frequency magnetron sputtering for the large-scale application of YBa2Cu3O7-x (YBCO)-coated conductors. The three different buffer architectures were cerium oxide (CeO2), yttria-stabilized zirconia (YSZ)/CeO2, and CeO2/YSZ/CeO2. The microstructure and surface morphology of the LZO film were studied by X-ray diffraction, optical microscopy, field emission scanning electron microscopy, and atomic force microscopy. The LZO films prepared on the CeO2, YSZ/CeO2, and CeO2/YSZ/CeO2 buffer architectures were preferentially c-axis-oriented and highly textured. The in-plane texture of LZO film on CeO2 single-buffer architecture was ∆ φ = 5.5° and the out-of-plane texture was ∆ ω = 3.4°. All the LZO films had very smooth surfaces, but LZO films grown on YSZ/CeO2 and CeO2/YSZ/CeO2 buffer architectures had cracks. The highly textured LZO film grown on CeO2-seed buffered NiW tape was suitable for the epitaxial growth of YBCO film with high currents.

  2. Behavior of Al2O3 and SiO2 with heating in a Cl2 + CO stream

    NASA Technical Reports Server (NTRS)

    Shchetinin, L. K.

    1984-01-01

    Differential thermal analysis (DTA) and Thermogravimetric analysis (TGA) were used to study the chlorination of alpha-Al2O3, gamma-Al2O3 and amorphous SiO2 in a Cl + CO stream, for the preparation of AlCl3 and SiCl4. The chlorination starting temperatures were 235 deg for Al2O3 and 680 deg for SiO2. The chlorination of alpha- and gamma-Al2O3 takes place via the formation of AlOCl as an intermediate product, and its subsequent dissociation at 480 to 560 deg, according to 3AlOCl yields AlCl3 + Al2O3. The chlorination activation energies are given for the three oxides.

  3. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  4. Optical properties of epitaxial BiFeO3 thin film grown on SrRuO3-buffered SrTiO3 substrate.

    PubMed

    Xu, Ji-Ping; Zhang, Rong-Jun; Chen, Zhi-Hui; Wang, Zi-Yi; Zhang, Fan; Yu, Xiang; Jiang, An-Quan; Zheng, Yu-Xiang; Wang, Song-You; Chen, Liang-Yao

    2014-01-01

    The BiFeO3 (BFO) thin film was deposited by pulsed-laser deposition on SrRuO3 (SRO)-buffered (111) SrTiO3 (STO) substrate. X-ray diffraction pattern reveals a well-grown epitaxial BFO thin film. Atomic force microscopy study indicates that the BFO film is rather dense with a smooth surface. The ellipsometric spectra of the STO substrate, the SRO buffer layer, and the BFO thin film were measured, respectively, in the photon energy range 1.55 to 5.40 eV. Following the dielectric functions of STO and SRO, the ones of BFO described by the Lorentz model are received by fitting the spectra data to a five-medium optical model consisting of a semi-infinite STO substrate/SRO layer/BFO film/surface roughness/air ambient structure. The thickness and the optical constants of the BFO film are obtained. Then a direct bandgap is calculated at 2.68 eV, which is believed to be influenced by near-bandgap transitions. Compared to BFO films on other substrates, the dependence of the bandgap for the BFO thin film on in-plane compressive strain from epitaxial structure is received. Moreover, the bandgap and the transition revealed by the Lorentz model also provide a ground for the assessment of the bandgap for BFO single crystals.

  5. Buffer layers for REBCO films for use in superconducting devices

    DOEpatents

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  6. Carrier Density at LaAlO3/SrTiO3 Interfaces: Evidence of Electronic Reconstruction.

    NASA Astrophysics Data System (ADS)

    Xi, Xiaoxing

    The origin of the 2D electron gas at the LaAlO3/SrTiO3 interface has been a controversial subject ever since its discovery. A serious inconsistency with the most accepted mechanism, an electronic reconstruction in response to a polar discontinuity at the interface, is that the carrier densities reported experimentally are invariably lower than the expected value except under conditions where reduction of SrTiO3 substrate is suspected. We have grown LaAlO3 films of different stoichiometry on TiO2-terminated SrTiO3 substrates using atomic layer-by-layer laser molecular beam epitaxy (ALL-Laser MBE), in which La2O3 and Al2O3 targets were sequentially ablated in 37 mTorr oxygen. The high oxygen pressure during growth prevents the possible oxygen reduction in SrTiO3, ensures that the LaAlO3 films are sufficiently oxygenated, and suppresses the La-Sr intermixing due to the bombardment effect. X-ray linear dichroism (XLD) and x-ray magnetic circular dichroism (XMCD) measurements show characteristics of oxygenated samples. In the electronic reconstruction picture, instead of the charge transfer of half of an electron in the case of a sufficiently thick stoichiometric LaAlO3, a LaAlO3 film thickness dependence is expected as well as a linear dependence on stoichiometry. Our experimental results on carrier densities in 10 nm-thick LaAl1 +yO3(1 +0.5y) films agree quantitatively with the theoretical expectations, lending a strong support for the electronic reconstruction mechanism. This material is based upon work supported by the U.S. Department of Energy, Office of Science, under Grant No. DE-SC0004764.

  7. Electrochemical and solid-state NMR studies on LiCoO 2 coated with Al 2O 3 derived from carboxylate-alumoxane

    NASA Astrophysics Data System (ADS)

    Fey, George T. K.; Kao, H. M.; Muralidharan, P.; Kumar, T. P.; Cho, Y. D.

    The surface of LiCoO 2 cathodes was coated with various wt.% of Al 2O 3 derived from methoxyethoxy acetate-alumoxane (MEA-alumoxane) by a mechano-thermal coating procedure, followed by calcination at 723 K in air for 10 h. The structure and morphology of the surface modified LiCoO 2 samples have been characterized with XRD, SEM, EDS, TEM, BET, XPS/ESCA and solid-state 27Al magic angle spinning (MAS) NMR techniques. The Al 2O 3 coating forms a thin layer on the surface of the core material with an average thickness of 20 nm. The corresponding 27Al MAS NMR spectrum basically exhibited the same characteristics as the spectrum for pristine Al 2O 3 derived from MEA-alumoxane, indicating that the local environment of aluminum atoms was not significantly changed at coating levels below 1 wt.%. This provides direct evidence that Al 2O 3 was on the surface of the core materials. The LiCoO 2 coated with 1 wt.% Al 2O 3 sustained continuous cycle stability 13 times longer than pristine LiCoO 2. A comparison of the electrochemical impedance behavior of the pristine and coated materials revealed that the failure of pristine cathode performance is associated with an increase in the particle-particle resistance upon continuous cycling. Coating improved the cathode performance by suppressing the characteristic structural phase transitions (hexagonal to monoclinic to hexagonal) that occur in pristine LiCoO 2 during the charge-discharge processes.

  8. Research on c-HfO2 (0 0 1)/α -Al2O3 (1 -1 0 2) interface in CTM devices based on first principle theory

    NASA Astrophysics Data System (ADS)

    Lu, Wenjuan; Dai, Yuehua; Wang, Feifei; Yang, Fei; Ma, Chengzhi; Zhang, Xu; Jiang, Xianwei

    2017-12-01

    With the growing application of high-k dielectrics, the interface between HfO2 and Al2O3 play a crucial role in CTM devices. To clearly understand the interaction of the HfO-AlO interface at the atomic and electronic scale, the bonding feature, electronic properties and charge localized character of c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has been investigated by first principle calculations. The c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has adhesive energy about -1.754 J/m2, suggesting that this interface can exist stably. Through analysis of Bader charge and charge density difference, the intrinsic interfacial gap states are mainly originated from the OII and OIII types oxygen atoms at the interface, and only OIII type oxygen atoms can localized electrons effectively and are provided with good reliability during P/E cycles, which theoretically validate the experimental results that HfO2/Al2O3 multi-layered charge trapping layer can generate more effective traps in memory device. Furthermore, the influence of interfacial gap states during P/E cycles in the defective interface system have also been studied, and the results imply that defective system displays the degradation on the reliability during P/E cycles, while, the charge localized ability of interfacial states is stronger than intrinsic oxygen vacancy in the trapping layer. Besides, these charge localized characters are further explained by the analysis of the density of states correspondingly. In sum, our results compare well with similar experimental observations in other literatures, and the study of the interfacial gap states in this work would facilitate further development of interface passivation.

  9. Nature of weak magnetism in SrTiO3/LaAlO3 multilayers.

    PubMed

    Salman, Z; Ofer, O; Radovic, M; Hao, H; Ben Shalom, M; Chow, K H; Dagan, Y; Hossain, M D; Levy, C D P; Macfarlane, W A; Morris, G M; Patthey, L; Pearson, M R; Saadaoui, H; Schmitt, T; Wang, D; Kiefl, R F

    2012-12-21

    We report the observation of weak magnetism in superlattices of LaAlO(3)/SrTiO(3) using β-detected nuclear magnetic resonance. The spin lattice relaxation rate of ^{8}Li in superlattices with a spacer layers of 8 and 6 unit cells of LaAlO(3) exhibits a strong peak near ~35 K, whereas no such peak is observed in a superlattice with spacer layer thickness of 3 unit cells. We attribute the observed temperature dependence to slowing down of weakly coupled electronic moments at the LaAlO(3)/SrTiO(3) interface. These results show that the magnetism at the interface depends strongly on the thickness of the spacer layer, and that a minimal thickness of ~4-6 unit cells is required for the appearance of magnetism. A simple model is used to determine that the observed relaxation is due to small fluctuating moments (~0.002μ(B)) in the two samples with a larger LaAlO(3) spacer thickness.

  10. Density functional theory study of HfCl4, ZrCl4, and Al(CH3)3 decomposition on hydroxylated SiO2: Initial stage of high-k atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Jeloaica, L.; Estève, A.; Djafari Rouhani, M.; Estève, D.

    2003-07-01

    The initial stage of atomic layer deposition of HfO2, ZrO2, and Al2O3 high-k films, i.e., the decomposition of HfCl4, ZrCl4, and Al(CH3)3 precursor molecules on an OH-terminated SiO2 surface, is investigated within density functional theory. The energy barriers are determined using artificial activation of vibrational normal modes. For all precursors, reaction proceeds through the formation of intermediate complexes that have equivalent formation energies (˜-0.45 eV), and results in HCl and CH4 formation with activation energies of 0.88, 0.91, and 1.04 eV for Hf, Zr, and Al based precursors, respectively. The reaction product of Al(CH3)3 decomposition is found to be more stable (by -1.45 eV) than the chemisorbed intermediate complex compared to the endothermic decomposition of HfCl4 and ZrCl4 chemisorbed precursors (0.26 and 0.29 eV, respectively).

  11. Influence of annealing environment on the ALD-Al2O3/4H-SiC interface studied through XPS

    NASA Astrophysics Data System (ADS)

    Usman, Muhammad; Arshad, Muhammad; Saveda Suvanam, Sethu; Hallén, Anders

    2018-03-01

    The instability of Al2O3/4H-SiC interface at various process temperatures and ambient is investigated by the annealing of Al2O3/4H-SiC in low vacuum conditions as well as in N2 environments. Atomic layer deposited Al2O3 on a 4H-SiC substrate with 3, 6 and 10 nm of thicknesses is treated at 300, 500, 700 and 900 °C under the vacuum level of 10-1 torr. The as-deposited and annealed structures are analyzed using x-ray photoelectron spectroscopy. It is hypothesized that the minute quantity of oxygen present in low vacuum conditions diffuses through thin layers of Al2O3 and helps in forming SiO2 at the interface even at low temperatures (i.e. 300 °C), which plays a pivotal role in determining the electrical properties of the interface. It is also proved that the absence of oxygen in the ambient prevents the formation of SiO2 at low temperatures. Additionally, it is observed that Al-OH is present in as-deposited layers, which gradually reduces after annealing. However, at around 700 °C, the concentration of oxygen in the whole structure increases to maximum and reduces at 900 °C.

  12. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  13. Effect of Layer Thickness in Selective Laser Melting on Microstructure of Al/5 wt.%Fe2O3 Powder Consolidated Parts

    PubMed Central

    Hao, Liang

    2014-01-01

    In situ reaction was activated in the powder mixture of Al/5 wt.%Fe2O3 by using selective laser melting (SLM) to directly fabricate aluminium metal matrix composite parts. The microstructural characteristics of these in situ consolidated parts through SLM were investigated under the influence of thick powder bed, 75 μm layer thickness, and 50 μm layer thickness in various laser powers and scanning speeds. It was found that the layer thickness has a strong influence on microstructural outcome, mainly attributed to its impact on oxygen content of the matrix. Various microstructural features (such as granular, coralline-like, and particulate appearance) were observed depending on the layer thickness, laser power, and scanning speed. This was associated with various material combinations such as pure Al, Al-Fe intermetallics, and Al(-Fe) oxide phases formed after in situ reaction and laser rapid solidification. Uniformly distributed very fine particles could be consolidated in net-shape Al composite parts by using lower layer thickness, higher laser power, and lower scanning speed. The findings contribute to the new development of advanced net-shape manufacture of Al composites by combining SLM and in situ reaction process. PMID:24526879

  14. Step buffer layer of Al0.25Ga0.75N/Al0.08Ga0.92N on P-InAlN gate normally-off high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Shrestha, Niraj M.; Li, Yiming; Chang, E. Y.

    2016-07-01

    Normally-off AlGaN/GaN high electron mobility transistors (HEMTs) are indispensable devices for power electronics as they can greatly simplify circuit designs in a cost-effective way. In this work, the electrical characteristics of p-type InAlN gate normally-off AlGaN/GaN HEMTs with a step buffer layer of Al0.25Ga0.75N/Al0.1Ga0.9N is studied numerically. Our device simulation shows that a p-InAlN gate with a step buffer layer allows the transistor to possess normally-off behavior with high drain current and high breakdown voltage simultaneously. The gate modulation by the p-InAlN gate and the induced holes appearing beneath the gate at the GaN/Al0.25Ga0.75N interface is because a hole appearing in the p-InAlN layer can effectively vary the threshold voltage positively. The estimated threshold voltage of the normally-off HEMTs explored is 2.5 V at a drain bias of 25 V, which is 220% higher than the conventional p-AlGaN normally-off AlGaN/GaN gate injection transistor (GIT). Concurrently, the maximum current density of the explored HEMT at a drain bias of 10 V slightly decreases by about 7% (from 240 to 223 mA mm-1). At a drain bias of 15 V, the current density reached 263 mA mm-1. The explored structure is promising owing to tunable positive threshold voltage and the maintenance of similar current density; notably, its breakdown voltage significantly increases by 36% (from 800 V, GIT, to 1086 V). The engineering findings of this study indicate that novel p-InAlN for both the gate and the step buffer layer can feature a high threshold voltage, large current density and high operating voltage for advanced AlGaN/GaN HEMT devices.

  15. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  16. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE PAGES

    Varley, J. B.; Lordi, V.; He, X.; ...

    2017-07-17

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  17. Evolution of resistive switching mechanism through H2O2 sensing by using TaOx-based material in W/Al2O3/TaOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Somsubhra; Panja, Rajeswar; Roy, Sourav; Roy, Anisha; Samanta, Subhranu; Dutta, Mrinmoy; Ginnaram, Sreekanth; Maikap, Siddheswar; Cheng, Hsin-Ming; Tsai, Ling-Na; Chang, Ya-Ling; Mahapatra, Rajat; Jana, Debanjan; Qiu, Jian-Tai; Yang, Jer-Ren

    2018-03-01

    Understanding of resistive switching mechanism through H2O2 sensing and improvement of switching characteristics by using TaOx-based material in W/Al2O3/TaOx/TiN structure have been reported for the first time. Existence of amorphous Al2O3/TaOx layer in the RRAM devices has been confirmed by transmission electron microscopy. By analyzing the oxidation states of Ta2+/Ta5+ for TaOx switching material and W0/W6+ for WOx layer at the W/TaOx interface through X-ray photoelectron spectroscopy and H2O2 sensing, the reduction-oxidation mechanism under Set/Reset occurs only in the TaOx layer for the W/Al2O3/TaOx/TiN structures. This leads to higher Schottky barrier height at the W/Al2O3 interface (0.54 eV vs. 0.46 eV), higher resistance ratio, and long program/erase endurance of >108 cycles with 100 ns pulse width at a low operation current of 30 μA. Stable retention of more than 104 s at 85 °C is also obtained. Using conduction mechanism and reduction-oxidation reaction, current-voltage characteristic has been simulated. Both TaOx and WOx membranes have high pH sensitivity values of 47.65 mV/pH and 49.25 mV/pH, respectively. Those membranes can also sense H2O2 with a low concentration of 1 nM in an electrolyte-insulator-semiconductor structure because of catalytic activity, while the Al2O3 membrane does not show sensing. The TaOx material in W/Al2O3/TaOx/TiN structure does not show only a path towards high dense, small size memory application with understanding of switching mechanism but also can be used for H2O2 sensors.

  18. Influence of Content of Al2O3 on Structure and Properties of Nanocomposite Nb-B-Al-O films

    NASA Astrophysics Data System (ADS)

    Liu, Na; Dong, Lei; Dong, Lei; Yu, Jiangang; Pan, Yupeng; Wan, Rongxin; Gu, Hanqing; Li, Dejun

    2015-11-01

    Nb-B-Al-O nanocomposite films with different power of Al2O3 were successfully deposited on the Si substrate via multi-target magnetron co-sputtering method. The influences of Al2O3's content on structure and properties of obtained nanocomposite films through controlling Al2O3's power were investigated. Increasing the power of Al2O3 can influence the bombarding energy and cause the momentum transfer of NbB2. This can lead to the decreasing content of Al2O3. Furthermore, the whole films showed monocrystalline NbB2's (100) phase, and Al2O3 shaded from amorphous to weak cubic-crystalline when decreasing content of Al2O3. This structure and content changes were proof by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). When NbB2 grains were far from each other in lower power of Al2O3, the whole films showed a typical nanocomposite microstructure with crystalline NbB2 grains embedded in a matrix of an amorphous Al2O3 phase. Continuing increasing the power of Al2O3, the less content of Al2O3 tended to cause crystalline of cubic-Al2O3 between the close distances of different crystalline NbB2 grains. The appearance of cubic-crystallization Al2O3 can help to raise the nanocomposite films' mechanical properties to some extent. The maximum hardness and elastic modulus were up to 21.60 and 332.78 GPa, which were higher than the NbB2 and amorphous Al2O3 monolithic films. Furthermore, this structure change made the chemistry bond of O atom change from the existence of O-Nb, O-B, and O-Al bonds to single O-Al bond and increased the specific value of Al and O. It also influenced the hardness in higher temperature, which made the hardness variation of different Al2O3 content reduced. These results revealed that it can enhance the films' oxidation resistance properties and keep the mechanical properties at high temperature. The study highlighted the importance of controlling the Al2O3's content to prepare

  19. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  20. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  1. Broadband infrared luminescence from Li2O-Al2O3-ZnO-SiO2 glasses doped with Bi2O3.

    PubMed

    Peng, Mingying; Qiu, Jianrong; Chen, Danping; Meng, Xiangeng; Zhu, Congshan

    2005-09-05

    The broadband emission in the 1.2~1.6mum region from Li2O-Al2O3-ZnO-SiO2 ( LAZS ) glass codoped with 0.01mol.%Cr2O3 and 1.0mol.%Bi2O3 when pumped by the 808nm laser at room temperature is not initiated from Cr4+ ions, but from bismuth, which is remarkably different from the results reported by Batchelor et al. The broad ~1300nm emission from Bi2O3-containing LAZS glasses possesses a FWHM ( Full Width at Half Maximum ) more than 250nm and a fluorescent lifetime longer than 500mus when excited by the 808nm laser. These glasses might have the potential applications in the broadly tunable lasers and the broadband fiber amplifiers.

  2. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  3. Band alignments of different buffer layers (CdS, Zn(O,S), and In{sub 2}S{sub 3}) on Cu{sub 2}ZnSnS{sub 4}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Chang; Liu, Fangyang; Song, Ning

    2014-04-28

    The heterojunctions of different n-type buffers, i.e., CdS, Zn(O,S), and In{sub 2}S{sub 3} on p-type Cu{sub 2}ZnSnS{sub 4} (CZTS) were investigated using X-ray Photoelectron Spectroscopy (XPS) and Near Edge X-ray Absorption Fine Structure (NEXAFS) Measurements. The band alignment of the heterojunctions formed between CZTS and the buffer materials was carefully measured. The XPS data were used to determine the Valence Band Offsets (VBO) of different buffer/CZTS heterojunctions. The Conduction Band Offset (CBO) was calculated indirectly by XPS data and directly measured by NEXAFS characterization. The CBO of the CdS/CZTS heterojunction was found to be cliff-like with CBO{sub XPS} = −0.24 ± 0.10 eV and CBO{submore » NEXAFS} = −0.18 ± 0.10 eV, whereas those of Zn(O,S) and In{sub 2}S{sub 3} were found to be spike-like with CBO{sub XPS} = 0.92 ± 0.10 eV and CBO{sub NEXAFS} = 0.87 ± 0.10 eV for Zn(O,S)/CZTS and CBO{sub XPS} = 0.41 ± 0.10 eV for In{sub 2}S{sub 3}/CZTS, respectively. The CZTS photovoltaic device using the spike-like In{sub 2}S{sub 3} buffer was found to yield a higher open circuit voltage (Voc) than that using the cliff-like CdS buffer. However, the CBO of In{sub 2}S{sub 3}/CZTS is slightly higher than the optimum level and thus acts to block the flow of light-generated electrons, significantly reducing the short circuit current (Jsc) and Fill Factor (FF) and thereby limiting the efficiency. Instead, the use of a hybrid buffer for optimization of band alignment is proposed.« less

  4. Power Conversion Efficiency and Device Stability Improvement of Inverted Perovskite Solar Cells by Using a ZnO:PFN Composite Cathode Buffer Layer.

    PubMed

    Jia, Xiaorui; Zhang, Lianping; Luo, Qun; Lu, Hui; Li, Xueyuan; Xie, Zhongzhi; Yang, Yongzhen; Li, Yan-Qing; Liu, Xuguang; Ma, Chang-Qi

    2016-07-20

    We have demonstrated in this article that both power conversion efficiency (PCE) and performance stability of inverted planar heterojunction perovskite solar cells can be improved by using a ZnO:PFN nanocomposite (PFN: poly[(9,9-bis(3'-(N,N-dimethylamion)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctyl)-fluorene]) as the cathode buffer layer (CBL). This nanocomposite could form a compact and defect-less CBL film on the perovskite/PC61BM surface (PC61BM: phenyl-C61-butyric acid methyl ester). In addition, the high conductivity of the nanocomposite layer makes it works well at a layer thickness of 150 nm. Both advantages of the composite layer are helpful in reducing interface charge recombination and improving device performance. The power conversion efficiency (PCE) of the best ZnO:PFN CBL based device was measured to be 12.76%, which is higher than that of device without CBL (9.00%), or device with ZnO (7.93%) or PFN (11.30%) as the cathode buffer layer. In addition, the long-term stability is improved by using ZnO:PFN composite cathode buffer layer when compare to that of the reference cells. Almost no degradation of open circuit voltage (VOC) and fill factor (FF) was found for the device having ZnO:PFN, suggesting that ZnO:PFN is able to stabilize the interface property and consequently improve the solar cell performance stability.

  5. ZnO buffer layer for metal films on silicon substrates

    DOEpatents

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  6. Inverted bulk-heterojunction organic solar cells with the transfer-printed anodes and low-temperature-processed ultrathin buffer layers

    NASA Astrophysics Data System (ADS)

    Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi

    2018-03-01

    We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.

  7. Oxidation of Al2O3 continuous fiber-reinforced/NiAl composites

    NASA Technical Reports Server (NTRS)

    Doychak, J.; Nesbitt, J. A.; Noebe, R. D.; Bowman, R. R.

    1992-01-01

    The 1200 C and 1300 C isothermal and cyclic oxidation behavior of Al2O3 continuous fiber-reinforced/NiAl composites were studied. Oxidation resulted in formation of Al2O3 external scales in a similar manner as scales formed on monolithic NiAl. The isothermal oxidation of an Al2O3/NiAl composite resulted in oxidation of the matrix along the fiber/matrix interface near the fiber ends. This oxide acted as a wedge between the fiber and the matrix, and, under cyclic oxidation conditions, led to further oxidation along the fiber lengths and eventual cracking of the composite. The oxidation behavior of composites in which the Al2O3 fibers were sputter coated with nickel prior to processing was much more severe. This was attributed to open channels around the fibers which formed during processing, most likely as a result of the diffusion of the nickel coating into the matrix.

  8. Improvement of the GaSb/Al2O3 interface using a thin InAs surface layer

    NASA Astrophysics Data System (ADS)

    Greene, Andrew; Madisetti, Shailesh; Nagaiah, Padmaja; Yakimov, Michael; Tokranov, Vadim; Moore, Richard; Oktyabrsky, Serge

    2012-12-01

    The highly reactive GaSb surface was passivated with a thin InAs layer to limit interface trap state density (Dit) at the III-V/high-k oxide interface. This InAs surface was subjected to various cleaning processes to effectively reduce native oxides before atomic layer deposition (ALD). Ammonium sulfide pre-cleaning and trimethylaluminum/water ALD were used in conjunction to provide a clean interface and annealing in forming gas (FG) at 350 °C resulted in an optimized fabrication for n-GaSb/InAs/high-k gate stacks. Interface trap density, Dit ≈ 2-3 × 1012 cm-2eV-1 resided near the n-GaSb conductance band which was extracted and compared with three different methods. Conductance-voltage-frequency plots showed efficient Fermi level movement and a sub-threshold slope of 200 mV/dec. A composite high-k oxide process was also developed using ALD of Al2O3 and HfO2 resulting in a Dit ≈ 6-7 × 1012 cm-2eV-1. Subjecting these samples to a higher (450 °C) processing temperature results in increased oxidation and a thermally unstable interface. p-GaSb displayed very fast minority carrier generation/recombination likely due to a high density of bulk traps in GaSb.

  9. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  10. Effect of AL2O3 and TiO2 nanoparticles on aquatic organisms

    NASA Astrophysics Data System (ADS)

    Gosteva, I.; Morgalev, Yu; Morgaleva, T.; Morgalev, S.

    2015-11-01

    Environmental toxicity of aqueous disperse systems of nanoparticles of binary compounds of titanium dioxides (with particle size Δ50=5 nm, Δ50=50 nm, Δ50=90 nm), aluminum oxide alpha-forms (Δ50=7 nm and Δ50=70 nm) and macro forms (TiO2 Δ50=350 nm, Al2O3 A50=4000 nm) were studied using biological testing methods. The bioassay was performed using a set of test organisms representing the major trophic levels. We found the dependence of the toxic effect concentration degree of nTiO2 and nAl2O3 on the fluorescence of the bacterial biosensor "Ekolyum", the chemotactic response of ciliates Paramecium caudatum, the growth of unicellular algae Chlorella vulgaris Beijer and mortality of entomostracans Daphnia magna Straus. We revealed the selective dependence of nTiO2 and nAl2O3 toxicity on the size, concentration and chemical nature of nanoparticles. The minimal concentration causing an organism's response on nTiO2 and nAl2O3 effect depends on the type of the test- organism and the test reaction under study. We specified L(E)C50 and acute toxicity categories for all the studied nanoparticles. We determined that nTiO2 (Δ50=5 nm) belong to the category «Acute toxicity 1», nTiO2 (A50=90 nm) and nAl2O3 (Δ50=70 nm) - to the category «Acute toxicity 2», nAl2O3 (Δ50=7 nm) - to the category «Acute toxicity 3». No acute toxicity was registered for nTiO2 (Δ50=50 nm) and macro form TiO2.

  11. Passivation of phosphorus diffused silicon surfaces with Al2O3: Influence of surface doping concentration and thermal activation treatments

    NASA Astrophysics Data System (ADS)

    Richter, Armin; Benick, Jan; Kimmerle, Achim; Hermle, Martin; Glunz, Stefan W.

    2014-12-01

    Thin layers of Al2O3 are well known for the excellent passivation of p-type c-Si surfaces including highly doped p+ emitters, due to a high density of fixed negative charges. Recent results indicate that Al2O3 can also provide a good passivation of certain phosphorus-diffused n+ c-Si surfaces. In this work, we studied the recombination at Al2O3 passivated n+ surfaces theoretically with device simulations and experimentally for Al2O3 deposited with atomic layer deposition. The simulation results indicate that there is a certain surface doping concentration, where the recombination is maximal due to depletion or weak inversion of the charge carriers at the c-Si/Al2O3 interface. This pronounced maximum was also observed experimentally for n+ surfaces passivated either with Al2O3 single layers or stacks of Al2O3 capped by SiNx, when activated with a low temperature anneal (425 °C). In contrast, for Al2O3/SiNx stacks activated with a short high-temperature firing process (800 °C) a significant lower surface recombination was observed for most n+ diffusion profiles without such a pronounced maximum. Based on experimentally determined interface properties and simulation results, we attribute this superior passivation quality after firing to a better chemical surface passivation, quantified by a lower interface defect density, in combination with a lower density of negative fixed charges. These experimental results reveal that Al2O3/SiNx stacks can provide not only excellent passivation on p+ surfaces but also on n+ surfaces for a wide range of surface doping concentrations when activated with short high-temperature treatments.

  12. Polarization and Fowler-Nordheim tunneling in anodized Al-Al2O3-Au diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2000-06-01

    Polarization in anodic Al2O3 films is measured by using quasi-dc current-voltage (I-V) curves of Al-Al2O3-Au diodes. A reproducible polarization state is established by applying a negative voltage to the Au electrode of a rectifying Al-Al2O3-Au diode. The difference between subsequent I-V curves with Au positive is a measure of polarization in the sample. The magnitude of polarization charge in Al2O3 depends on the anodizing electrolyte. Al2O3 films formed in H2O-based electrolytes have approximately ten times the polarization charge of Al2O3 films formed in ethylene glycol-based electrolyte. Anodizing conditions that produce greater polarizing charge in anodic Al2O3 result in voltage-time curves during anodization under galvanostatic conditions that are nonlinear. Anodic films with greater polarizing charge also have a greater apparent interface capacitance which is independent of Al2O3 thickness. I-V curves of Al-Al2O3-Au diodes for increasing voltage are dominated by polarization. I-V curves for decreasing voltage are reproducible and parallel but depend on the maximum current and voltage reached during the measurement. There is no single current corresponding to a given voltage. I-V curves for decreasing voltage are analyzed assuming that the conduction mechanism is Fowler-Nordheim (FN) tunneling. There is a qualitative difference between the FN tunneling parameters for Al2O3 films formed in H2O-based electrolytes and those formed in ethylene glycol-based electrolyte. For the former the value of the exponential term in the FN analysis increases as the value of maximum voltage and current in an I-V characteristic increases, while the value of the pre-exponential term is nearly constant. For the latter, the exponential term is nearly constant as maximum voltage and current increase, but the pre-exponential term decreases by about 5 decades. Thus polarization charge incorporated during formation of anodized Al2O3 strongly affects the formation of the insulating

  13. Interactions of Organic Solvents at Graphene/α-Al 2 O 3 and Graphene Oxide/α-Al 2 O 3 Interfaces Studied by Sum Frequency Generation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Achtyl, Jennifer L.; Vlassiouk, Ivan V.; Dai, Sheng

    2014-07-17

    The adsorption of 1-hexanol from cyclohexane-d12 at single-layer graphene/α-Al2O3 interfaces was probed at mole percent values as low as 0.05 in the C–H stretching region using vibrational sum frequency generation (SFG). The SFG spectra are indiscernible from those obtained in the absence of graphene, and from those obtained in the presence of graphene oxide films prepared via oxygen plasma treatment of pristine single-layer graphene. A Langmuir adsorption model yields observed free adsorption energies of -19.9(5) to -20.9(3) kJ/mol for the three interfaces. The results indicate that the molecular structure of the hexanol alkyl chain is subject to the same orientationmore » distribution when graphene, oxidized or not, is present or absent at the α-Al2O3/cyclohexane-d12 interface. Moreover, it appears that the adsorption of 1-hexanol in this binary mixture is driven by hexanol interactions with the underlying oxide support, and that a single layer of graphene does not influence the extent of this interaction, even when defects are introduced to it. Finally, our structural and quantitative thermodynamic data provide important benchmarks for theoretical calculations and atomistic simulations of liquid/graphene interfaces. We hypothesize that defects emerging in graphene during operation of any device application that relies on layered solvent/graphene/oxide interfaces have little impact on the interfacial structure or thermodynamics, at least for the binary mixture and over the range of defect densities probed in our studies.« less

  14. Emission analysis of RE3+ (RE = Sm, Dy):B2O3-TeO2-Li2O-AlF3 glasses.

    PubMed

    Raju, C Nageswara; Sailaja, S; Kumari, S Pavan; Dhoble, S J; Kumar, V Ramesh; Ramanaiah, M V; Reddy, B Sudhakar

    2013-01-01

    This article reports on the optical properties of 0.5% mol of Sm(3+), Dy(3+) ion-doped B2O3-TeO2-Li2O-AlF3 (LiAlFBT) glasses. The glass samples were characterized by optical absorption and emission spectra. Judd-Ofelt theory was applied to analyze the optical absorption spectra and calculate the intensity parameters and radiative properties of the emission transitions. The emission spectra of Sm(3+) and Dy(3+):LiAlFBT glasses showed a bright reddish-orange emission at 598 nm ((4)G5/2 → (6)H7/2) and an intense yellow emission at 574 nm ((4)F9/2 → (6)H13/2), respectively. Full width at half maximum (FWHM), stimulated emission cross section, gain bandwidth and optical gain values were also calculated to extend the applications of the Sm(3+) and Dy(3+):LiAlFBT glasses. Copyright © 2012 John Wiley & Sons, Ltd.

  15. Crack-healing function of metal/Al2O3 hybrid materials

    NASA Astrophysics Data System (ADS)

    Nanko, M.; Maruoka, D.; Nguyen, T. D.

    2011-10-01

    Nano-Ni/Al2O3 hybrid materials have the crack-healing function by thermal oxidation process such as 1200°C for 6 h in air. In this hybrid material system, crack was filled up by an oxidation product, NiAl2O4, via outward diffusion of cations along grain boundaries of Al2O3 matrix. Ni/Al2O3 with Y2O3 doping and SiC+Ni/Al2O3 nano-hybrid materials have similar crack-healing performance with better oxidation resistance at high temperatures than Ni/Al2O3 nano-hybrid materials. Mo/Al2O3 hybrid materials were studied on a candidate with crack-healing function via thermal oxidation process at temperatures as low as 700°C.

  16. Amorphous TiO2 Shells: A Vital Elastic Buffering Layer on Silicon Nanoparticles for High-Performance and Safe Lithium Storage.

    PubMed

    Yang, Jianping; Wang, Yunxiao; Li, Wei; Wang, Lianjun; Fan, Yuchi; Jiang, Wan; Luo, Wei; Wang, Yang; Kong, Biao; Selomulya, Cordelia; Liu, Hua Kun; Dou, Shi Xue; Zhao, Dongyuan

    2017-12-01

    Smart surface coatings of silicon (Si) nanoparticles are shown to be good examples for dramatically improving the cyclability of lithium-ion batteries. Most coating materials, however, face significant challenges, including a low initial Coulombic efficiency, tedious processing, and safety assessment. In this study, a facile sol-gel strategy is demonstrated to synthesize commercial Si nanoparticles encapsulated by amorphous titanium oxide (TiO 2 ), with core-shell structures, which show greatly superior electrochemical performance and high-safety lithium storage. The amorphous TiO 2 shell (≈3 nm) shows elastic behavior during lithium discharging and charging processes, maintaining high structural integrity. Interestingly, it is found that the amorphous TiO 2 shells offer superior buffering properties compared to crystalline TiO 2 layers for unprecedented cycling stability. Moreover, accelerating rate calorimetry testing reveals that the TiO 2 -encapsulated Si nanoparticles are safer than conventional carbon-coated Si-based anodes. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Effect of different thickness crystalline SiC buffer layers on the ordering of MgB{sub 2} films probed by extended x-ray absorption fine structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Putri, W. B. K.; Tran, D. H.; Kang, B., E-mail: bwkang@chungbuk.ac.kr

    2014-03-07

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is a powerful method to investigate the local structure of thin films. Here, we have studied EXAFS of MgB{sub 2} films grown on SiC buffer layers. Crystalline SiC buffer layers with different thickness of 70, 100, and 130 nm were deposited on the Al{sub 2}O{sub 3} (0001) substrates by using a pulsed laser deposition method, and then MgB{sub 2} films were grown on the SiC buffer layer by using a hybrid physical-chemical vapor deposition technique. Transition temperature of MgB{sub 2} film decreased with increasing thickness of SiC buffer layer. However, the T{sub c} droppingmore » went no farther than 100 nm-thick-SiC. This uncommon behavior of transition temperature is likely to be created from electron-phonon interaction in MgB{sub 2} films, which is believed to be related to the ordering of MgB{sub 2} atomic bonds, especially in the ordering of Mg–Mg bonds. Analysis from Mg K-edge EXAFS measurements showed interesting ordering behavior of MgB{sub 2} films. It is noticeable that the ordering of Mg–B bonds is found to decrease monotonically with the increase in SiC thickness of the MgB{sub 2} films, while the opposite happens with the ordering in Mg–Mg bonds. Based on these results, crystalline SiC buffer layers in MgB{sub 2} films seemingly have evident effects on the alteration of the local structure of the MgB{sub 2} film.« less

  18. Statistical Evaluation of Potential Damage to the Al(OH)3 Layer on nTiO2 Particles in the Presence of Swimming Pool and Seawater

    EPA Science Inventory

    Nanosized TiO2 particles (nTiO2) are usually coated with an Al(OH)3 layer when used in sunscreen to shield against the harmful effects of free radicals that are generated when these particles are exposed to UV radiation. Therefore, it is vital to ...

  19. UiO-66-NH2 Metal-Organic Framework (MOF) Nucleation on TiO2, ZnO, and Al2O3 Atomic Layer Deposition-Treated Polymer Fibers: Role of Metal Oxide on MOF Growth and Catalytic Hydrolysis of Chemical Warfare Agent Simulants.

    PubMed

    Lee, Dennis T; Zhao, Junjie; Oldham, Christopher J; Peterson, Gregory W; Parsons, Gregory N

    2017-12-27

    Metal-organic frameworks (MOFs) chemically bound to polymeric microfibrous textiles show promising performance for many future applications. In particular, Zr-based UiO-66-family MOF-textiles have been shown to catalytically degrade highly toxic chemical warfare agents (CWAs), where favorable MOF/polymer bonding and adhesion are attained by placing a nanoscale metal-oxide layer on the polymer fiber preceding MOF growth. To date, however, the nucleation mechanism of Zr-based MOFs on different metal oxides and how product performance is affected are not well understood. Herein, we provide new insight into how different inorganic nucleation films (i.e., Al 2 O 3 , ZnO, or TiO 2 ) conformally coated on polypropylene (PP) nonwoven textiles via atomic layer deposition (ALD) influence the quality, overall surface area, and the fractional yield of UiO-66-NH 2 MOF crystals solvothermally grown on fiber substrates. Of the materials explored, we find that TiO 2 ALD layers lead to the most effective overall MOF/fiber adhesion, uniformity, and a rapid catalytic degradation rate for a CWA simulant, dimethyl p-nitrophenyl phosphate (DMNP) with t 1/2 = 15 min, 580-fold faster than the catalytic performance of untreated PP textiles. Interestingly, compared to ALD TiO 2 and Al 2 O 3 , ALD ZnO induces a larger MOF yield in solution and mass loading on PP fibrous mats. However, this larger MOF yield is ascribed to chemical instability of the ZnO layer under MOF formation condition, leading to Zn 2+ ions that promote further homogeneous MOF growth. Insights presented here improve understanding of compatibility between active MOF materials and substrate surfaces, which we believe will help advanced MOF composite materials for a variety of useful functions.

  20. Effects of Al2O3, B2O3, Li2O, Na2O, and SiO2 on Nepheline Crystallization in Hanford High Level Waste Glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kroll, Jared O.; Vienna, John D.; Schweiger, Michael J.

    2016-09-15

    Nepheline (nominally NaAlSiO4) formation during slow cooling of high-alumina (25.4 - 34.5 mass% Al2O3) Hanford high level waste glasses may significantly reduce product durability. To investigate the effects of composition on nepheline crystallization, 29 compositions were formulated by adjusting Al2O3, B2O3, Li2O, Na2O, and SiO2 around a baseline glass that precipitated 12 mass% nepheline. Thirteen of these compositions were generated by adjusting one-component-at-a-time, while two or three components were adjusted to produce the other 16 (with all remaining components staying in the same relative proportions). Quantitative X-ray diffraction was used to determine nepheline concentration in each sample. Twenty two glassesmore » precipitated nepheline, two of which also precipitated eucryptite (nominally LiAlSiO4), and one glass formed only eucryptite upon slow cooling. Increasing Na2O and Li2O had the strongest effect in promoting nepheline formation. Increasing B2O3 inhibited nepheline formation. SiO2 and Al2O3 showed non-linear behavior related to nepheline formation. The composition effects on nepheline formation in these glasses are reported.« less

  1. Electron-stimulated reactions in nanoscale water films adsorbed on α-Al 2O 3 (0001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petrik, Nikolay G.; Kimmel, Gregory A.

    The radiation-induced decomposition and desorption of nanoscale amorphous solid water (D2O) films adsorbed on -Al2O3(0001) surface was studied at low temperature in ultrahigh vacuum using temperature programmed desorption (TPD) and electron stimulated desorption (ESD) with a mono-energetic, low energy electron source. ESD yields of molecular products ( D2, O2 and D¬2O) and the total sputtering yield increased with increasing D2O coverage up to ~15 water monolayers (i.e. ~15 1015 cm-2) to a coverage-independent level for thicker water films. Experiments with isotopically-layered water films (D2O and H2O) demonstrated that the highest water decomposition yields occurred at the interfaces of the nanoscalemore » water films with the alumina substrate and vacuum. However, the increased reactivity of the water/alumina interface is relatively small compared to the enhancements in the non-thermal reactions previously observed at the water/Pt(111) and water/TiO2(110) interfaces. We propose that the relatively low activity of Al2O3(0001) for the radiation-induced production of molecular hydrogen is associated with lower reactivity of this surface with hydrogen atoms, which are likely precursors for the molecular hydrogen.100 eV electrons are stopped in the H 2O portion of the isotopically-layered nanoscale film on α-Al 2O 3(0001) but D 2is produced at the D 2O/alumina interface by mobile electronic excitations and/or hydronium ions.« less

  2. Phase equilibria investigations and thermodynamic modeling of the system Bi2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Oudich, F.; David, N.; Mathieu, S.; Vilasi, M.

    2015-02-01

    The system Bi2O3-Al2O3 has been experimentally investigated above 600 °C by DTA, XRD and EPMA under air and low oxygen pressure. Only two compounds were found to exist in equilibrium, which are Bi2Al4O9(1Bi2O3:2Al2O3) and Bi25AlO39(25:1). The latter exhibits a sillenite structure and does not contain pentavalent bismuth. A peritectoid decomposition of (25:1) and a peritectic melting of (1:2) occur at 775 °C and 1075 °C respectively, while an eutectic transformation was observed at 815 °C for 97 mol% Bi2O3. On the basis of the results obtained within the present work as well as experimental data provided from literature, a thermodynamic modeling where the liquid phase is described by the two-sublattice ionic liquid model was performed according to the Calphad approach. The resulting thermodynamic optimization yielded good agreement with experimental results in the investigated region.

  3. Lifetime assessment of atomic-layer-deposited Al2O3-Parylene C bilayer coating for neural interfaces using accelerated age testing and electrochemical characterization.

    PubMed

    Minnikanti, Saugandhika; Diao, Guoqing; Pancrazio, Joseph J; Xie, Xianzong; Rieth, Loren; Solzbacher, Florian; Peixoto, Nathalia

    2014-02-01

    The lifetime and stability of insulation are critical features for the reliable operation of an implantable neural interface device. A critical factor for an implanted insulation's performance is its barrier properties that limit access of biological fluids to the underlying device or metal electrode. Parylene C is a material that has been used in FDA-approved implantable devices. Considered a biocompatible polymer with barrier properties, it has been used as a substrate, insulation or an encapsulation for neural implant technology. Recently, it has been suggested that a bilayer coating of Parylene C on top of atomic-layer-deposited Al2O3 would provide enhanced barrier properties. Here we report a comprehensive study to examine the mean time to failure of Parylene C and Al2O3-Parylene C coated devices using accelerated lifetime testing. Samples were tested at 60°C for up to 3 months while performing electrochemical measurements to characterize the integrity of the insulation. The mean time to failure for Al2O3-Parylene C was 4.6 times longer than Parylene C coated samples. In addition, based on modeling of the data using electrical circuit equivalents, we show here that there are two main modes of failure. Our results suggest that failure of the insulating layer is due to pore formation or blistering as well as thinning of the coating over time. The enhanced barrier properties of the bilayer Al2O3-Parylene C over Parylene C makes it a promising candidate as an encapsulating neural interface. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  4. Millimeter distance effects of surface plasmon polaritons in electroformed Al-Al2O3-Ag diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2017-02-01

    Electroforming of metal-insulator-metal diodes is a soft dielectric breakdown that changes the high resistance of as-prepared diodes to a low resistance state. Electroforming of Al-Al2O3-metal diodes with anodic Al2O3 results in voltage-controlled negative resistance in the current-voltage (I-V) characteristics, electroluminescence (EL), and electron emission into vacuum (EM). EL is due to electrons injected at the Al-Al2O3 interface combining with radiative defects in Al2O3. Surface plasmon polaritons (SPPs) are electromagnetic waves that can be excited by photons or electrons. SPPs are confined to a metal-dielectric interface, cause large electric fields in the metal and dielectric, and have ranges of micrometers. The temperature dependence of I-V curves, EL, and EM of a group of electroformed Al-Al2O3-Ag diodes with Al2O3 thicknesses between 12 nm and 20 nm, group A, was measured between 200 K and 300 K. After a sequence of temperature measurements, the Al-Al2O3-Ag diodes, the Al-Al2O3 regions between diodes, and portions of the Ag on the glass region that provides contacts to the diodes are darkened. The range of darkening is >7 mm in a diode with 12 nm of Al2O3 and 2.0-3.5 mm in diodes with Al2O3 thicknesses between 14 nm and 20 nm. Darkening is attributed to the occurrence of SPPs generated by EL photons at the Ag-Al2O3 and Al-Al2O3 interfaces. The results are compared to a second group of Al-Al2O3-Ag diodes with identical Al2O3 thicknesses, group B, that were prepared in the same way as the diodes of group A except for a difference in the deposition of Al films for the two groups. Al-Al2O3-Ag diodes of group B exhibit enhanced EL, which is attributed to spontaneous emission of recombination centers in Al2O3 being enhanced by large electromagnetic fields that are due to SPPs that are generated by EL photons.

  5. Mineral-solution equilibria—III. The system Na 2OAl 2O 3SiO 2H 2OHCl

    NASA Astrophysics Data System (ADS)

    Popp, Robert K.; Frantz, John D.

    1980-07-01

    Chemical equilibrium between sodium-aluminum silicate minerals and chloride bearing fluid has been experimentally determined in the range 500-700°C at 1 kbar, using rapid-quench hydrothermal methods and two modifications of the Ag + AgCl acid buffer technique. The temperature dependence of the thermodynamic equilibrium constant ( K) for the reaction NaAlSi 3O 8 + HCl o = NaCl o + 1/2Al 2SiO 5, + 5/2SiO 2 + 1/2H 2O Albite Andalusite Qtz. K = (a NaCl o) /(a H 2O ) 1/2/(a HCl o) can be described by the following equation: log k = -4.437 + 5205.6/ T( K) The data from this study are consistent with experimental results reported by MONTOYA and HEMLEY (1975) for lower temperature equilibria defined by the assemblages albite + paragonite + quartz + fluid and paragonite + andalusite + quartz + fluid. Values of the equilibrium constants for the above reactions were used to estimate the difference in Gibbs free energy of formation between NaCl o and HCl o in the range 400-700°C and 1-2 kbar. Similar calculations using data from phase equilibrium studies reported in the literature were made to determine the difference in Gibbs free energy of formation between KCl o and HCl o. These data permit modelling of the chemical interaction between muscovite + kspar + paragonite + albite + quartz assemblages and chloride-bearing hydrothermal fluids.

  6. High-κ Al2O3 material in low temperature wafer-level bonding for 3D integration application

    NASA Astrophysics Data System (ADS)

    Fan, J.; Tu, L. C.; Tan, C. S.

    2014-03-01

    This work systematically investigated a high-κ Al2O3 material for low temperature wafer-level bonding for potential applications in 3D microsystems. A clean Si wafer with an Al2O3 layer thickness of 50 nm was applied as our experimental approach. Bonding was initiated in a clean room ambient after surface activation, followed by annealing under inert ambient conditions at 300 °C for 3 h. The investigation consisted of three parts: a mechanical support study using the four-point bending method, hermeticity measurements using the helium bomb test, and thermal conductivity analysis for potential heterogeneous bonding. Compared with samples bonded using a conventional oxide bonding material (SiO2), a higher interfacial adhesion energy (˜11.93 J/m2) and a lower helium leak rate (˜6.84 × 10-10 atm.cm3/sec) were detected for samples bonded using Al2O3. More importantly, due to the excellent thermal conductivity performance of Al2O3, this technology can be used in heterogeneous direct bonding, which has potential applications for enhancing the performance of Si photonic integrated devices.

  7. Ultrathin epitaxial barrier layer to avoid thermally induced phase transformation in oxide heterostructures

    DOE PAGES

    Baek, David J.; Lu, Di; Hikita, Yasuyuki; ...

    2016-12-22

    Incorporating oxides with radically different physical and chemical properties into heterostructures offers tantalizing possibilities to derive new functions and structures. Recently, we have fabricated freestanding 2D oxide membranes using the water-soluble perovskite Sr 3Al 2O 6 as a sacrificial buffer layer. Here, with atomic-resolution spectroscopic imaging, we observe that direct growth of oxide thin films on Sr 3Al 2O 6 can cause complete phase transformation of the buffer layer, rendering it water-insoluble. More importantly, we demonstrate that an ultrathin SrTiO 3 layer can be employed as an effective barrier to preserve Sr 3Al 2O 6 during subsequent growth, thus allowingmore » its integration in a wider range of oxide heterostructures.« less

  8. Direct spectroscopic evidence for isolated silanols in SiO x/Al 2O 3 and their formation mechanism

    DOE PAGES

    Mouat, Aidan R.; Kobayashi, Takeshi; Pruski, Marek; ...

    2017-02-27

    Here, the preparation and unambiguous characterization of isolated Brønsted-acidic silanol species on silica–alumina catalysts presents a key challenge in the rational design of solid acid catalysts. In this report, atomic layer deposition (ALD) and liquid-phase preparation (chemical liquid deposition, CLD) are used to install the SiO x sites on Al 2O 3 catalysts using the same Si source (tetraethylorthosilicate, TEOS). The ALD-derived and CLD-derived SiO x sites are probed with dynamic nuclear polarization (DNP)-enhanced 29Si– 29Si double-quantum/single-quantum (DQ/SQ) correlation NMR spectroscopy. The investigation reveals conclusively that the SiO x/Al 2O 3 material prepared by ALD and CLD, followed by calcinationmore » under an O 2 stream, contains fully spatially isolated Si species, in contrast with those resulting from the calcination under static air, which is widely accepted as a postgrafting treatment for CLD. Insight into the formation mechanism of these sites is obtained via in situ monitoring of the TEOS + γ-Al 2O 3 reaction in an environmental diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS) cell. Upon calcination, the DRIFTS spectra of SiO x/Al 2O 3 reveal a signature unambiguously assignable to isolated Brønsted-acidic silanol species. Surprisingly, the results of this study indicate that the method of preparing SiO x/Al 2O 3 catalysts is less important to the final structure of the silanol sites than the post-treatment conditions. This finding should greatly simplify the methods for synthesizing site-isolated, Brønsted-acidic SiO x/Al 2O 3 catalysts.« less

  9. Effect of substrate roughness on the corrosion behaviour of the Al2O3/MA 956 system.

    PubMed

    García-Alonso, M C; Escudero, M L; González-Carrasco, J L; Chao, J

    2000-01-01

    This paper presents the influence of substrate roughness on the corrosion behaviour of the Al2O3/MA 956 system. An alumina layer of thickness 1-5 microm was generated of the MA956 alloy by thermal oxidation at 1100 degrees C using different exposure times. This Al2O3/MA 956 system with a polished substrate has shown excellent corrosion behaviour in a physiological fluid, due to the fact that the alpha-Al2O3 layer formed is dense, continuous and firmly adhered to the substrate, irrespective of the scale thickness. This good adherence allows it to withstand potentials above 1.7 V. Specimens with rough finish substrate and treatment times above 10 h present spallation of the alumina layer at the crests of the roughness profile. In this case a mixed corrosion behaviour between an alumina coated material and one with a passive layer is observed. In both types of specimens, rough and smooth, once the passivation layer is broken the repassivation capacity of the substrate is ensured due to the high chromium content of the alloy, under oxygenation conditions.

  10. The effect of Al2O3, CaO, Cr2O3 and MgO on devitrification of silica

    NASA Technical Reports Server (NTRS)

    Zaplatynsky, Isidor

    1988-01-01

    The effect of doping on devitrification of vitreous silica was studied at 1100, 1200, and 1300 C. Dispersion of dopants on a molecular scale was accomplished via a sol-gel technique. All dopants accelerated the devitrification of silica but to different degrees. The most active was CaO followed by MgO, Al2O3, and Cr2O3. Pure silica and silica containing Cr2O3 and Al2O3 devitrified to alpha-cristobalite only, whereas silica doped with CaO and MgO produced alpha-quartz and alpha-cristobalite. It appears that prolonged heat treatment would cause alpha-quartz to transform to alpha-cristobalite.

  11. Tuning the Two-Dimensional Electron Liquid at Oxide Interfaces by Buffer-Layer-Engineered Redox Reactions.

    PubMed

    Chen, Yunzhong; Green, Robert J; Sutarto, Ronny; He, Feizhou; Linderoth, Søren; Sawatzky, George A; Pryds, Nini

    2017-11-08

    Polar discontinuities and redox reactions provide alternative paths to create two-dimensional electron liquids (2DELs) at oxide interfaces. Herein, we report high mobility 2DELs at interfaces involving SrTiO 3 (STO) achieved using polar La 7/8 Sr 1/8 MnO 3 (LSMO) buffer layers to manipulate both polarities and redox reactions from disordered overlayers grown at room temperature. Using resonant X-ray reflectometry experiments, we quantify redox reactions from oxide overlayers on STO as well as polarity induced electronic reconstruction at epitaxial LSMO/STO interfaces. The analysis reveals how these effects can be combined in a STO/LSMO/disordered film trilayer system to yield high mobility modulation doped 2DELs, where the buffer layer undergoes a partial transformation from perovskite to brownmillerite structure. This uncovered interplay between polar discontinuities and redox reactions via buffer layers provides a new approach for the design of functional oxide interfaces.

  12. Laser Cladding of Ti-6Al-4V Alloy with Ti-Al2O3 Coating for Biomedical Applications

    NASA Astrophysics Data System (ADS)

    Mthisi, A.; Popoola, A. P. I.; Adebiyi, D. I.; Popoola, O. M.

    2018-05-01

    The indispensable properties of Ti-6Al-4V alloy coupled with poor tribological properties and delayed bioactivity make it a subject of interest to explore in biomedical application. A quite number of numerous coatings have been employed on titanium alloys, with aim to overcome the poor properties exhibited by this alloy. In this work, the possibility of laser cladding different ad-mixed powders (Ti - 5 wt.% Al2O3 and Ti - 8wt.% Al2O3) on Ti-6Al-4V at various laser scan speed (0.6 and 0.8 m/min) were investigated. The microstructure, phase constituents and corrosion of the resultant coatings were characterized by scanning electron microscope (SEM), Optical microscope, X-Ray diffractometer (XRD) and potentiostat respectively. The electrochemical behaviour of the produced coatings was studied in a simulated body fluid (Hanks solution). The microstructural results show that a defect free coating is achieved at low scan speed and ad-mixed of Ti-5 wt. % Al2O3. Cladding of Ti - Al2O3 improved the corrosion resistance of Ti-6Al-4V alloy regardless of varying neither scan speed nor ad-mixed percentage. However, Ti-5 wt.% Al2O3 coating produced at low scan speed revealed the highest corrosion resistance among the coatings due to better quality coating layer. Henceforth, this coating may be suitable for biomedical applications.

  13. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer.

    PubMed

    Black, L E; Cavalli, A; Verheijen, M A; Haverkort, J E M; Bakkers, E P A M; Kessels, W M M

    2017-10-11

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a PO x layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since PO x is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al 2 O 3 capping layer to form a PO x /Al 2 O 3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm -2 ), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as

  14. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer

    PubMed Central

    2017-01-01

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a POx layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since POx is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al2O3 capping layer to form a POx/Al2O3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm–2), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as nanolasers and

  15. Electrochemical corrosion, wear and cell behavior of ZrO2/TiO2 alloyed layer on Ti-6Al-4V.

    PubMed

    Li, Jianfang; He, Xiaojing; Zhang, Guannan; Hang, Ruiqiang; Huang, Xiaobo; Tang, Bin; Zhang, Xiangyu

    2018-06-01

    Ti-6Al-4V (TC4) has received increasing attention as biomaterial but also raised concerns about the long-term safety of releasing of metal ions and poor wear resistance. In this work, an ZrO 2 /TiO 2 alloyed layer was prepared on TC4 by plasma surface alloying with Zr and subsequently annealed in the air for improved corrosion and wear resistant. To assess the corrosion performance of the alloyed layer, the specimens were measured by open circuit potential, electrochemical impedance spectroscopy and potentiodynamic polarization in simulated body fluid solution. The result shows that the ZrO 2 /TiO 2 alloyed layer exhibits strikingly high polarization resistance, wide passive region and very low current density, indicating the excellent corrosion resistance. The layer also displays significant improvement of wear resistance. Furthermore, the alloyed layer restricts cell adhesion and spreading. We infer that the ZrO 2 /TiO 2 alloyed layer might be potentially useful implanted devices such as biosensors, bioelectronics or drug delivery devices. Copyright © 2018 Elsevier B.V. All rights reserved.

  16. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  17. CaO-MgO-Al 2O 3-SiO 2 (CMAS) corrosion of Gd 2Zr 2O 7 and Sm 2Zr 2O 7

    DOE PAGES

    Wang, Honglong; Bakal, Ahmet; Zhang, Xingxing; ...

    2016-08-08

    Ceramic thermal barrier coatings are applied to superalloys used in gas turbine engineering to increase the operating temperature and the energy conversion efficiency. However, dust consisting of CaO-MgO-Al 2O 3-SiO 2 (CMAS) from the air can be injected into the engines and corrode the thermal barrier coatings. Lanthanide zirconates are promising materials in thermal barrier coatings due to their low thermal conductivities, good phase stability and good corrosion resistance. However, the corrosion resistance mechanism of CMAS on lanthanide zirconates is still not clearly understood. In this work, the corrosion mechanism of Gd 2Zr 2O 7 and Sm 2Zr 2O 7more » in CMAS is studied. Here, the results show that the CMAS can easily react with lanthanide zirconate thermal barrier coatings to form a dense layer, which can resist further corrosion« less

  18. Interfacial charge-induced polarization switching in Al{sub 2}O{sub 3}/Pb(Zr,Ti)O{sub 3} bi-layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Yu Jin; Park, Min Hyuk; Jeon, Woojin

    2015-12-14

    Detailed polarization switching behavior of an Al{sub 2}O{sub 3}/Pb(Zr,Ti)O{sub 3} (AO/PZT) structure is examined by comparing the phenomenological thermodynamic model to the experimental polarization–voltage (P-V) results. Amorphous AO films with various thicknesses (2–10 nm) were deposited on the polycrystalline 150-nm-thick PZT film. The thermodynamic calculation showed that the transition from the ferroelectric-like state to the paraelectric-like state with increasing AO thickness occurs at ∼3 nm thickness. This paraelectric-like state should have exhibited a negative capacitance effect without permanent polarization switching if no other adverse effects are involved. However, experiments showed typical ferroelectric-like hysteresis loops where the coercive voltage increased with the increasingmore » AO thickness, which could be explained by the carrier injection through the thin AO layer and trapping of the carriers at the AO/PZT interface. The fitting of the experimental P-V loops using the thermodynamic model considering the depolarization energy effect showed that trapped charge density was ∼±0.1 Cm{sup −2} and critical electric field at the Pt electrode/AO interface, at which the carrier transport occurs, was ∼±10 MV/cm irrespective of the AO thickness. Energy band model at each electrostatic state along the P-V loop was provided to elucidate correlation between macroscopic polarization and internal charge state of the stacked films.« less

  19. Investigating the electronic properties of Al2O3/Cu(In,Ga)Se2 interface

    NASA Astrophysics Data System (ADS)

    Kotipalli, R.; Vermang, B.; Joel, J.; Rajkumar, R.; Edoff, M.; Flandre, D.

    2015-10-01

    Atomic layer deposited (ALD) Al2O3 films on Cu(In,Ga)Se2 (CIGS) surfaces have been demonstrated to exhibit excellent surface passivation properties, which is advantageous in reducing recombination losses at the rear metal contact of CIGS thin-film solar cells. Here, we report, for the first time, experimentally extracted electronic parameters, i.e. fixed charge density (Qf) and interface-trap charge density (Dit), for as-deposited (AD) and post-deposition annealed (PDA) ALD Al2O3 films on CIGS surfaces using capacitance-voltage (C-V) and conductance-frequency (G-f) measurements. These results indicate that the AD films exhibit positive fixed charges Qf (approximately 1012 cm-2), whereas the PDA films exhibit a very high density of negative fixed charges Qf (approximately 1013 cm-2). The extracted Dit values, which reflect the extent of chemical passivation, were found to be in a similar range of order (approximately 1012 cm-2 eV-1) for both AD and PDA samples. The high density of negative Qf in the bulk of the PDA Al2O3 film exerts a strong Coulomb repulsive force on the underlying CIGS minority carriers (ns), preventing them to recombine at the CIGS/Al2O3 interface. Using experimentally extracted Qf and Dit values, SCAPS simulation results showed that the surface concentration of minority carriers (ns) in the PDA films was approximately eight-orders of magnitude lower than in the AD films. The electrical characterization and estimations presented in this letter construct a comprehensive picture of the interfacial physics involved at the Al2O3/CIGS interface.

  20. The Effect of Microstructure on Mechanical Properties of Directionally Solidified Al2O3/ZrO2(Y2O3) Eutectic

    NASA Technical Reports Server (NTRS)

    Sayir, Ali; Farmer, Serene C.

    1999-01-01

    The eutectic architecture of a continuous reinforcing phase within a higher volume fraction phase or matrix can be described as a naturally occurring in-situ composite. Here we report the results of experiments aimed at identifying the sources of high temperature creep resistance and high levels of strength in a two phase Al2O3/ZrO2(Y2O3) system. The mechanical properties of two phase Al2O3/ZrO2(Y2O3) eutectic are superior to those of either constituent alone due to strong constraining effects provided by the coherent interfaces and microstructure. The AlO3/ZrO2(Y2O3) eutectic maintains a low energy interface resulting from directional solidification and can produce strong and stable reinforcing phase/matrix bonding. The phases comprising a eutectic are thermodynamically compatible at higher homologous temperatures than man-made composites and as such offer the potential for superior high temperature properties.

  1. Epitaxial growth and dielectric properties of Pb0.4Sr0.6TiO3 thin films on (00l)-oriented metallic Li0.3Ni0.7O2 coated MgO substrates

    NASA Astrophysics Data System (ADS)

    Li, X. T.; Du, P. Y.; Mak, C. L.; Wong, K. H.

    2007-06-01

    Highly (00l)-oriented Li0.3Ni0.7O2 thin films have been fabricated on (001) MgO substrates by pulsed laser deposition. The Pb0.4Sr0.6TiO3 (PST40) thin film deposited subsequently also shows a significant (00l)-oriented texture. Both the PST40 and Li0.3Ni0.7O2 have good epitaxial behavior. The epitaxial growth of the PST40 thin film is more perfect with the Li0.3Ni0.7O2 buffer layer due to the less distortion in the film. The dielectric tunability of the PST40 thin film with Li0.3Ni0.7O2 buffer layer therefore reaches 70%, which is 75% higher than that without Li0.3Ni0.7O2 buffer layer, and the dielectric loss of the PST40 thin film is 0.06.

  2. High Temperature Aerogels in the Al2O3-SiO2 System

    NASA Technical Reports Server (NTRS)

    Hurwitz, Frances I.; Aranda, Denisse V.; Gallagher, Meghan E.

    2008-01-01

    Al2O3-SiO2 aerogels are of interest as constituents of thermal insulation systems for use at high temperatures. Al2O3 and mullite aerogels are expected to crystallize at higher temperatures than their SiO2 counterparts, hence avoiding the shrinkages that accompany the formation of lower temperature SiO2 phases and preserving pore structures into higher temperature regimes. The objective of this work is to determine the influence of processing parameters on shrinkage, gel structure (including surface area, pore size and distribution) and pyrolysis behavior.

  3. Crystal structures of hydrates of simple inorganic salts. III. Water-rich aluminium halide hydrates: AlCl3 · 15H2O, AlBr3 · 15H2O, AlI3 · 15H2O, AlI3 · 17H2O and AlBr3 · 9H2O.

    PubMed

    Schmidt, Horst; Hennings, Erik; Voigt, Wolfgang

    2014-09-01

    Water-rich aluminium halide hydrate structures are not known in the literature. The highest known water content per Al atom is nine for the perchlorate and fluoride. The nonahydrate of aluminium bromide, stable pentadecahydrates of aluminium chloride, bromide and iodide, and a metastable heptadecahydrate of the iodide have now been crystallized from low-temperature solutions. The structures of these hydrates were determined and are discussed in terms of the development of cation hydration spheres. The pentadecahydrate of the chloride and bromide are isostructural. In AlI(3) · 15H2O, half of the Al(3+) cations are surrounded by two complete hydration spheres, with six H2O in the primary and 12 in the secondary. For the heptadecahydrate of aluminium iodide, this hydration was found for every Al(3+).

  4. Hybrid ZnO/phthalocyanine photovoltaic device with highly resistive ZnO intermediate layer.

    PubMed

    Izaki, Masanobu; Chizaki, Ryo; Saito, Takamasa; Murata, Kazufumi; Sasano, Junji; Shinagawa, Tsutomu

    2013-10-09

    We report a hybrid photovoltaic device composed of a 3.3 eV bandgap zinc oxide (ZnO) semiconductor and metal-free phthalocyanine layers and the effects of the insertion of the highly resistive ZnO buffer layer on the electrical characteristics of the rectification feature and photovoltaic performance. The hybrid photovoltaic devices have been constructed by electrodeposition of the 300 nm thick ZnO layer in a simple zinc nitrate aqueous solution followed by vacuum evaporation of 50-400 nm thick-phthalocyanine layers. The ZnO layers with the resistivity of 1.8 × 10(3) and 1 × 10(8) Ω cm were prepared by adjusting the cathodic current density and were installed into the hybrid photovoltaic devices as the n-type and buffer layer, respectively. The phthalocyanine layers with the characteristic monoclinic lattice showed a characteristic optical absorption feature regardless of the thickness, but the preferred orientation changed depending on the thickness. The ZnO buffer-free hybrid 50 nm thick phthalocyanine/n-ZnO photovoltaic device showed a rectification feature but possessed a poor photovoltaic performance with a conversion efficiency of 7.5 × 10(-7) %, open circuit voltage of 0.041 V, and short circuit current density of 8.0 × 10(-5) mA cm(-2). The insertion of the ZnO buffer layer between the n-ZnO and phthalocyanine layers induced improvements in both the rectification feature and photovoltaic performance. The excellent rectification feature with a rectification ratio of 3188 and ideally factor of 1.29 was obtained for the hybrid 200 nm thick phthalocyanine/ZnO buffer/n-ZnO photovoltaic device, and the hybrid photovoltaic device possessed an improved photovoltaic performance with the conversion efficiency of 0.0016%, open circuit voltage of 0.31 V, and short circuit current density of 0.015 mA cm(-2).

  5. The behavior of nanothermite reaction based on Bi2O3/Al

    NASA Astrophysics Data System (ADS)

    Wang, L.; Luss, D.; Martirosyan, K. S.

    2011-10-01

    We studied the impact of aluminum particle size and the thickness of surrounding alumina layer on the dynamic pressure discharge of nanothermite reactions in the Bi2O3/Al system. A pressure discharge from 9 to 13 MPa was generated using as-synthesized Bi2O3 nano-particles produced by combustion synthesis and Al nanoparticles with size from 3 μm to 100 nm. The maximum reaction temperature was measured to be ˜2700 °C. The estimated activation energy of the reaction was 45 kJ/mol. A very large (several orders of magnitude) difference existed between the rate of the pressure pulse release by nanothermite reactions and by thermite reactions with large aluminum particles. The maximum observed pressurization rate was 3200 GPa/s. The time needed to reach the peak pressure was 0.01 ms and 100 ms for aluminum particles with diameter of 100 nm and 70 microns, respectively. The discharge pressure was a monotonic decreasing function of the thickness of the surrounding alumina layer.

  6. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  7. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    NASA Astrophysics Data System (ADS)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  8. SrZnO nanostructures grown on templated <0001> Al2O3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on <0001>Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  9. Temperature dependence of the dielectric response of anodized Al-Al2O3-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2003-03-01

    The temperature dependence of capacitance, CM, and conductance, GM, of Al-Al2O3-metal capacitors with Cu, Ag, and Au electrodes has been measured between 100 and 340 K at seven frequencies between 10 kHz and 1 MHz. Al2O3 films between 15 and 64 nm thick were formed by anodizing evaporated Al films in borate-glycol or borate-H2O electrolyte. The interface capacitance at the Al2O3-metal interface, CI, which is in series with the capacitance CD due to the Al2O3 dielectric, is determined from plots of 1/CM versus insulator thickness. CI is not fixed for a given metal-insulator interface but depends on the vacuum system used to deposit the metal electrode. CI is nearly temperature independent. When CI is taken into account the dielectric constant of Al2O3 determined from capacitance measurements is ˜8.3 at 295 K. The dielectric constant does not depend on anodizing electrolyte, insulator thickness, metal electrode, deposition conditions for the metal electrode or measurement frequency. By contrast, GM of Al-Al2O3-metal capacitors depends on both the deposition conditions of the metal and on the metal. For Al-Al2O3-Cu capacitors, GM is larger for capacitors with large values of 1/CI that result when Cu is evaporated in an oil-pumped vacuum system. For Al-Al2O3-Ag capacitors, GM does not depend on the Ag deposition conditions.

  10. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  11. Understanding the Relationship Between Structure and Thermophysical Properties of CaO-SiO2-MgO-Al2O3 Molten Slags

    NASA Astrophysics Data System (ADS)

    Sun, Yongqi; Wang, Hao; Zhang, Zuotai

    2018-04-01

    In the present work, the relationship between the microscopic structure and macroscopic thermophysical properties in a basic CaO-SiO2-MgO-Al2O3 quaternary system was identified using Fourier transformation infrared, Raman and 27Al magic angular spinning nuclear magnetic resonance (MAS-NMR) techniques. The Raman spectra quantitatively proved that with increasing Al2O3 content, the concentrations of the symmetric units of Q 0(Si) and Q 2(Si) decreased, while those of the asymmetric units of Q 1(Si) and Q 3(Si) increased; consequently, the degree of polymerization of the networks increased, which resulted in an increase in slag viscosity. The 27Al MAS-NMR spectra demonstrated that three structural units of Al atoms, namely, AlO4, AlO5, and AlO6, mainly existed in the networks. With increasing Al2O3 content, the concentration of AlO4 slightly decreased, while those of AlO5 and AlO6 increased; overall, Al2O3 acted as a network former in the present system. The increasing Al2O3 content led to additional AlO6 and Si-NBO-Ca-NBO-Al frameworks, which replaced Si-NBO-Ca-NBO-Si in the networks (NBO: non-bridging oxygen) and induced a change in the primarily precipitated crystalline phase from Ca2MgSi2O7 and Ca2Al2SiO7 to MgAlO4.

  12. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  13. Crystal orientation of monoclinic β-Ga2O3 thin films formed on cubic MgO substrates with a γ-Ga2O3 interfacial layer

    NASA Astrophysics Data System (ADS)

    Nakagomi, Shinji; Kokubun, Yoshihiro

    2017-12-01

    The crystal orientation relationship between β-Ga2O3 and MgO in β-Ga2O3 thin films prepared on (1 0 0), (1 1 1), and (1 1 0) MgO substrates was investigated by X-ray diffraction measurements and cross-sectional transmission electron microscopy images. The γ-Ga2O3 interfacial layer was present between β-Ga2O3 and MgO acted as a buffer to connect β-Ga2O3 on MgO. The following conditions were satisfied under each case: β-Ga2O3 (1 0 0)||MgO (1 0 0) and β-Ga2O3 [0 0 1]||MgO 〈0 1 1〉 for the formation of β-Ga2O3 on (1 0 0) MgO, and β-Ga2O3 (2 bar 0 1)||MgO (1 1 1) for the formation of β-Ga2O3 on (1 1 1) MgO, as well as each condition of β-Ga2O3 [0 1 0] (1 0 0)||MgO [ 1 bar 1 0 ] (0 0 1), β-Ga2O3 [0 1 0] (1 0 0)||MgO [ 0 1 bar 1 ] (1 0 0), and β-Ga2O3 [0 1 0] (1 0 0)||MgO [ 1 0 1 bar ] (0 1 0). β-Ga2O3 (1 bar 0 2)||MgO(1 1 0) and β-Ga2O3 [0 1 0] ⊥ MgO [0 0 1] for β-Ga2O3 formed on (1 1 0) MgO. The β-Ga2O3 formed on (1 1 1) MgO at 800 °C exhibited a threefold structure. The β-Ga2O3 formed on (1 1 0) MgO had a twofold structure but different by 90° from the result reported previously.

  14. Enhanced Sintering of β"-Al2O3/YSZ with the Sintering Aids of TiO2 and MnO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaochuan; Li, Guosheng; Kim, Jin Yong

    2015-07-11

    β"-Al2O3 has been the dominated choice for the electrolyte materials of sodium batteries because of its high ionic conductivity, excellent stability with the electrode materials, satisfactory mechanical strength, and low material cost. To achieve adequate electrical and mechanical performance, sintering of β"-Al2O3 is typically carried out at temperatures above 1600oC with deliberate efforts on controlling the phase, composition, and microstructure. Here, we reported a simple method to fabricate β"-Al2O3/YSZ electrolyte at relatively lower temperatures. With the starting material of boehmite, single phase of β"-Al2O3 can be achieved at as low as 1200oC. It was found that TiO2 was extremely effectivemore » as a sintering aid for the densification of β"-Al2O3 and similar behavior was observed with MnO2 for YSZ. With the addition of 2 mol% TiO2 and 5 mol% MnO2, the β"-Al2O3/YSZ composite was able to be densified at as low as 1400oC with a fine microstructure and good electrical/mechanical performance. This study demonstrated a new approach of synthesis and sintering of β"-Al2O3/YSZ composite, which represented a simple and low-cost method for fabrication of high-performance β"-Al2O3/YSZ electrolyte.« less

  15. Effect of InSb/In0.9Al0.1Sb superlattice buffer layer on the structural and electronic properties of InSb films

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaomeng; Zhang, Yang; Guan, Min; Cui, Lijie; Wang, Baoqiang; Zhu, Zhanping; Zeng, Yiping

    2017-07-01

    The effect of InSb/In0.9Al0.1Sb buffer layers on InSb thin films grown on GaAs (0 0 1) substrate by molecular beam epitaxy (MBE) is investigated. The crystal quality and the surface morphology of InSb are characterized by XRD and AFM. The carrier transport property is researched through variable temperature hall test. The sharp interface between InSb/In0.9Al0.1Sb is demonstrated important for the high quality InSb thin film. We try different superlattice buffer layers by changing ratios, 2-0.5, thickness, 300-450 nm, and periods, 20-50. According to the function of the dislocation density to the absolute temperature below 150 K with different periods of SL buffers, we can find that the number of periods of superlattice is a major factor to decrease the density of threading dislocations. With the 50 periods SL buffer layer, the electron mobility of InSb at the room temperature and liquid nitrogen cooling temperature is ∼63,000 and ∼4600 cm2/V s, respectively. We deduce that the interface in the SL structure works as a filter layer to prevent the dislocation propagating to the upper InSb thin films.

  16. Microwave dielectric properties of CaCu3Ti4O12-Al2O3 composite

    NASA Astrophysics Data System (ADS)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Karim, Saniah Ab; Zaman, Rosyaini Afindi; Ain, Mohd Fadzil; Ahmad, Zainal Arifin; Mohamed, Julie Juliewatty

    2016-07-01

    (1-x)CaCu3Ti4O12 + (x)Al2O3 composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO3, CuO and TiO2 powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al2O3 were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sintered samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl2O4 and Corundum (Al2O3) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al2O3 (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al2O3 (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al2O3 was reduced both dielectric loss and permittivity at least for an order of magnitude.

  17. Tensile Strength and Microstructure of Al2O3-ZrO2 Hypo-Eutectic Fibers Studied

    NASA Technical Reports Server (NTRS)

    Farmer, Serene C.; Sayir, Ali

    2001-01-01

    Oxide eutectics offer high-temperature strength retention and creep resistance in oxidizing environments. Al2O3-ZrO2 eutectic strengths have been studied since the 1970's. Directionally solidified oxide eutectics exhibit improved resistance to slow crack growth and excellent strength retention at high temperatures up to 1400 C. Materials studied typically contain Y2O3 to metastably retain the high-temperature cubic and tetragonal polymorphs at room temperature. Al2O3-ZrO2 is of fundamental interest for creep studies because it combines a creep-resistant material, Al2O3, with a very low creep resistance material, ZrO2. Results on mechanical properties and microstructures of these materials will be used to define compositions for creep testing in future work. Substantial variations from the eutectic alumina to zirconia ratio can be tolerated without a loss in room-temperature strength. The effect of increasing Y2O3 addition on the room-temperature tensile strength of an Al2O3-ZrO2 material containing excess Al2O3 was examined at the NASA Glenn Research Center, where the materials were grown using Glenn's world-class laser growth facilities.

  18. Investigation the influences of B2O3 and R2O on the structure and crystallization behaviors of CaO-Al2O3 based F-free mold flux

    NASA Astrophysics Data System (ADS)

    Li, Jiangling; Kong, Bowen; Gao, Xiangyu; Liu, Qingcai; Shu, Qifeng; Chou, Kuochih

    2018-04-01

    The influences of B2O3 and R2O on the structure and crystallization of CaO-Al2O3 based F-free mold flux were investigated by Raman Spectroscopy and Differential Scanning Calorimetry Technique, respectively, for developing a new type of F-free mold flux. The results of structural investigations showed that B3+ is mainly in the form of [BO3]. And [BO3] appears to form BIII-O-Al linkage which will produce a positive effect on forming [AlO4] network. The number of bridging oxygen and the degree of polymerization of [AlO4] network structure for CaO-Al2O3 system were also increased with the increasing of B2O3. On the contrary, with the addition of R2O into CaO-Al2O3-B2O3 system, the number of bridging oxygen and the degree of polymerization of [AlO4] network were decreased. DSC results showed that the crystallization process became more sluggish with the increase of B2O3, which indicated that the crystallization ability was weakened. While the quenched mold fluxes crystallized more rapidly when introducing R2O. In other word, the crystallization rates of CaO-Al2O3 based slags were accelerated by the introduction of R2O. The liquidus temperature and crystallization temperature were decreased with the increasing amount of B2O3 or by addition of R2O into CaO-Al2O3 system. Only one kind of crystal was precipitated in 8% B2O3 and %R2O-containing samples, which was CaAl2O4 identified by SEM-EDS. When the content of B2O3 increased from 8% to 16%, Ca3B2O6 is clearly observed, demonstrating that the crystallization ability of Ca3B2O6 is enhanced by the increasing concentration of B2O3 in mold flux. The Ca/Al ratio of the generated calcium aluminate has been altered from 1:2 to 1:4 with the increasing of B2O3. The size of CaAl2O4 crystal is gradually increased with the addition of R2O. The crystallization ability of CaAl2O4 is promoted by R2O.

  19. Electron Mobility in γ -Al2O3/SrTiO3

    NASA Astrophysics Data System (ADS)

    Christensen, D. V.; Frenkel, Y.; Schütz, P.; Trier, F.; Wissberg, S.; Claessen, R.; Kalisky, B.; Smith, A.; Chen, Y. Z.; Pryds, N.

    2018-05-01

    One of the key issues in engineering oxide interfaces for electronic devices is achieving high electron mobility. SrTiO3 -based interfaces with high electron mobility have gained a lot of interest due to the possibility of combining quantum phenomena with the many functionalities exhibited by SrTiO3 . To date, the highest electron mobility (140 000 cm2/V s at 2 K) is obtained by interfacing perovskite SrTiO3 with spinel γ -Al2O3 . The origin of the high mobility, however, remains poorly understood. Here, we investigate the scattering mechanisms limiting the mobility in γ -Al2O3/SrTiO3 at temperatures between 2 and 300 K and over a wide range of sheet carrier densities. For T >150 K , we find that the mobility is limited by longitudinal optical phonon scattering. For large sheet carrier densities (>8 ×1013 cm-2 ), the screened electron-phonon coupling leads to room-temperature mobilities up to μ ˜12 cm2/V s . For 5 K 2 , consistent with electron-electron scattering limiting the electron mobility. For T <5 K and at an optimal sheet carrier density of approximately 4 ×1014 cm-2 , the electron mobility is found to exceed 100 000 cm2/V s . At sheet carrier densities less than the optimum, the electron mobility decreases rapidly, and the current flow becomes highly influenced by domain walls and defects in the near-interface region of SrTiO3 . At carrier densities higher than the optimum, the SrTiO3 heterostructure gradually becomes bulk conducting, and the electron mobility decreases to approximately 20 000 cm2/V s . We argue that the high electron mobility observed arises from a spatial separation of donors and electrons with oxygen-vacancy donors preferentially forming at the interface, whereas the itinerant electrons extend deeper into SrTiO3 . Understanding the scattering mechanism in γ -Al2O3/SrTiO3 paves the way for creation of high-mobility nanoscale electronic devices.

  20. Fermi Level Unpinning of GaSb (100) using Plasma Enhanced Atomic Layer Deposition of Al2O3

    DTIC Science & Technology

    2010-01-01

    of high-/GaSb semiconductor interface. GaSb has a highly reactive surface and on exposure to air it will form a native oxide layer composed of Ga2O3 ...and Sb2O3 2GaSb+3O2→ Ga2O3 +Sb2O3. The Sb2O3 can fur- ther react with the GaSb surface forming elemental Sb and Ga2O3 Sb2O3+2GaSb→ Ga2O3 +4Sb.5,6...rights_and_permissions mentioned before, Sb2O3 reacts with GaSb forming Ga2O3 and elemental Sb.6 The kinetics of this reaction is enhanced at higher temperatures200 °C.14

  1. Electrodeposition of ZnO-doped films as window layer for Cd-free CIGS-based solar cells

    NASA Astrophysics Data System (ADS)

    Tsin, Fabien; Vénérosy, Amélie; Hildebrandt, Thibaud; Hariskos, Dimitrios; Naghavi, Negar; Lincot, Daniel; Rousset, Jean

    2016-02-01

    The Cu(In,Ga)Se2 (CIGS) thin film solar cell technology has made a steady progress within the last decade reaching efficiency up to 22.3% on laboratory scale, thus overpassing the highest efficiency for polycrystalline silicon solar cells. High efficiency CIGS modules employ a so-called buffer layer of cadmium sulfide CdS deposited by Chemical Bath Deposition (CBD), which presence and Cd-containing waste present some environmental concerns. A second potential bottleneck for CIGS technology is its window layer made of i-ZnO/ZnO:Al, which is deposited by sputtering requiring expensive vacuum equipment. A non-vacuum deposition of transparent conductive oxide (TCO) relying on simpler equipment with lower investment costs will be more economically attractive, and could increase competitiveness of CIGS-based modules with the mainstream silicon-based technologies. In the frame of Novazolar project, we have developed a low-cost aqueous solution photo assisted electrodeposition process of the ZnO-based window layer for high efficiency CIGS-based solar cells. The window layer deposition have been first optimized on classical CdS buffer layer leading to cells with efficiencies similar to those measured with the sputtered references on the same absorber (15%). The the optimized ZnO doped layer has been adapted to cadmium free devices where the CdS is replaced by chemical bath deposited zinc oxysulfide Zn(S,O) buffer layer. The effect of different growth parameters has been studied on CBD-Zn(S,O)-plated co-evaporated Cu(In,Ga)Se2 substrates provided by the Zentrum für Sonnenenergie-und Wasserstoff-Forschung (ZSW). This optimization of the electrodeposition of ZnO:Cl on CIGS/Zn(S,O) stacks led to record efficiency of 14%, while the reference cell with a sputtered (Zn,Mg)O/ZnO:Al window layer has an efficiency of 15.2%.

  2. Controlling the preferential orientation in sol-gel prepared CaCu3Ti4O12 thin films by LaAlO3 and NdGaO3 substrates

    NASA Astrophysics Data System (ADS)

    Pongpaiboonkul, Suriyong; Kasa, Yumairah; Phokharatkul, Ditsayut; Putasaeng, Bundit; Hodak, Jose H.; Wisitsoraat, Anurat; Hodak, Satreerat K.

    2016-11-01

    Researchers have paid considerable attention to CaCu3Ti4O12 (CCTO) due to the colossal dielectric constant over a wide range of frequency and temperature. Despite of the growing number of works dealing with CCTO, there have been few studies of the role played by the substrate in inducing structural and dielectric effects of this material. In this work, highly-oriented CCTO thin films have been deposited on LaAlO3(100), NdGaO3(100) and NdGaO3(110) substrates using a sol-gel method. These single crystal substrates were chosen in terms of small lattice mismatch between CCTO and the substrate. The X-ray diffraction patterns showed that the CCTO film layers grow with different orientations depending upon the substrate used. We show that the preferred orientation of CCTO thin films can be manipulated to a high degree by growing it on specific crystal planes of the substrates without the use of buffer layers. Colossal dielectric constants are observed in our films which appear to correlate with the film crystallinity and preferred orientation.

  3. Microstructural Evolution of Al2O3-ZrO2 (Y2O3) Composites and its Correlation with Toughness

    NASA Astrophysics Data System (ADS)

    Kim, Hee Seung; Seo, Mi Young; Kim, Ik Jin

    2008-02-01

    The microstructure of zirconia (ZrO2) toughened alumina (Al2O3) ceramics was carefully controlled so as to obtain dense and fine-grained ceramics, thereby improving the properties and reliability of the ceramics for capillary applications in semiconductor bonding technology. Al2O3-ZrO2(Y2O3) composite was produced via Ceramic Injection Molding (CIM) technology, followed by Sinter-HIP process. Room temperature strength, hardness, Young's modulus, thermal expansion coefficient and toughness were determined, as well as surface strengthening induced by the fine grained homogenous microstructure and the thermal treatment. The changes in alumina/zirconia grain size, sintering condition and HIP treatment were found to be correlated.

  4. Photochemistry of the α-Al 2O 3-PETN interface

    DOE PAGES

    Tsyshevsky, Roman V.; Zverev, Anton; Mitrofanov, Anatoly; ...

    2016-02-29

    Optical absorption measurements are combined with electronic structure calculations to explore photochemistry of an α-Al 2O 3-PETN interface formed by a nitroester (pentaerythritol tetranitrate, PETN, C 5H 8N 4O 12) and a wide band gap aluminum oxide (α-Al 2O 3) substrate. The first principles modeling is used to deconstruct and interpret the α-Al 2O 3-PETN absorption spectrum that has distinct peaks attributed to surface F 0-centers and surfacePETN transitions. We predict the low energy α-Al 2O 3 F 0-centerPETN transition, producing the excited triplet state, and α-Al 2O 3 F- 0-centerPETN charge transfer, generating the PETN anion radical. This impliesmore » that irradiation by commonly used lasers can easily initiate photodecomposition of both excited and charged PETN at the interface. As a result, the feasible mechanism of the photodecomposition is proposed.« less

  5. In situ oligomerization of 2-(thiophen-3-yl)acetate intercalated into Zn{sub 2}Al layered double hydroxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tronto, Jairo, E-mail: jairotronto@ufv.br; Pinto, Frederico G.; Costa, Liovando M. da

    2015-01-15

    A layered double hydroxide (LDH) with cation composition Zn{sub 2}Al was intercalated with 2-(thiophen-3-yl)acetate (3-TA) monomers. To achieve in situ polymerization and/or oligomerization of the intercalated monomers, soft thermal treatments were carried out, and subsequent hybrid LDH materials were analyzed by means of several characterization techniques using powder X-ray diffraction (PXRD), Fourier transform infrared spectroscopy (FTIR), thermogravimetric analysis (TGA), {sup 13}C CP–MAS nuclear magnetic resonance (NMR), electron spin resonance (EPR), scanning electron microscopy (SEM), and transmission electron microscopy (TEM), inductively coupled plasma optical emission spectroscopy (ICP–OES), and elemental analysis. PXRD analysis suggested that the intercalated monomers formed a bilayer. Thermalmore » treatment of the hybrid LDH assembly above 120 °C provokes partially the breakdown of the layered structure, generating the phase zincite. EPR results indicated that vicinal monomers (oligomerization) were bound to each other after hydrothermal or thermal treatment, leading to a polaron response characteristic of electron conductivity localized on a restricted number of thiophene-based monomer segments. Localized unpaired electrons exist in the material and interact with the {sup 27}Al nuclei of the LDH layers by superhyperfine coupling. These unpaired electrons also interact with the surface of ZnO (O{sup 2−} vacancies), formed during the thermal treatments. - Graphical abstract: We synthesized a layered double hydroxide (LDH) with cation composition Zn{sub 2}Al, intercalated with 2-(thiophen-3-yl)acetate (3-TA) monomers, by coprecipitation at constant pH. We thermally treated the material, to achieve in situ polymerization and/or oligomerization of the intercalated monomers. - Highlights: • A Zn{sub 2}Al–LDH was intercalated with 2-(thiophen-3-yl)acetate monomers. • To achieve in situ oligomerization of the monomers, thermal treatments were

  6. TiO2 and Al2O3 promoted Pt/C nanocomposites as low temperature fuel cell catalysts for electro oxidation of methanol in acidic media

    NASA Astrophysics Data System (ADS)

    Naeem, Rabia; Ahmed, Riaz; Shahid Ansari, Muhammad

    2014-06-01

    Carbon corrosion and platinum dissolution are the two major catalyst layer degradation problems in polymer electrolyte membrane fuel cells (PEMFC). Ceramic addition can reduce the corrosion of carbon and increase the stability of catalysts. Pt/TiO2, Pt/TiO2-C, Pt/Al2O3 and Pt/Al2O3-C catalysts were synthesized and characterized. Electrochemical surface area of Pt/TiO2-C and Pt/Al2O3-C nanocomposite catalysts was much higher than the Pt/TiO2 and Pt/Al2O3 catalysts. Peak current, specific activity and mass activity of the catalysts was also determined by cyclic voltammetry and were much higher for the carbon nanocomposites. Exchange current densities were determined from Tafel plots. Heterogeneous rates of reaction of electro oxidation of methanol were determined for all the catalysts and were substantially higher for titania catalysts as compared to alumina added catalysts. Mass activity of Pt/TiO2-C was much higher than mass activity of Pt/Al2O3-C. Stability studies showed that addition of ceramics have increased the catalytic activity and durability of the catalysts considerably.

  7. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  8. Highly Flexible and Transparent Ag Nanowire Electrode Encapsulated with Ultra-Thin Al2O3: Thermal, Ambient, and Mechanical Stabilities

    PubMed Central

    Hwang, Byungil; An, Youngseo; Lee, Hyangsook; Lee, Eunha; Becker, Stefan; Kim, Yong-Hoon; Kim, Hyoungsub

    2017-01-01

    There is an increasing demand in the flexible electronics industry for highly robust flexible/transparent conductors that can withstand high temperatures and corrosive environments. In this work, outstanding thermal and ambient stability is demonstrated for a highly transparent Ag nanowire electrode with a low electrical resistivity, by encapsulating it with an ultra-thin Al2O3 film (around 5.3 nm) via low-temperature (100 °C) atomic layer deposition. The Al2O3-encapsulated Ag nanowire (Al2O3/Ag) electrodes are stable even after annealing at 380 °C for 100 min and maintain their electrical and optical properties. The Al2O3 encapsulation layer also effectively blocks the permeation of H2O molecules and thereby enhances the ambient stability to greater than 1,080 h in an atmosphere with a relative humidity of 85% at 85 °C. Results from the cyclic bending test of up to 500,000 cycles (under an effective strain of 2.5%) confirm that the Al2O3/Ag nanowire electrode has a superior mechanical reliability to that of the conventional indium tin oxide film electrode. Moreover, the Al2O3 encapsulation significantly improves the mechanical durability of the Ag nanowire electrode, as confirmed by performing wiping tests using isopropyl alcohol. PMID:28128218

  9. Ion blocking dip shape analysis around a LaAlO3/SrTiO3 interface

    NASA Astrophysics Data System (ADS)

    Jalabert, D.; Zaid, H.; Berger, M. H.; Fongkaew, I.; Lambrecht, W. R. L.; Sehirlioglu, A.

    2018-05-01

    We present an analysis of the widths of the blocking dips obtained in MEIS ion blocking experiments of two LaAlO3/SrTiO3 heterostructures differing in their LaAlO3 layer thicknesses. In the LaAlO3 layers, the observed blocking dips are larger than expected. This enlargement is the result of the superposition of individual dips at slightly different angular positions revealing a local disorder in the atomic alignment, i.e., layer buckling. By contrast, in the SrTiO3 substrate, just below the interface, the obtained blocking dips are thinner than expected. This thinning indicates that the blocking atoms stand at a larger distance from the scattering center than expected. This is attributed to an accumulation of Sr vacancies at the layer/substrate interface which induces lattice distortions shifting the atoms off the scattering plane.

  10. Epitaxial growth of (111)-oriented BaTiO3/SrTiO3 perovskite superlattices on Pt(111)/Ti/Al2O3(0001) substrates

    NASA Astrophysics Data System (ADS)

    Panomsuwan, Gasidit; Takai, Osamu; Saito, Nagahiro

    2013-09-01

    Symmetric BaTiO3/SrTiO3 (BTO/STO) superlattices (SLs) were epitaxially grown on Pt(111)/Ti/Al2O3(0001) substrates with various modulation periods (Λ = 4.8 - 48 nm) using double ion beam sputter deposition. The BTO/STO SLs exhibit high (111) orientation with two in-plane orientation variants related by a 180° rotation along the [111]Pt axis. The BTO layer is under an in-plane compressive state, whereas the STO layer is under an in-plane tensile state due to the effect of lattice mismatch. A remarkable enhancement of dielectric constant is observed for the SL with relatively small modulation period, which is attributed to both the interlayer biaxial strain effect and the Maxwell-Wagner effect.

  11. Bonding Cu to Al2O3 with Bi-B-Zn Oxide Glass Via Oxidation-Reduction Reaction

    NASA Astrophysics Data System (ADS)

    Chen, Jianqiang; Li, Yufeng; Miao, Weiliang; Mai, Chengle; Li, Mingyu

    2018-01-01

    Bonding Cu on Al2O3 is a key and difficult technology applied in high-power semiconductor devices. A method proposed in this work investigates bonding with a kind of Bi-B-Zn oxide glass powder paste as a solder. Oxidation-reduction reactions between the Cu plate and the solder took place and generated Bi metal during the joining procedure. With an increase in the joining temperature, the tensile strength increased due to the increase of Bi metal formation. The Bi metal played an important role in joining Cu and Al2O3 because of its much better wettability on Cu than that of the oxides. A compound ZnAl2O4 was observed to form between the Al2O3 ceramic and oxide layer, which strengthened the bond.

  12. Preparation of MgO-SnO2-TiO2 Materials and Their Corrosion in Na3AlF6-AlF3-K3AlF6 Bath

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Sang, Shaobai; Ren, Bo; Qin, Qingwei; Yang, Jianhong

    2015-01-01

    New types of refractory materials need to be developed for designing the so-called ledge-free sidewalls of the Hall-Héroult cell for aluminum extraction, which are currently constructed using Si3N4 bonded SiC refractories. In the present paper, MgO-based materials as potential candidate sidewalls were prepared using fused magnesia, tin dioxide, and anatase powder as starting materials. The reaction sintering process of the MgO-SnO2-TiO2 materials was investigated by means of X-ray diffraction and scanning electron microscope (SEM). All the specimens were corroded in a Na3AlF6-AlF3-K3AlF6 bath to assess the electrolyte corrosion resistance. The results show that reaction sintering occurs in the MgO-SnO2-TiO2 system in the range of 1373 K to 1873 K (1100 °C to 1600 °C). Firstly, MgO reacts separately with TiO2 and SnO2 to produce the Mg2TiO4 and Mg2SnO4 phases at 1373 K (1100 °C), which in turn react to form the Mg2Ti x Sn1-x O4 composite spinel at temperatures above 1373 K (1100 °C). All the specimens prepared are composed of the composite spinel and periclase phases. Increasing the SnO2 addition from 2 to 10 wt pct enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed composite spinels in the MgO matrix, but the density of the specimen decreases when the amount of SnO2 added is higher than 10 wt pct due to larger volume expansion and agglomeration of the composite spinel. The MgO-SnO2-TiO2 refractories prepared exhibit good corrosion resistance to the electrolyte melts owing to their high density and formation of the composite spinel in the specimens. Their corrosion resistance increases progressively with the increase in the SnO2 addition owing to the formation of more chemically stable composite spinel.

  13. Effect of Er3+ concentration on the luminescence properties of Al2O3-ZrO2 powder

    NASA Astrophysics Data System (ADS)

    Clabel H., J. L.; Rivera, V. A. G.; Nogueira, I. C.; Leite, E. R.; Siu Li, M.; Marega, E.

    2016-12-01

    This manuscript reports on the effects of the luminescence properties of Er3+ on Al2O3-ZrO2 powder synthesized by the conventional solid-state method. The best conditions found for the calcinations were 1500 °C and 4 h. The structural dependence of the luminescence on Er3+:Al2O3-ZrO2 is associated with phase transformations of the Al2O3-ZrO2 host and presence of the OH group. Green and red emissions at room temperature from the 2H11/2, 4S3/2 → 4I15/2 and 4F9/2 → 4I15/2 levels of Er3+ ions were observed under 482 nm pumping. The green-to-red emission intensity ratios and CIE chromaticity coordinates were determined from emission spectra for the evaluation of light emitted as a function of the Er3+ concentration. The Er3+ luminescence quenching due to group OH and variation in the Er3+ concentration plays an important role in the definition of the luminescent response.

  14. Effect of Al2O3sf addition on the friction and wear properties of (SiCp+Al2O3sf)/Al2024 composites fabricated by pressure infiltration

    NASA Astrophysics Data System (ADS)

    Xu, Hui; Zhang, Gong-zhen; Cui, Wei; Ren, Shu-bin; Wang, Qian-jin; Qu, Xuan-hui

    2018-03-01

    Aluminum (Al) 2024 matrix composites reinforced with alumina short fibers (Al2O3sf) and silicon carbide particles (SiCp) as wear-resistant materials were prepared by pressure infiltration in this study. Further, the effect of Al2O3sf on the friction and wear properties of the as-synthesized composites was systematically investigated, and the relationship between volume fraction and wear mechanism was discussed. The results showed that the addition of Al2O3sf, characterized by the ratio of Al2O3sf to SiCp, significantly affected the properties of the composites and resulted in changes in wear mechanisms. When the volume ratio of Al2O3sf to SiCp was increased from 0 to 1, the rate of wear mass loss ( K m) and coefficients of friction (COFs) of the composites decreased, and the wear mechanisms were abrasive wear and furrow wear. When the volume ratio was increased from 1 to 3, the COF decreased continuously; however, the K m increased rapidly and the wear mechanism became adhesive wear.

  15. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vu, Hien Thu; Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn; Inorganic Materials Science

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectricmore » properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity

  16. Ion-beam irradiation of lanthanum compounds in the systems La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whittle, Karl R., E-mail: karl.whittle@ansto.gov.a; Lumpkin, Gregory R.; Blackford, Mark G.

    2010-10-15

    Thin crystals of La{sub 2}O{sub 3}, LaAlO{sub 3}, La{sub 2/3}TiO{sub 3}, La{sub 2}TiO{sub 5}, and La{sub 2}Ti{sub 2}O{sub 7} have been irradiated in situ using 1 MeV Kr{sup 2+} ions at the Intermediate Voltage Electron Microscope-Tandem User Facility (IVEM-Tandem), Argonne National Laboratory (ANL). We observed that La{sub 2}O{sub 3} remained crystalline to a fluence greater than 3.1x10{sup 16} ions cm{sup -2} at a temperature of 50 K. The four binary oxide compounds in the two systems were observed through the crystalline-amorphous transition as a function of ion fluence and temperature. Results from the ion irradiations give critical temperatures for amorphisationmore » (T{sub c}) of 647 K for LaAlO{sub 3}, 840 K for La{sub 2}Ti{sub 2}O{sub 7}, 865 K for La{sub 2/3}TiO{sub 3}, and 1027 K for La{sub 2}TiO{sub 5}. The T{sub c} values observed in this study, together with previous data for Al{sub 2}O{sub 3} and TiO{sub 2}, are discussed with reference to the melting points for the La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2} systems and the different local environments within the four crystal structures. Results suggest that there is an observable inverse correlation between T{sub c} and melting temperature (T{sub m}) in the two systems. More complex relationships exist between T{sub c} and crystal structure, with the stoichiometric perovskite LaAlO{sub 3} being the most resistant to amorphisation. - Graphical abstract: La{sub 2}TiO{sub 5} with atypical co-ordination for Ti, TiO{sub 5} is found to be different in radiation resistance to La{sub 2}Ti{sub 2}O{sub 7} and La{sub 2/3}TiO{sub 3}. Irradiation of La-Ti-O, and La-Al-O based systems has found that radiation damage resistance is related to the ability of the system to disorder.« less

  17. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  18. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of 2/Inx(OH)ySz/porous TiO2/compact TiO2/florin-doped tin-oxide-coated glass plates> have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  19. Density Measurements of Low Silica CaO-SiO2-Al2O3 Slags

    NASA Astrophysics Data System (ADS)

    Muhmood, Luckman; Seetharaman, Seshadri

    2010-08-01

    Density measurements of a low-silica CaO-SiO2-Al2O3 system were carried out using the Archimedes principle. A Pt 30 pct Rh bob and wire arrangement was used for this purpose. The results obtained were in good agreement with those obtained from the model developed in the current group as well as with other results reported earlier. The density for the CaO-SiO2 and the CaO-Al2O3 binary slag systems also was estimated from the ternary values. The extrapolation of density values for high-silica systems also showed good agreement with previous works. An estimation for the density value of CaO was made from the current experimental data. The density decrease at high temperatures was interpreted based on the silicate structure. As the mole percent of SiO2 was below the 33 pct required for the orthosilicate composition, discrete {text{SiO}}4^{4 - } tetrahedral units in the silicate melt would exist along with O2- ions. The change in melt expansivity may be attributed to the ionic expansions in the order of {text{Al}}^{ 3+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ - } Structural changes in the ternary slag also could be correlated to a drastic change in the value of enthalpy of mixing.

  20. Effects of nano-YAG (Y 3Al 5O 12) crystallization on the structure and photoluminescence properties of Nd 3+-doped K 2O-SiO 2-Y 2O 3-Al 2O 3 glasses

    NASA Astrophysics Data System (ADS)

    Tarafder, Anal; Molla, Atiar Rahaman; Karmakar, Basudeb

    2010-10-01

    Nd 3+-doped precursor glass in the K 2O-SiO 2-Y 2O 3-Al 2O 3 (KSYA) system was prepared by the melt-quench technique. The transparent Y 3Al 5O 12 (YAG) glass-ceramics were derived from this glass by a controlled crystallization process at 750 °C for 5-100 h. The formation of YAG crystal phase, size and morphology with progress of heat-treatment was examined by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Fourier transformed infrared reflectance spectroscopy (FT-IRRS). The crystallite sizes obtained from XRD are found to increase with heat-treatment time and vary in the range 25-40 nm. The measured photoluminescence spectra have exhibited emission transitions of 4F 3/2 → 4I J ( J = 9/2, 11/2 and 13/2) from Nd 3+ ions upon excitation at 829 nm. It is observed that the photoluminescence intensity and excited state lifetime of Nd 3+ ions decrease with increase in heat-treatment time. The present study indicates that the incorporation of Nd 3+ ions into YAG crystal lattice enhance the fluorescence performance of the glass-ceramic nanocomposites.

  1. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Astrophysics Data System (ADS)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  2. Design and syntheses of hybrid metal–organic materials based on K{sub 3}[M(C{sub 2}O{sub 4}){sub 3}]·3H{sub 2}O [M(III)=Fe, Al, Cr] metallotectons

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Yayong; Zong, Yingxia; Ma, Haoran

    2016-05-15

    (C{sub 2}O{sub 4}){sub 3}]{sup 2−} layer, [KCr(C{sub 2}O{sub 4}){sub 3}]{sup 2−} layer and [NaFe(C{sub 2}O{sub 4}){sub 3}]{sup 2−} layer, respectively. - Graphical abstract: We report here eight novel complexes by using [M(C{sub 2}O{sub 4}){sub 3}]{sup 3−} [M(III)=Fe, Al, Cr] metallotectons as the starting materials. These complexes show supramolecular architectures bonded by charge-assisted hydrogen bonds.« less

  3. Grain size engineering for ferroelectric Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films by an insertion of Al{sub 2}O{sub 3} interlayer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Han Joon; Park, Min Hyuk; Kim, Yu Jin

    2014-11-10

    The degradation of ferroelectric (FE) properties of atomic layer deposited Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films with increasing thickness was mitigated by inserting 1 nm-thick Al{sub 2}O{sub 3} interlayer at middle position of the thickness of the FE film. The large P{sub r} of 10 μC/cm{sup 2}, which is 11 times larger than that of single layer Hf{sub 0.5}Zr{sub 0.5}O{sub 2} film with equivalent thickness, was achieved from the films as thick as 40 nm. The Al{sub 2}O{sub 3} interlayer could interrupt the continual growth of Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films, and the resulting decrease of grain size prevented the formation of non-ferroelectricmore » monoclinic phase. The Al{sub 2}O{sub 3} interlayer also largely decreased the leakage current of the Hf{sub 0.5}Zr{sub 0.5}O{sub 2} films.« less

  4. Electrical characteristics of proton-irradiated Sc2O3 passivated AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Luo, B.; Kim, Jihyun; Ren, F.; Gillespie, J. K.; Fitch, R. C.; Sewell, J.; Dettmer, R.; Via, G. D.; Crespo, A.; Jenkins, T. J.; Gila, B. P.; Onstine, A. H.; Allums, K. K.; Abernathy, C. R.; Pearton, S. J.; Dwivedi, R.; Fogarty, T. N.; Wilkins, R.

    2003-03-01

    Sc2O3-passivated AlGaN/GaN high electron mobility transistors (HEMTs) were irradiated with 40 MeV protons to a fluence corresponding to approximately 10 years in low-earth orbit (5×109 cm-2). Devices with an AlGaN cap layer showed less degradation in dc characteristics than comparable GaN-cap devices, consistent with differences in average band energy. The changes in device performance could be attributed completely to bulk trapping effects, demonstrating that the effectiveness of the Sc2O3 layers in passivating surface states in the drain-source region was undiminished by the proton irradiation. Sc2O3-passivated AlGaN/HEMTs appear to be attractive candidates for space and terrestrial applications where resistance to high fluxes of ionizing radiation is a criteria.

  5. Development and Application of Binary Suspensions in the Ternary System Cr2O3-TiO2-Al2O3 for S-HVOF Spraying

    NASA Astrophysics Data System (ADS)

    Potthoff, Annegret; Kratzsch, Robert; Barbosa, Maria; Kulissa, Nick; Kunze, Oliver; Toma, Filofteia-Laura

    2018-04-01

    Compositions in the system Cr2O3-TiO2-Al2O3 are among the most used ceramic materials for thermally sprayed coating solutions. Cr2O3 coatings present good sliding wear resistance; Al2O3 coatings show excellent insulation behavior and TiO2 striking corrosion properties. In order to combine these properties, coatings containing more than one oxide are highly interesting. The conventional spraying process is limited to the availability of binary feedstock powders with defined compositions. The use of suspensions offers the opportunity for tailor-made chemical compositions: within the triangle of Cr2O3-TiO2-Al2O3, each mixture of oxides can be created. Criteria for the selection of raw materials as well as the relevant aspects for the development of binary suspensions in the Cr2O3-TiO2-Al2O3 system to be used as feedstock for thermal spraying are presented. This formulation of binary suspensions required the development of water-based single-oxide suspensions with suitable behavior; otherwise, the interaction between the particles while mixing could lead up to a formation of agglomerates, which affect both the stability of the spray process and the coating properties. For the validation of this formulation procedure, binary Cr2O3-TiO2 and Al2O3-TiO2 suspensions were developed and sprayed using the S-HVOF process. The binary coatings were characterized and discussed in terms of microstructure and microhardness.

  6. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  7. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    NASA Astrophysics Data System (ADS)

    Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou

    2016-12-01

    In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  8. Catalytic activity of CuOn-La2O3/gamma-Al2O3 for microwave assisted ClO2 catalytic oxidation of phenol wastewater.

    PubMed

    Bi, Xiaoyi; Wang, Peng; Jiang, Hong

    2008-06-15

    In order to develop a catalyst with high activity and stability for microwave assisted ClO2 catalytic oxidation, we prepared CuOn-La2O3/gamma-Al2O3 by impregnation-deposition method, and determined its properties using BET, XRF, XPS and chemical analysis techniques. The test results show that, better thermal ability of gamma-Al2O3 and high loading of Cu in the catalyst can be achieved by adding La2O3. The microwave assisted ClO2 catalytic oxidation process with CuOn-La2O3/gamma-Al2O3 used as catalyst was also investigated, and the results show that the catalyst has an excellent catalytic activity in treating synthetic wastewater containing 100 mg/L phenol, and 91.66% of phenol and 50.35% of total organic carbon (TOC) can be removed under the optimum process conditions. Compared with no catalyst process, CuOn-La2O3/gamma-Al2O3 can effectively degrade contaminants in short reaction time and with low oxidant dosage, extensive pH range. The comparison of phenol removal efficiency in the different process indicates that microwave irradiation and catalyst work together to oxidize phenol effectively. It can therefore be concluded from results and discussion that CuOn-La2O3/gamma-Al2O3 is a suitable catalyst in microwave assisted ClO2 catalytic oxidation process.

  9. Effect of Al gate on the electrical behaviour of Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Skeparovski, A.; Novkovski, N.; Atanassova, E.; Paskaleva, A.; Lazarov, V. K.

    2011-06-01

    The electrical behaviour of Al-doped Ta2O5 films on nitrided silicon and implemented in Al-gated MIS capacitors has been studied. The dopant was introduced into the Ta2O5 through its surface by deposing a thin Al layer on the top of Ta2O5 followed by an annealing process. The HRTEM images reveal that the initial double-layer structure of the stacks composed of doped Ta2O5 and interfacial SiON layer undergoes changes during the formation of the Al gate and transforms into a three-layer structure with an additional layer between the Al electrode and the doped Ta2O5. This layer, being a result of reaction between the Al gate and the Al-doped Ta2O5, affects the overall electrical properties of the stacks. Strong charge trapping/detrapping processes have been established in the vicinity of the doped Ta2O5/SiON interface resulting in a large C-V hysteresis effect. The charge trapping also influences the current conduction in the layers keeping the current density level rather low even at high electric fields (J < 10-6 A cm-2 at 7 MV cm-1). By employing a three-layer model of the stack, the permittivity of both, the Al-doped Ta2O5 and the additional layer, has been estimated and the corresponding conduction mechanisms identified.

  10. Effect of N2 annealing on AlZrO oxide

    NASA Astrophysics Data System (ADS)

    Pétry, J.; Richard, O.; Vandervorst, W.; Conard, T.; Chen, J.; Cosnier, V.

    2003-07-01

    In the path to the introduction of high-k dielectric into integrated circuit components, a large number of challenges has to be solved. Subsequent to the film deposition, the high-k film is exposed to additional high-temperature anneals for polycrystalline Si activation but also to improve its own electrical properties. Hence, concerns can be raised regarding the thermal stability of these stacks upon annealing. In this study, we investigated the effect of N2 annealing (700 to 900 °C) of atomic layer chemical vapor deposition AlZrO layers using x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (TOFSIMS), transmission electron microscopy (TEM), and Fourier transform infrared (FTIR) spectroscopy. The effect of the Si surface preparation [H-Si, 0.5 nm rapid thermal oxide (RTO), Al2O3] on the modification of the high-k oxide and the interfacial layer upon annealing was also analyzed. Compositional changes can be observed for all temperature and surface preparations. In particular, we observe a segregation of Al(oxide) toward the surface of the mixed oxide. In addition, an increase of the Si concentration in the high-k film itself can be seen with a diffusion profile extending toward the surface of the film. On the other hand, the modification of the interfacial layer is strongly dependent on the system considered. In the case of mixed oxide grown on 0.5 nm RTO, no differences are observed between the as-deposited layer and the layer annealed at 700 °C. At 800 °C, a radical change occurs: The initial RTO layer seems to be converted into a mixed layer composed of the initial SiO2 and Al2O3 coming from the mixed oxide, however without forming an Al-silicate layer. A similar situation is found for anneals at 900 °C, as well. When grown on 1.5 nm Al2O3 on 0.5 nm RTO, the only difference with the previous system is the observation of an Al-silicate fraction in the interfacial layer for the as-deposited and 700 °C annealed samples

  11. Hydrogen passivation of poly-Si/SiOx contacts for Si solar cells using Al2O3 studied with deuterium

    NASA Astrophysics Data System (ADS)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; Macco, Bart; Stradins, Paul; Kessels, W. M. M.; Young, David L.

    2018-05-01

    The interplay between hydrogenation and passivation of poly-Si/SiOx contacts to n-type Si wafers is studied using atomic layer deposited Al2O3 and anneals in forming gas and nitrogen. The poly-Si/SiOx stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiOx contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al2O3 is derived from its role as a hydrogen source for chemically passivating defects at SiOx; Al2O3 layers are found to hydrogenate poly-Si/SiOx much better than a forming gas anneal. By labelling Al2O3 and the subsequent anneal with different hydrogen isotopes, it is found that Al2O3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.

  12. A comparison of the doppler-broadened positron annihilation spectra of neutron irradiated Al 2O 3 and MgAl 2O 3

    NASA Astrophysics Data System (ADS)

    Jones, P. L.; Schaffer, J. P.; Cocks, F. H.; Clinard, F. W.; Hurley, G. F.

    1985-01-01

    Radiation damage studies of oxides and ceramics have become of increasing importance due to the projected use of these materials in thermonuclear fusion reactors as electronic insulators and first wall materials. In addition these materials are important in RAD waste disposal. As part of a study of the defect structure in radiation damaged ceramics Doppler-broadened positron annihilation spectra have been obtained for a series of single crystal sapphire (α-Al 2O 3) and polycrystal (1:1) and (1:2) magnesium aluminate spinel (MgO·Al 2O 3 and MgO-2Al 2O 3) samples. These samples were irradiated in EBR-II to a fluence of 3 × 10 25 n/m 2 (E > 0.1 MeV) at 740°C, and 2 × 10 26 n/m 2 (E > 0.1 MeV) at ~ 550°C respectively. Positron annihilation spectra lineshapes for the irradiated, annealed, and as-received samples of both materials were compared using S parameter analysis. These calculations were made on deconvoluted gamma ray spectra that were free of any instrumental broadening effects. In this way, absolute S parameter changes could be calculated. The observed changes in the S parameter are consistent with independent volume swelling measurements for both the α-A1 2O 3 and the (1:2) MgAl 2O 4 samples. However, the change in S parameter measured for the (1:1) spinel is contrary to the measured volume change. This apparent anomaly indicates a predominence of interstitial as opposed to vacancy type defects in this material.

  13. Synthesis, crystal structure, and ionic conductivity of a new layered metal phosphate, Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Sung-Chul; Kwak, Hyun-Jung; Yoo, Chung-Yul

    2016-11-15

    A new layered metal phosphate, Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}, was synthesized in the form of either a single-crystal or polycrystalline powder using the molten hydroxide flux method or a solid-state reaction, respectively. Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} crystallizes to the P2{sub 1}/n (Z=4) monoclinic space group with lattice parameters a≈4.95 Å, b≈22.06 Å, c≈8.63 Å, and β≈91.5°. The structure is composed of stacked [LiSrAl(PO{sub 4}){sub 2}] layers alternating regularly with [LiSrPO{sub 4}] layers. In the [LiSrAl(PO{sub 4}){sub 2}] sublattice, the AlO{sub 6} octahedra and PO{sub 4} tetrahedra are tilted cooperatively to form an anionic, corrugated, two-dimensional [Al(PO{sub 4}){sub 2}]{supmore » 3−} framework that can be regarded as a “distorted-glaserite” structure. The [LiSrPO{sub 4}] sublattice is that of a layered block containing a six-membered ring formed from alternating linkages of LiO{sub 4} and PO{sub 4} tetrahedra. The six-membered rings show a boat-type arrangement with the up(U) or down(D) pointing sequence, UUDUUD. The interspace between the two sublattices generates a two-dimensional pathway for Li{sup +} ion conduction. The impedance measurement indicated that Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} had a moderate ion conductivity (σ≈1.30×10{sup −4} S cm{sup −1} at 667 K), with an activation energy E{sub a}≈1.02 eV. - Graphical abstract: Polyhedral view of Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3}. Li{sup +} ions are represented by green spheres, Sr atoms by white spheres, AlO{sub 6} groups by octahedra, and PO{sub 4} groups by tetrahedra. - Highlights: • New compound Li{sub 2}Sr{sub 2}Al(PO{sub 4}){sub 3} is reported. • The crystal structure is investigated by single-crystal XRD analysis. • The structure is formed by the alternate stacking of two different sublattices. • Correlation between the crystal structure and ionic conductivity is discussed.« less

  14. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  15. Modulation-doped β-(Al0.2Ga0.8)2O3/Ga2O3 field-effect transistor

    NASA Astrophysics Data System (ADS)

    Krishnamoorthy, Sriram; Xia, Zhanbo; Joishi, Chandan; Zhang, Yuewei; McGlone, Joe; Johnson, Jared; Brenner, Mark; Arehart, Aaron R.; Hwang, Jinwoo; Lodha, Saurabh; Rajan, Siddharth

    2017-07-01

    Modulation-doped heterostructures are a key enabler for realizing high mobility and better scaling properties for high performance transistors. We report the realization of a modulation-doped two-dimensional electron gas (2DEG) at the β-(Al0.2Ga0.8)2O3/Ga2O3 heterojunction by silicon delta doping. The formation of a 2DEG was confirmed using capacitance voltage measurements. A modulation-doped 2DEG channel was used to realize a modulation-doped field-effect transistor. The demonstration of modulation doping in the β-(Al0.2Ga0.8)2O3/Ga2O3 material system could enable heterojunction devices for high performance electronics.

  16. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  17. Capability for Fine Tuning of the Refractive Index Sensing Properties of Long-Period Gratings by Atomic Layer Deposited Al2O3 Overlays

    PubMed Central

    Śmietana, Mateusz; Myśliwiec, Marcin; Mikulic, Predrag; Witkowski, Bartłomiej S.; Bock, Wojtek J.

    2013-01-01

    This work presents an application of thin aluminum oxide (Al2O3) films obtained using atomic layer deposition (ALD) for fine tuning the spectral response and refractive-index (RI) sensitivity of long-period gratings (LPGs) induced in optical fibers. The technique allows for an efficient and well controlled deposition at monolayer level (resolution ∼ 0.12 nm) of excellent quality nano-films as required for optical sensors. The effect of Al2O3 deposition on the spectral properties of the LPGs is demonstrated experimentally and numerically. We correlated both the increase in Al2O3 thickness and changes in optical properties of the film with the shift of the LPG resonance wavelength and proved that similar films are deposited on fibers and oxidized silicon reference samples in the same process run. Since the thin overlay effectively changes the distribution of the cladding modes and thus also tunes the device's RI sensitivity, the tuning can be simply realized by varying number of cycles, which is proportional to thickness of the high-refractive-index (n > 1.6 in infrared spectral range) Al2O3 film. The advantage of this approach is the precision in determining the film properties resulting in RI sensitivity of the LPGs. To the best of our knowledge, this is the first time that an ultra-precise method for overlay deposition has been applied on LPGs for RI tuning purposes and the results have been compared with numerical simulations based on LP mode approximation.

  18. Decay channels of Al L sub 2,3 excitons and the absence of O K excitons in. alpha. -Al sub 2 O sub 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Brien, W.L.; Jia, J.; Dong, Q.

    1991-12-15

    The Al {ital L}{sub 2,3} and O {ital K} thresholds for single-crystal {alpha}-Al{sub 2}O{sub 3} have been studied by photoemission. Energy-distribution curves, constant-initial-state (CIS), and constant-final-state (CFS) spectra are reported and compared to the absorption spectrum reported previously. An exciton appears as a doublet at threshold in the Al {ital L}{sub 2,3} CFS, CIS, and absorption spectra. The details of the Al {ital L}{sub 2,3} CFS spectrum and absorption spectrum are similar, while the exciton is the only feature present in the CIS spectrum. Comparisons of the various Al {ital L}{sub 2,3} spectra allow the probabilities of different exciton decaymore » channels to be determined. The probability for nonradiative direct recombination of the exciton is found to be (8{plus minus}1)% and the probability for Auger decay of the exciton is found to be (72{plus minus}20)%. Comparisons of the O {ital K} CIS and CFS spectra suggest that no O {ital K} exciton is formed.« less

  19. Cooptimization of Adhesion and Power Conversion Efficiency of Organic Solar Cells by Controlling Surface Energy of Buffer Layers.

    PubMed

    Lee, Inhwa; Noh, Jonghyeon; Lee, Jung-Yong; Kim, Taek-Soo

    2017-10-25

    Here, we demonstrate the cooptimization of the interfacial fracture energy and power conversion efficiency (PCE) of poly[N-9'-heptadecanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)] (PCDTBT)-based organic solar cells (OSCs) by surface treatments of the buffer layer. The investigated surface treatments of the buffer layer simultaneously changed the crack path and interfacial fracture energy of OSCs under mechanical stress and the work function of the buffer layer. To investigate the effects of surface treatments, the work of adhesion values were calculated and matched with the experimental results based on the Owens-Wendt model. Subsequently, we fabricated OSCs on surface-treated buffer layers. In particular, ZnO layers treated with poly[(9,9-bis(3'-(N,N-dimethylamino)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)] (PFN) simultaneously satisfied the high mechanical reliability and PCE of OSCs by achieving high work of adhesion and optimized work function.

  20. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  1. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  2. Investigations of nanodimensional Al{sup 2}O{sup 3} films deposited by ion-plasma sputtering onto porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S.; Goloshchapov, D. L.

    2015-07-15

    The purpose of this study is the deposition of nanodimensional Al{sup 2}O{sup 3} films on the surface of nanoporous silicon and also fundamental investigations of the structural, optical, and morphological properties of these materials. Analyzing the results obtained here, it is possible to state that ultrathin nanostructured Al{sup 2}O{sup 3} films can be obtained in the form of threads oriented in one direction and located at a distance of 300–500 nm from each other using ion-plasma sputtering on a layer of porous silicon. Such a mechanism of aluminum-oxide growth is conditioned by the crystallographic orientation of the initial single-crystalline siliconmore » wafer used to fabricate the porous layer. The results of optical spectroscopy show that the Al{sup 2}O{sup 3}/por-Si/Si(111) heterophase structure perfectly transmits electromagnetic radiation in the range of 190–900 nm. The maximum in the dispersion of the refractive index obtained for the Al{sup 2}O{sup 3} film grown on por-Si coincides with the optical-absorption edge for aluminum oxide and is located in the region of ∼5.60 eV. This fact is confirmed by the results of calculations of the optical-absorption spectrum of the Al{sup 2}O{sup 3}/por-Si/Si(lll) heterophase structure. The Al{sup 2}O{sup 3} films formed on the heterophase-structure surface in the form of nanodimensional structured threads can serve as channels of optical conduction and can be rather efficiently introduced into conventional technologies, which are of great importance in microelectronics and optoelectronics.« less

  3. Tandem organic light-emitting diodes with buffer-modified C60/pentacene as charge generation layer

    NASA Astrophysics Data System (ADS)

    Wang, Zhen; Zheng, Xin; Liu, Fei; Wang, Pei; Gan, Lin; Wang, Jing-jing

    2017-09-01

    Buffer-modified C60/pentacene as charge generation layer (CGL) is investigated to achieve effective performance of charge generation. Undoped green electroluminescent tandem organic light-emitting diodes (OLEDs) with multiple identical emissive units and using buffer-modified C60/pentacene organic semiconductor heterojunction (OHJ) as CGL are demonstrated to exhibit better current density and brightness, compared with conventional single-unit devices. The current density and brightness both can be significantly improved with increasing the thickness of Al. However, excessive thickness of Al seriously decreases the transmittance of films and damages the interface. As a result, the maximum current efficiency of 1.43 cd·A-1 at 30 mA·cm-2 can be achieved for tandem OLEDs with optimal thickness of Al. These results clearly demonstrate that Cs2CO3/Al is an effective buffer for C60/pentacene-based tandem OLEDs.

  4. The effect of pre-dose on thermally and optically stimulated luminescence from α-Al2O3:C,Mg and α-Al2O3:C.

    PubMed

    Kalita, J M; Chithambo, M L

    2018-06-15

    We report the effect of pre-dose on the thermoluminescence (TL) and optically stimulated luminescence (OSL) dose response of α-Al 2 O 3 :C,Mg and α-Al 2 O 3 :C. Before any luminescence measurement, the samples were irradiated with different doses, namely 100, 500 and 1000 Gy to populate the deep electron traps. This is the pre-dose. The results from TL and OSL studies are compared with results from samples used without any pre-measurement dose. The TL glow curves and OSL decay curves of α-Al 2 O 3 :C,Mg recorded after pre-doses of 100, 500 and 1000 Gy are identical to those from a sample used without any pre-dose. Further, the TL and OSL dose response of all α-Al 2 O 3 :C,Mg samples are similar regardless of pre-dose. In comparison, the TL glow curves and OSL decay curves of α-Al 2 O 3 :C are influenced by pre-dose. We conclude that the differences in the TL and OSL dose response of various pre-dosed samples of α-Al 2 O 3 :C are due to the concentration of charge in the deep traps. On the other hand, owing to the lower concentration of such deep traps in α-Al 2 O 3 :C,Mg, the TL or OSL dose responses are not affected by pre-dose in this material. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. Tribological Properties of TiO2/SiO2 Double Layer Coatings Deposited on CP-Ti

    NASA Astrophysics Data System (ADS)

    Çomakli, O.; Yazici, M.; Yetim, T.; Yetim, A. F.; Çelik, A.

    In the present paper, the influences of different double layer on wear and scratch performances of commercially pure Titanium (CP-Ti) were investigated. TiO2/SiO2 and SiO2/TiO2 double layer coatings were deposited on CP-Ti by sol-gel dip coating process and calcined at 750∘C. The phase structure, cross-sectional morphology, composition, wear track morphologies, adhesion properties, hardness and roughness of uncoated and coated samples were characterized with X-ray diffraction, scanning electron microscopy (SEM), nano-indentation technique, scratch tester and 3D profilometer. Also, the tribological performances of all samples were investigated by a pin-on-disc tribo-tester against Al2O3 ball. Results showed that hardness, elastic modulus and adhesion resistance of double layer coated samples were higher than untreated CP-Ti. It was found that these properties of TiO2/SiO2 double layer coatings have higher than SiO2/TiO2 double layer coating. Additionally, the lowest friction coefficient and wear rates were obtained from TiO2/SiO2 double layer coatings. Therefore, it was seen that phase structure, hardness and film adhesion are important factors on the tribological properties of double layer coatings.

  6. Passivation of phosphorus diffused silicon surfaces with Al{sub 2}O{sub 3}: Influence of surface doping concentration and thermal activation treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richter, Armin, E-mail: armin.richter@ise.fraunhofer.de; Benick, Jan; Kimmerle, Achim

    2014-12-28

    Thin layers of Al{sub 2}O{sub 3} are well known for the excellent passivation of p-type c-Si surfaces including highly doped p{sup +} emitters, due to a high density of fixed negative charges. Recent results indicate that Al{sub 2}O{sub 3} can also provide a good passivation of certain phosphorus-diffused n{sup +} c-Si surfaces. In this work, we studied the recombination at Al{sub 2}O{sub 3} passivated n{sup +} surfaces theoretically with device simulations and experimentally for Al{sub 2}O{sub 3} deposited with atomic layer deposition. The simulation results indicate that there is a certain surface doping concentration, where the recombination is maximal duemore » to depletion or weak inversion of the charge carriers at the c-Si/Al{sub 2}O{sub 3} interface. This pronounced maximum was also observed experimentally for n{sup +} surfaces passivated either with Al{sub 2}O{sub 3} single layers or stacks of Al{sub 2}O{sub 3} capped by SiN{sub x}, when activated with a low temperature anneal (425 °C). In contrast, for Al{sub 2}O{sub 3}/SiN{sub x} stacks activated with a short high-temperature firing process (800 °C) a significant lower surface recombination was observed for most n{sup +} diffusion profiles without such a pronounced maximum. Based on experimentally determined interface properties and simulation results, we attribute this superior passivation quality after firing to a better chemical surface passivation, quantified by a lower interface defect density, in combination with a lower density of negative fixed charges. These experimental results reveal that Al{sub 2}O{sub 3}/SiN{sub x} stacks can provide not only excellent passivation on p{sup +} surfaces but also on n{sup +} surfaces for a wide range of surface doping concentrations when activated with short high-temperature treatments.« less

  7. Solution-processed MoS(x) as an efficient anode buffer layer in organic solar cells.

    PubMed

    Li, Xiaodong; Zhang, Wenjun; Wu, Yulei; Min, Chao; Fang, Junfeng

    2013-09-25

    We reported a facile solution-processed method to fabricate a MoSx anode buffer layer through thermal decomposition of (NH4)2MoS4. Organic solar cells (OSCs) based on in situ growth MoSx as the anode buffer layer showed impressive improvements, and the power conversion efficiency was higher than that of conventional PEDOT:PSS-based device. The MoSx films obtained at different temperatures and the corresponding device performance were systematically studied. The results indicated that both MoS3 and MoS2 were beneficial to the device performance. MoS3 could result in higher Voc, while MoS2 could lead to higher Jsc. Our results proved that, apart from MoO3, molybdenum sulfides and Mo(4+) were also promising candidates for the anode buffer materials in OSCs.

  8. Negative charge trapping effects in Al{sub 2}O{sub 3} films grown by atomic layer deposition onto thermally oxidized 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schilirò, Emanuela, E-mail: emanuela.schiliro@imm.cnr.it; Dipartimento di Scienze Chimiche, Università degli Studi di Catania, and INSTM udr Catania, viale Andrea Doria 6, 95125, Catania; Lo Nigro, Raffaella

    This letter reports on the negative charge trapping in Al{sub 2}O{sub 3} thin films grown by atomic layer deposition onto oxidized silicon carbide (4H-SiC). The films exhibited a permittivity of 8.4, a breakdown field of 9.2 MV/cm and small hysteresis under moderate bias cycles. However, severe electron trapping inside the Al{sub 2}O{sub 3} film (1 × 10{sup 12} cm{sup −2}) occurs upon high positive bias stress (>10 V). Capacitance-voltage measurements at different temperatures and stress conditions have been used to determine an activation energy of 0.1 eV. The results provide indications on the possible nature of the trapping defects and,more » hence, on the strategies to improve this technology for 4H-SiC devices.« less

  9. Comparison of structural and electric properties of PbZr0.2Ti0.8O3 and CoFe2O4/PbZr0.2Ti0.8O3 films on (100)LaAlO3

    NASA Astrophysics Data System (ADS)

    Zhang, X. D.; Dho, Joonghoe; Park, Sungmin; Kwon, Hyosang; Hwang, Jihwan; Park, Gwangseo; Kwon, Daeyoung; Kim, Bongju; Jin, Yeryeong; Kim, Bog. G.; Karpinsky, D.; Kholkin, A. L.

    2011-09-01

    In this work, we investigated structural, electrical, and magnetic properties of ferroelectric PbZr0.2Ti0.8O3 (PZT) and ferrimagnetic/ferroelectric [CoFe2O4(CFO)/PZT] bilayers grown on (100)LaAlO3 (LAO) substrates supplied with bottom 50 nm thick LaNiO3 electrodes. Interestingly, structural and electrical properties of the PZT layer exhibited remarkable changes after the top-layer CFO deposition. X-ray diffraction data suggested that both the c- and a-domains exist in the PZT layer and the tetragonality of the PZT decreases upon the top-layer deposition. A variation in the electrical properties of the PZT layer upon the CFO deposition was investigated by polarization versus voltage (P-V), capacitance versus voltage (C-V), and capacitance versus frequency (C-f) measurements. The CFO deposition induced a slight decrease of the remnant polarization and more symmetric behavior of P-V loops as well as led to the improvement of fatigue behavior. The tentative origin of enhanced fatigue endurance is discussed based on the measurement results. These results were corroborated by local piezoelectric measurements. Ferrimagnetic property of the CFO/PZT bilayer was confirmed by magnetic measurement at room temperature.

  10. Nanostructured bilayer anodic TiO2/Al2O3 metal-insulator-metal capacitor.

    PubMed

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2013-10-01

    This paper presents the fabrication of high performance bilayer TiO2/Al2O3 Metal-Insulator-Metal capacitor using anodization technique. A high capacitance density of 7 fF/microm2, low quadratic voltage coefficient of capacitance of 150 ppm/V2 and a low leakage current density of 9.1 nA/cm2 at 3 V are achieved which are suitable for Analog and Mixed signal applications. The influence of anodization voltage on structural and electrical properties of dielectric stack is studied in detail. At higher anodization voltages, we have observed the transformation of amorphous to crystalline state of TiO2/Al2O3 and improvement of electrical properties.

  11. Fabrication of hierarchical porous ZnO-Al2O3 microspheres with enhanced adsorption performance

    NASA Astrophysics Data System (ADS)

    Lei, Chunsheng; Pi, Meng; Xu, Difa; Jiang, Chuanjia; Cheng, Bei

    2017-12-01

    Hierarchical porous ZnO-Al2O3 microspheres were fabricated through a simple hydrothermal route. The as-prepared hierarchical porous ZnO-Al2O3 composites were utilized as adsorbents to remove organic dye Congo red (CR) from water. The ZnO-Al2O3 composites had morphology of microspheres with diameters in the range of 12-16 μm, which were assembled by nanosheets with thicknesses of approximately 60 nm. The adsorption kinetics of CR onto the ZnO-Al2O3 composites was properly fitted by the pseudo-second-order kinetic model. The equilibrium adsorption data were perfectly described by the Langmuir isotherm and had a maximum adsorption capacity that reached 397 mg/g, which was significantly higher than the value of the pure alumina (Al2O3) and zinc oxide (ZnO) samples. The superior CR removal efficiency of the ZnO-Al2O3 composites was attributed to its well-developed hierarchical porous structures and larger specific surface area (201 m2/g), which were conducive to the diffusion and adsorption of CR molecules. Moreover, the regeneration study reveals that the ZnO-Al2O3 composites have suitable stability and reusability. The results also indicate that the as-prepared sample can act as a highly effective adsorbent in anionic dye removal from wastewater.

  12. A study on electrical conductivity of chemosynthetic Al 2O 3-2SiO 2 geoploymer materials

    NASA Astrophysics Data System (ADS)

    Cui, Xue-Min; Zheng, Guang-Jian; Han, Yao-Cong; Su, Feng; Zhou, Ji

    Al 2O 3-2SiO 2 amorphous powders are synthesized by sol-gel method with tetraethoxysilane (TEOS) and aluminum nitrate (ANN) as the starting materials. The microstructure and phase structure of the powders are investigated by SEM and XRD analysis. Geopolymer materials samples are prepared by mechanically mixing stoichiometric amounts of calcined Al 2O 3-2SiO 2 powders and sodium silicate solutions to allow a mass ratio of Na 2O/Al 2O 3 = 0.4, 0.375, 0.35, 0.325, 0.288, 0.26, 0.23 or 0.2 separately, and finally to form a homogenous slurry at a fixed H 2O/Na 2O mole ratio = 11.7. The results show that the synthetic Al 2O 3-2SiO 2 powders have polycondensed property and their compressive strengthes are similar to that of nature metakaolin geopolymer materials. The results also show that the water consumption is not the main influencing factor on electrical conductivity of harden geopolymer materials but it can intensively affect the microstructure of geopolymer materials. In addition, the electrical conductivity of harden geopolymer sample is investigated, and the results show that the geopolymer materials have a high ionic electrical conductivity of about 1.5 × 10 -6 S cm -1 in air at room temperature.

  13. Band alignment of atomic layer deposited MgO/Zn0.8Al0.2O heterointerface determined by charge corrected X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Yan, Baojun; Liu, Shulin; Yang, Yuzhen; Heng, Yuekun

    2016-05-01

    Pure magnesium (MgO) and zinc oxide doped with aluminum oxide (Zn0.8Al0.2O) were prepared via atomic layer deposition. We have studied the structure and band gap of bulk Zn0.8Al0.2O material by X-ray diffractometer (XRD) and Tauc method, and the band offsets and alignment of atomic layer deposited MgO/Zn0.8Al0.2O heterointerface were investigated systematically using X-ray photoelectron spectroscopy (XPS) in this study. Different methodologies, such as neutralizing electron gun, the use of C 1s peak recalibration and zero charging method, were applied to recover the actual position of the core levels in insulator materials which were easily influenced by differential charging phenomena. Schematic band alignment diagram, valence band offset (ΔEV) and conduction band offset (ΔEC) for the interface of the MgO/Zn0.8Al0.2O heterostructure have been constructed. An accurate value of ΔEV = 0.72 ± 0.11 eV was obtained from various combinations of core levels of heterojunction with varied MgO thickness. Given the experimental band gaps of 7.83 eV for MgO and 5.29 eV for Zn0.8Al0.2O, a type-II heterojunction with a ΔEC of 3.26 ± 0.11 eV was found. Band offsets and alignment studies of these heterojunctions are important for gaining deep consideration to the design of various optoelectronic devices based on such heterointerface.

  14. Improved DC and RF performance of InAlAs/InGaAs InP based HEMTs using ultra-thin 15 nm ALD-Al2O3 surface passivation

    NASA Astrophysics Data System (ADS)

    Asif, Muhammad; Chen, Chen; Peng, Ding; Xi, Wang; Zhi, Jin

    2018-04-01

    Owing to the great influence of surface passivation on DC and RF performance of InP-based HEMTs, the DC and RF performance of InAlAs/InGaAs InP HEMTs were studied before and after passivation, using an ultra-thin 15 nm atomic layer deposition Al2O3 layer. Increase in Cgs and Cgd was significantly limited by scaling the thickness of the Al2O3 layer. For verification, an analytical small-signal equivalent circuit model was developed. A significant increase in maximum transconductance (gm) up to 1150 mS/mm, drain current (IDS) up to 820 mA/mm and fmax up to 369.7 GHz was observed, after passivation. Good agreement was obtained between the measured and the simulated results. This shows that the RF performance of InP-based HEMTs can be improved by using an ultra-thin ALD-Al2O3 surface passivation.

  15. Effect of the Molar Ratio of B2O3 to Bi2O3 in Al Paste with Bi2O3-B2O3-ZnO Glass on Screen Printed Contact Formation and Si Solar Cell Performance

    NASA Astrophysics Data System (ADS)

    Kim, Bit-Na; Kim, Hyeong Jun; Chang, Hyo Sik; Hong, Hyun Seon; Ryu, Sung-Soo; Lee, Heon

    2013-10-01

    In this study, eco-friendly Pb-free Bi2O3-B2O3-ZnO glass frits were chosen as an inorganic additive for the Al paste used in Si solar cells. The effects of the molar ratio of Bi2O3 to B2O3 in the glass composition on the electrical resistance of the Al electrode and on the cell performance were investigated. The results showed that as the molar ratio of Bi2O3 to B2O3 increased, the glass transition temperature and softening temperature decreased because of the reduced glass viscosity. In Al screen-printed Si solar cells, as the molar ratio of Bi2O3 to B2O3 increased, the sheet electrical resistance of the Al electrode decreased and the cell efficiency increased. The uniformity and thickness of the back-surface field was significantly influenced by the glass composition.

  16. Optical microcavities and enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Electroluminescence (EL) and electron emission into vacuum (EM) occur when a non-destructive dielectric breakdown of Al-Al{sub 2}O{sub 3}-Ag diodes, electroforming, results in the development of a filamentary region in which current-voltage (I-V) characteristics exhibit voltage-controlled negative resistance. The temperature dependence of I-V curves, EM, and, particularly, EL of Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 30 nm, has been studied. Two filters, a long-pass (LP) filter with transmission of photons with energies less than 3.0 eV and a short-pass (SP) filter with photon transmission between 3.0 and 4.0 eV, have been used to characterize EL. The voltagemore » threshold for EL with the LP filter, V{sub LP}, is ∼1.5 V. V{sub LP} is nearly independent of Al{sub 2}O{sub 3} thickness and of temperature and is 0.3–0.6 V less than the threshold voltage for EL for the SP filter, V{sub SP}. EL intensity is primarily between 1.8 and 3.0 eV when the bias voltage, V{sub S} ≲ 7 V. EL in the thinnest diodes is enhanced compared to EL in thicker diodes. For increasing V{sub S}, for diodes with the smallest Al{sub 2}O{sub 3} thicknesses, there is a maximum EL intensity, L{sub MX}, at a voltage, V{sub LMX}, followed by a decrease to a plateau. L{sub MX} and EL intensity at 4.0 V in the plateau region depend exponentially on Al{sub 2}O{sub 3} thickness. The ratio of L{sub MX} at 295 K for a diode with 12 nm of Al{sub 2}O{sub 3} to L{sub MX} for a diode with 25 nm of Al{sub 2}O{sub 3} is ∼140. The ratio of EL intensity with the LP filter to EL intensity with the SP filter, LP/SP, varies between ∼3 and ∼35; it depends on Al{sub 2}O{sub 3} thickness and V{sub S}. Enhanced EL is attributed to the increase of the spontaneous emission rate of a dipole in a non-resonant optical microcavity. EL photons interact with the Ag and Al films to create surface plasmon polaritons (SPPs) at the metal-Al

  17. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    NASA Astrophysics Data System (ADS)

    Jiahui, Zhou; Hudong, Chang; Honggang, Liu; Guiming, Liu; Wenjun, Xu; Qi, Li; Simin, Li; Zhiyi, He; Haiou, Li

    2015-05-01

    The impact of various thicknesses of Al2O3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al2O3, the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm2 and acceptable voltage coefficients of capacitance of 681 ppm/V2 at 1 MHz. An outstanding VCC-α of 74 ppm/V2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al2O3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al2O3 could be very promising candidates for GaAs RFIC applications. Project supported by the National Natural Science Foundation of China (Nos. 61274077, 61474031), the Guangxi Natural Science Foundation (No. 2013GXNSFGA019003), the Guangxi Department of Education Project (No. 201202ZD041), the Guilin City Technology Bureau (Nos. 20120104-8, 20130107-4), the China Postdoctoral Science Foundation Funded Project (Nos. 2012M521127, 2013T60566), the National Basic Research Program of China (Nos. 2011CBA00605, 2010CB327501), the Innovation Project of GUET Graduate Education (Nos. GDYCSZ201448, GDYCSZ201449), the State Key Laboratory of Electronic Thin Films and Integrated Devices, UESTC (No. KFJJ201205), and the Guilin City Science and Technology Development Project (Nos. 20130107-4, 20120104-8).

  18. Comparisons of switching characteristics between Ti/Al2O3/Pt and TiN/Al2O3/Pt RRAM devices with various compliance currents

    NASA Astrophysics Data System (ADS)

    Qi, Yanfei; Zhao, Ce Zhou; Liu, Chenguang; Fang, Yuxiao; He, Jiahuan; Luo, Tian; Yang, Li; Zhao, Chun

    2018-04-01

    In this study, the influence of the Ti and TiN top electrodes on the switching behaviors of the Al2O3/Pt resistive random access memory devices with various compliance currents (CCs, 1-15 mA) has been compared. Based on the similar statistical results of the resistive switching (RS) parameters such as V set/V reset, R HRS/R LRS (measured at 0.10 V) and resistance ratio with various CCs for both devices, the Ti/Al2O3/Pt device differs from the TiN/Al2O3/Pt device mainly in the forming process rather than in the following switching cycles. Apart from the initial isolated state, the Ti/Al2O3/Pt device has the initial intermediate state as well. In addition, its forming voltage is relatively lower. The conduction mechanisms of the ON and OFF state for both devices are demonstrated as ohmic conduction and Frenkel-Poole emission, respectively. Therefore, with the combined modulations of the CCs and the stop voltages, the TiN/Al2O3/Pt device is more stable for nonvolatile memory applications to further improve the RS performance.

  19. Creating Two-Dimensional Electron Gas in Polar/Polar Perovskite Oxide Heterostructures: First-Principles Characterization of LaAlO3/A(+)B(5+)O3.

    PubMed

    Wang, Yaqin; Tang, Wu; Cheng, Jianli; Behtash, Maziar; Yang, Kesong

    2016-06-01

    By using first-principles electronic structure calculations, we explored the possibility of producing two-dimensional electron gas (2DEG) at the polar/polar (LaO)(+)/(BO2)(+) interface in the LaAlO3/A(+)B(5+)O3 (A = Na and K, B = Nb and Ta) heterostructures (HS). Unlike the prototype polar/nonpolar LaAlO3/SrTiO3 HS system where there exists a least film thickness of four LaAlO3 unit cells to have an insulator-to-metal transition, we found that the polar/polar LaAlO3/A(+)B(5+)O3 HS systems are intrinsically conducting at their interfaces without an insulator-to-metal transition. The interfacial charge carrier densities of these polar/polar HS systems are on the order of 10(14) cm(-2), much larger than that of the LaAlO3/SrTiO3 system. This is mainly attributed to two donor layers, i.e., (LaO)(+) and (BO2)(+) (B = Nb and Ta), in the polar/polar LaAlO3/A(+)B(5+)O3 systems, while only one (LaO)(+) donor layer in the polar/nonpolar LaAlO3/SrTiO3 system. In addition, it is expected that, due to less localized Nb 4d and Ta 5d orbitals with respect to Ti 3d orbitals, these LaAlO3/A(+)B(5+)O3 HS systems can exhibit potentially higher electron mobility because of their smaller electron effective mass than that in the LaAlO3/SrTiO3 system. Our results demonstrate that the electronic reconstruction at the polar/polar interface could be an alternative way to produce superior 2DEG in the perovskite-oxide-based HS systems.

  20. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.